diff --git a/cpld/IOBS.v b/cpld/IOBS.v index 73ea325..bb358cf 100644 --- a/cpld/IOBS.v +++ b/cpld/IOBS.v @@ -19,7 +19,7 @@ module IOBS( always @(posedge CLK) begin IOACTr <= IOACT; end /* Read data OE control */ - assign nDinOE = ~nAS && IOCS && nWE; + assign nDinOE = !(!nAS && IOCS && nWE); /* Posted read/write state */ reg [1:0] PS = 0; diff --git a/cpld/RAM.v b/cpld/RAM.v index 3beaa5e..aa0f638 100644 --- a/cpld/RAM.v +++ b/cpld/RAM.v @@ -66,11 +66,11 @@ module RAM( reg RefRAS = 0; assign nROMCS = !ROMCS; - assign nRAS = 1;//~((~nAS && RAMCS && RAMEN) || RefRAS); - assign nOE = ~(~nAS && nWE); - assign nLWE = ~(~nAS && ~nWE && ~nLDS && RAMEN); - assign nUWE = ~(~nAS && ~nWE && ~nUDS && RAMEN); - assign nROMWE = ~(~nAS && ~nWE); + assign nRAS = !((~nAS && RAMCS && RAMEN) || RefRAS); + assign nOE = !(~nAS && nWE); + assign nLWE = !(~nAS && ~nWE && ~nLDS && RAMEN); + assign nUWE = !(~nAS && ~nWE && ~nUDS && RAMEN); + assign nROMWE = !(~nAS && ~nWE); /* RAM address mux (and ROM address on RA8) */ assign RA[11] = A[19]; diff --git a/cpld/XC95144XL/WarpSE.bld b/cpld/XC95144XL/WarpSE.bld index 9fcf148..89d0346 100644 --- a/cpld/XC95144XL/WarpSE.bld +++ b/cpld/XC95144XL/WarpSE.bld @@ -30,7 +30,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 154340 kilobytes +Total memory usage is 154596 kilobytes Writing NGD file "WarpSE.ngd" ... Total REAL time to NGDBUILD completion: 3 sec diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index e9213bc..45aec08 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -40,3 +40,33 @@ cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index 1d69184..f52711c 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -77,29 +77,28 @@ nVPA_FSB S:PIN93 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 ram/RefUrg ram/RefReqSync ram/RefReq iobs/IOACTr - iobm/Er iobm/DTACKrr iobm/DTACKrf iobm/BERRrr - iobm/BERRrf cnt/nIPL2r cnt/Er<0> ALE0S - ram/RS_FSM_FFd1 iobs/IOU1 iobs/IOL1 iobm/IOS_FSM_FFd1 - ALE0M ram/RefDone -PARTITION FB2_13 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf - iobm/IOREQr iobm/Er2 +PARTITION FB1_1 ram/RegUrgSync ram/RefUrg ram/RefReqSync iobs/IOACTr + iobm/Er2 iobm/Er iobm/DTACKrr iobm/DTACKrf + iobm/BERRrr iobm/BERRrf fsb/ASrf cnt/nIPL2r + cnt/Er<0> iobs/IOU1 iobs/IOL1 iobm/IOS_FSM_FFd1 + ALE0M IOU0 +PARTITION FB2_14 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf + iobm/IOREQr PARTITION FB3_1 IORW0 EXP10_ EXP11_ EXP12_ EXP13_ fsb/VPA EXP14_ EXP15_ nDTACK_FSB_OBUF EXP16_ iobs/IORW1 EXP17_ fsb/Ready1r cs/nOverlay EXP18_ IOREQ nROMWE_OBUF iobs/Clear1 -PARTITION FB4_1 ram/RegUrgSync nAoutOE_OBUF ram/BACTr nRESout - nDoutOE_OBUF nDinOE_OBUF fsb/ASrf N0 - cnt/Er<1> cnt/TimerTC nVPA_FSB_OBUF cnt/LTimer<3> - cnt/LTimer<2> cnt/LTimer<1> RefReq IOU0 - IOL0 RefUrg -PARTITION FB5_1 EXP19_ nROMCS_OBUF iobs/Load1 EXP20_ - nCAS_OBUF nOE_OBUF iobs/PS_FSM_FFd2 ram/RS_FSM_FFd2 - RA_4_OBUF iobs/PS_FSM_FFd1 RA_3_OBUF RA_5_OBUF - -PARTITION FB5_14 RA_2_OBUF RA_6_OBUF iobs/IOReady iobs/Once - EXP21_ +PARTITION FB4_1 cnt/LTimer<0> nAoutOE_OBUF cnt/INITS_FSM_FFd1 cnt/Er<1> + nDoutOE_OBUF nDinOE_OBUF cnt/TimerTC N0 + cnt/Timer<0> cnt/LTimer<3> nVPA_FSB_OBUF cnt/LTimer<2> + cnt/LTimer<1> cnt/INITS_FSM_FFd2 RefReq cnt/Timer<1> + cnt/Timer<2> RefUrg +PARTITION FB5_1 EXP19_ nROMCS_OBUF ram/RAMEN ram/RAMReady + nCAS_OBUF nOE_OBUF ram/RS_FSM_FFd2 fsb/Ready0r + RA_4_OBUF ram/RefDone RA_3_OBUF RA_5_OBUF + ram/BACTr RA_2_OBUF RA_6_OBUF ram/RefRAS + ram/RefReq ram/RASEL PARTITION FB6_1 iobm/ETACK nVMA_IOBout iobm/IOS_FSM_FFd3 iobm/ES<3> iobm/ES<1> iobm/ES<0> iobm/DoutOE iobm/ES<4> nLDS_IOBout iobm/IOS_FSM_FFd2 nUDS_IOBout nAS_IOBout @@ -110,9 +109,9 @@ PARTITION FB7_1 cnt/LTimerTC RA_1_OBUF cnt/LTimer<9> cnt/LTimer<8> A_FSB_21_IBUF$BUF0 cnt/LTimer<6> RA_9_OBUF C25MEN_OBUF cnt/LTimer<5> C25MEN_OBUF$BUF0 cnt/LTimer<4> cnt/LTimer<12> cnt/LTimer<11> cnt/LTimer<10> -PARTITION FB8_1 ram/RASEL A_FSB_19_IBUF$BUF0 cnt/Timer<2> cnt/Timer<0> - nRAS_OBUF nRAMLWE_OBUF cnt/INITS_FSM_FFd2 nRAMUWE_OBUF - fsb/Ready0r cnt/Timer<1> ram/RAMReady nBERR_FSB_OBUF - EXP22_ ram/RAMEN nBR_IOB_OBUF ram/RS_FSM_FFd3 - cnt/INITS_FSM_FFd1 cnt/LTimer<0> +PARTITION FB8_1 EXP20_ A_FSB_19_IBUF$BUF0 iobs/Load1 iobs/IOReady + nRAS_OBUF nRAMLWE_OBUF iobs/PS_FSM_FFd2 nRAMUWE_OBUF + ram/RS_FSM_FFd3 IOL0 ram/RS_FSM_FFd1 nBERR_FSB_OBUF + iobs/PS_FSM_FFd1 ALE0S nBR_IOB_OBUF nRESout + iobs/Once EXP21_ diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed index 2bcac78..5c9563f 100644 --- a/cpld/XC95144XL/WarpSE.jed +++ b/cpld/XC95144XL/WarpSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Sun Mar 26 04:30:28 2023 +Date Extracted: Mon Mar 27 09:56:26 2023 QF93312* QP100* @@ -90,41 +90,41 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0000064 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00010000* -L0000128 00000000 00000000 10000000 00000000 00010000 00000000 00000000 00010000* -L0000192 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00001100* -L0000256 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10011100* -L0000320 00000000 00000000 00000000 00000000 01011000 00000000 00000000 10011000* -L0000384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10011100* -L0000448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10010100* -L0000512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0000576 000000 000000 000000 000000 000000 000000 000000 000100* -L0000624 000000 000000 000000 000000 000110 000000 000000 000001* -L0000672 000000 000000 000000 000000 000000 000000 000000 000011* -L0000720 000000 000000 000001 000000 000000 000000 000000 000011* -L0000768 000000 000000 000000 000000 000000 000000 000000 000010* -L0000816 000000 000000 000000 000000 000000 000000 000000 000111* -L0000864 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000928 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0000992 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0001056 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0001120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001248 00000000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* -L0001312 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001440 000000 000000 000000 000000 000000 000000 000000 000000* -L0001488 000000 000000 000000 000000 000000 000000 000000 000000* -L0001536 000000 000000 000000 000000 000100 000001 000000 000000* -L0001584 000000 000000 000000 010000 000000 000001 000000 000000* -L0001632 000000 000000 000000 000000 000000 000000 000000 000000* -L0001680 000000 000000 000000 000000 000000 000000 000000 000000* +L0000000 00000000 00000000 10000000 00000000 00000000 00000000 10000000 00000000* +L0000064 00000000 00000000 10000000 00000000 00000000 00000000 00000000 01000000* +L0000128 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0000192 00000000 00000000 10000000 00000000 00000000 00000000 00000000 01000000* +L0000256 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0000320 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0000384 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0000448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000576 000000 000000 000000 000000 000000 000000 000000 000000* +L0000624 000000 000000 000000 000000 000000 000000 000000 000000* +L0000672 000000 000000 000000 000000 000000 000000 000000 000000* +L0000720 000000 000000 000001 000000 000000 000000 000000 000000* +L0000768 000000 000000 000000 000000 000000 000000 000000 000000* +L0000816 000000 000000 000000 000000 000000 000000 000000 000000* +L0000864 00000000 00000000 00000000 00011000 00000000 00000000 01111100 00000000* +L0000928 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* +L0000992 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000100* +L0001056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0001120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0001184 10000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0001248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0001312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0001440 000000 000000 000000 000000 000000 000000 000000 000001* +L0001488 000000 000000 000000 000100 000000 000000 100011 000001* +L0001536 000000 000000 000000 000000 000000 000001 000000 000001* +L0001584 000000 000000 000000 000000 000000 000001 000000 010001* +L0001632 000000 000000 000000 000000 000000 000000 000000 010001* +L0001680 000000 000000 000000 000000 000000 000000 000000 010001* L0001728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001856 00000010 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001984 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002112 00000000 00000000 00000000 00000000 00000000 10010000 00000000 00000000* L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -137,505 +137,505 @@ L0002496 000000 000000 000000 000000 000000 000000 000000 000000* L0002544 000000 000000 001000 000000 000000 000000 000000 000000* L0002592 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* L0002656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002720 00000000 00000000 00100000 00000000 00010100 00000000 00000000 00000000* -L0002784 00000000 00000000 00100000 00000000 00000100 00000000 00000000 00000000* +L0002720 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0002784 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0002848 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* L0002912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002976 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003104 00000000 00000000 01100000 00000000 00100000 00000000 00000000 00000000* +L0003104 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* L0003168 000000 000000 101000 000000 000000 000000 000000 000000* -L0003216 100001 000000 100000 000000 000000 000000 000000 000000* -L0003264 000001 000000 000000 000000 000001 000000 000000 000000* -L0003312 000001 000000 001000 000000 000001 000000 000000 000000* -L0003360 000000 000000 000000 000000 010000 000000 000000 000000* -L0003408 000000 000000 000010 000000 000000 000000 000000 000000* -L0003456 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0003520 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00010000* -L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003216 000000 000000 100000 000000 000000 000000 000000 000000* +L0003264 000000 000000 000000 000000 000000 000000 000000 000000* +L0003312 000000 000000 001000 000000 000000 000000 000000 000000* +L0003360 000000 000000 000000 000000 000000 000000 000000 001000* +L0003408 000000 000000 000010 000000 000000 000000 000000 001000* +L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003520 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0003584 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0003648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0003776 00000000 00000000 00001000 00000000 00000000 00000000 00000000 10000000* -L0003840 00000100 00000000 00001000 00000000 00000000 00000000 00000000 10001100* -L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003904 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0004032 000000 000000 000000 000000 000000 000000 000000 000000* -L0004080 000000 000000 000000 000010 000000 000000 000000 000000* -L0004128 000000 000000 000000 000000 000000 000000 000000 000000* -L0004176 000000 000000 000001 000000 000000 000000 000000 000000* -L0004224 000000 000000 000000 000000 000000 000000 000000 000010* -L0004272 000000 000000 000100 000000 000000 000000 000000 000011* -L0004320 00000100 00000000 01100000 00000000 00000000 00000000 10000100 00000000* -L0004384 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0004448 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0004512 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0004576 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0004640 00000000 00000000 10000000 00000000 00000000 00001000 00000100 00000000* -L0004704 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0004768 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0004832 00000000 00000000 01101100 00000000 00000000 00000000 00000000 00000000* -L0004896 000000 000000 111000 000000 000000 000000 000000 000000* -L0004944 000000 000000 101010 000001 000000 000000 000001 000000* -L0004992 000000 000000 100010 000001 000000 000000 000000 000000* -L0005040 000000 000000 111000 000001 000000 000000 000000 000000* -L0005088 000000 000000 011000 000000 000000 000000 000000 000000* -L0005136 000000 000000 000010 000000 000000 000000 000000 000000* +L0004080 000000 000000 000000 000000 000000 000000 000000 000010* +L0004128 000000 000000 000000 000000 000000 000000 000000 000010* +L0004176 000000 000000 000000 000000 000000 001100 000000 000000* +L0004224 000000 000000 000000 000000 000000 000000 000000 000000* +L0004272 000000 000000 000000 000000 000000 000000 000000 000000* +L0004320 00000000 00000000 01000000 00000000 00010000 00010000 10000100 00000000* +L0004384 00000000 00000000 00000000 00000000 00010000 00110000 00000000 00000000* +L0004448 00000000 00000000 00100000 00000000 00000000 00010100 00000000 00000000* +L0004512 00000000 00000000 00100000 00000000 00000000 00010100 00000000 00000000* +L0004576 00000000 00000000 00100000 00000000 00000000 00010100 00000000 00000000* +L0004640 00000000 00000000 00000000 00000000 00000100 00000000 00000100 00000000* +L0004704 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0004768 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0004832 00001000 00000000 00100000 00000000 00000000 00010100 00000000 00000000* +L0004896 000000 000000 001000 000000 000000 000001 000000 000000* +L0004944 000000 000000 000000 000000 000000 000001 000001 000000* +L0004992 000000 000000 000000 010000 000000 100011 000000 000000* +L0005040 000000 000000 000000 000000 000000 000001 000000 000010* +L0005088 000010 000000 000000 000000 000000 001001 000000 000000* +L0005136 000000 000000 000000 000000 000000 000001 000000 000000* L0005184 00000010 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0005248 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0005312 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0005376 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0005440 00000010 00000000 00000000 00000000 00000000 00001000 00000000 00000000* L0005504 00000001 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0005568 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0005632 00000010 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0005696 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0005760 000000 000000 000000 000000 000000 000000 000000 000000* +L0005568 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0005632 00000010 00000000 01000000 00000000 00000000 10000000 00000000 01000000* +L0005696 00000000 00000000 00000000 00000000 00000000 00100000 00000000 01000000* +L0005760 000000 000000 000000 000000 000000 000000 000000 010000* L0005808 000000 000000 000000 000000 000000 000000 000000 000000* -L0005856 000000 000000 000000 000000 000000 010000 000000 000100* -L0005904 000000 000000 000000 000000 000010 010000 000000 000100* -L0005952 000000 000000 000000 000000 000010 000000 000000 000100* -L0006000 000000 000000 000000 000000 000010 000000 000000 000000* -L0006048 00000010 00000000 01000000 00000000 00100000 01000000 10000100 00000000* -L0006112 00000011 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0006176 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0006240 00000001 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0006304 00000010 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0006368 00000011 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0005856 000000 000000 001000 000000 000000 010000 000000 000100* +L0005904 000000 000000 000000 000000 000000 010000 000000 000100* +L0005952 000000 000000 000000 000000 000000 000000 000000 000100* +L0006000 000000 000000 000000 000000 000000 000000 000000 000000* +L0006048 00000010 00000000 00000000 00000000 00000000 01000000 00000000 00100000* +L0006112 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0006176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006240 00000001 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0006304 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006368 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0006432 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0006496 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0006560 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0006624 000000 000000 001000 000000 000000 000000 000000 000000* -L0006672 000000 000000 000000 000000 000000 000000 100001 000000* +L0006560 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0006624 000000 000000 000000 000000 000000 000000 000000 000000* +L0006672 000000 000000 000000 000000 000100 000000 000000 000000* L0006720 000000 000000 000000 000000 000000 000000 000000 000000* L0006768 000000 000000 000000 000000 000000 000000 000000 000000* -L0006816 000000 000000 000000 000000 000000 000000 000000 000000* +L0006816 000000 000000 000000 000000 000000 000000 100011 000000* L0006864 000000 000000 000000 000000 000000 000000 000000 000000* -L0006912 00000000 00000100 00000000 00000000 01000000 01000000 00000000 00000000* -L0006976 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0007040 00000000 00000000 00000000 00000000 01000000 00001000 00000000 00000000* +L0006912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0007040 00000000 00000000 00000000 00000000 00000000 01000000 00000000 01000000* L0007104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007168 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0007232 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0007296 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0007360 00000000 00000000 00000000 00000000 10000000 01000000 00000000 00001000* -L0007424 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10001100* -L0007488 000000 000000 000000 000000 100000 000000 000000 100101* -L0007536 000000 000010 000000 000000 000000 010000 000000 000000* -L0007584 000000 000000 000000 000000 001001 000000 000000 001000* -L0007632 000000 000000 000000 000000 001001 000000 000000 000000* -L0007680 000000 000000 000000 000000 001001 000000 000000 000000* -L0007728 000000 000000 000000 000000 101001 000000 000000 000000* -L0007776 00000000 00000000 01000000 00000000 00000100 00000000 00000000 00000000* -L0007840 00000000 00000000 00000000 00000000 00000100 01000000 00000000 00000000* -L0007904 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00010000* -L0007968 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0008032 00000000 00000000 00100000 00000000 00100000 00000000 00000000 00010000* -L0008096 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0008160 00000000 00000000 00000000 00000000 00000000 00100000 10000000 10000000* -L0008224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008288 00000000 00000000 00100000 00000000 00000100 00000000 00000000 00000000* -L0008352 000000 000000 001000 000000 000001 000000 000000 000000* -L0008400 000000 000000 000000 000000 010000 000000 000000 000000* -L0008448 000000 000000 000000 000000 010000 010000 000000 000001* -L0008496 000000 000000 000000 000000 010000 000000 000000 000010* -L0008544 000000 000000 000000 000000 000000 000000 000000 000010* +L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0007232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0007296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11010000* +L0007360 00000000 00000000 00001000 00000000 00000000 00100000 00000000 10010000* +L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0007488 000000 000000 000000 000000 000000 000000 000000 100000* +L0007536 000000 000000 000000 000000 000000 000000 000000 000000* +L0007584 000000 000000 000000 000000 000000 000000 000000 000001* +L0007632 000000 000000 000000 000000 000000 010000 000000 000001* +L0007680 000000 000000 000000 000000 000000 000000 000000 000001* +L0007728 000000 000000 000000 000000 000000 000000 000000 100001* +L0007776 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000100* +L0007840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0007904 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0007968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0008096 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0008160 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0008224 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0008288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0008352 000000 000000 000000 000000 000000 000000 000000 000001* +L0008400 000000 000000 000000 000000 000000 010000 000000 000000* +L0008448 000000 000000 000000 000000 000000 000000 000000 011000* +L0008496 000000 000000 000000 000000 000000 000000 000000 010000* +L0008544 000000 000000 000000 000000 000000 000000 000000 010000* L0008592 000000 000000 000000 000000 000000 000000 000000 000000* -L0008640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008640 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* L0008704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008768 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0009024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009152 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0009088 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* L0009216 000000 000000 000000 000000 000000 000000 000000 000000* -L0009264 000000 000000 000000 000000 000000 000000 000000 000000* +L0009264 000000 000000 000000 000000 000000 010000 000000 000000* L0009312 000000 000000 000000 000000 000000 000000 000000 000000* L0009360 000000 000000 000000 000000 000000 000000 000000 000000* L0009408 000000 000000 000000 000000 000000 000000 000000 000000* L0009456 000000 000000 000000 000000 000000 000000 000000 000000* L0009504 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0009568 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0009632 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0009696 00000000 00000000 00000000 00000000 00000100 00010000 00000000 00000000* -L0009760 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00000000* +L0009568 00000000 00000000 00100000 00000000 00000000 01000000 00000000 00000000* +L0009632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0009696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0009760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* L0009824 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0009888 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0009952 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0010016 00000000 00000000 01000000 00000000 00100000 00000100 00000000 00000000* -L0010080 000000 000000 010000 000000 001000 000000 000000 000000* -L0010128 000000 000000 010000 000000 000011 000000 000000 000000* -L0010176 000000 000000 010000 000000 000001 000000 000000 000000* -L0010224 000000 000000 010000 000000 000001 000000 000000 000000* -L0010272 000000 000000 010000 000000 010001 000000 000000 000000* -L0010320 000000 000000 010000 000000 010001 000001 000000 000000* -L0010368 00000011 00000000 00000010 00000011 00000001 00000011 00000011 00000011* +L0009888 00000000 00000000 01000000 00000000 00000000 00100000 00000000 00100000* +L0009952 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00100000* +L0010016 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00100000* +L0010080 000000 000000 010000 000000 000000 000000 000000 000000* +L0010128 000000 000000 010000 000000 000000 000000 000000 000001* +L0010176 000000 000000 010000 000000 000000 010000 000000 000001* +L0010224 000000 000000 010000 000000 000000 000000 000000 000001* +L0010272 000000 000000 010000 000000 000000 000000 000000 000001* +L0010320 000000 000000 010000 000000 000000 000000 000000 010001* +L0010368 00000011 00000000 00000010 00000001 00000001 00000011 00000011 00000001* L0010432 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000001* -L0010496 00000011 00000000 00000000 00000011 00000011 00000011 00000010 00000011* -L0010560 00000011 00000001 00000001 00000011 00000000 00000011 00000011 00001010* -L0010624 00000011 00000001 00000001 00000011 00000011 00000011 00000010 00001001* -L0010688 00000011 00000001 10000010 00000011 00000101 00000011 00000011 00000011* -L0010752 00000011 00000001 10000001 00000011 00000010 00000011 00000011 00010011* -L0010816 00000011 00000001 10000001 00000001 00000011 00000011 00000011 10000111* -L0010880 00000011 00000001 10000011 00000011 00000000 00000011 00000011 00000010* +L0010496 00000011 00000000 00000000 00000011 00000011 00000011 00000010 00010011* +L0010560 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000011* +L0010624 00000011 00000001 00000001 00000011 00000001 00000011 00000010 00010011* +L0010688 00000011 00000001 10000010 00000011 00000011 00000011 00000011 00000011* +L0010752 00000011 00000001 10000001 00000011 00000011 00000011 00000011 00010010* +L0010816 00000011 00000001 10000001 00000001 00000011 00000011 00000011 00010011* +L0010880 00000011 00000001 10000011 00000011 00000011 00000011 00000011 00000010* L0010944 000000 000000 100100 000000 000000 000000 000000 000000* -L0010992 000000 000000 100000 000000 000000 000000 000000 001100* -L0011040 000000 000000 100001 000000 000010 000000 000000 000000* -L0011088 000000 000000 100000 000000 100000 000000 000000 000000* +L0010992 000000 000000 100000 000000 000000 000000 000000 001000* +L0011040 000000 000000 100001 000000 000000 000000 000000 001000* +L0011088 000000 000000 100000 000000 000000 000000 000000 001000* L0011136 000000 000000 000001 000000 000000 000000 000000 000000* L0011184 000000 000000 000001 000000 000000 000000 000000 000000* -L0011232 00000000 00000000 10000101 00000000 00000110 00000000 11000100 00000000* -L0011296 00000000 00000000 00000110 00000000 00000100 00000000 00000000 10000010* -L0011360 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000100* -L0011424 00000000 00000000 00000010 00000100 00100110 00010000 00000000 00000001* -L0011488 00000000 00000000 00000010 00000000 00000000 00000100 00000000 00000000* -L0011552 00000000 00000000 00000001 00000000 00000010 00000000 00000100 00000000* -L0011616 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00000000* -L0011680 00000000 00000000 00000010 00000000 00000100 00000000 00000000 00000000* -L0011744 00000000 00000000 00000000 00000100 00100011 00000100 00000000 00001001* -L0011808 000000 000000 000000 000000 001000 000000 000000 100001* -L0011856 000100 000000 000001 000000 011001 000000 100001 000000* -L0011904 000000 000000 000000 000000 000000 000000 000000 000000* -L0011952 000000 000000 000000 000000 010001 000000 000000 000000* -L0012000 000000 000000 000000 000000 001000 000000 000000 000100* -L0012048 000000 000000 000000 000000 001011 000001 000000 000000* -L0012096 00000000 00000000 00000010 00000000 00000001 00000001 00000000 00000010* -L0012160 00001000 00000000 00000001 00000010 00000001 00000011 00000011 00010001* -L0012224 00000000 00000000 00000000 00000000 01000011 00000011 00000000 10011011* -L0012288 00000001 00000000 00000001 00000000 00000000 00000011 00000000 00000000* -L0012352 00000000 00000000 00000001 00000000 00000001 00000011 00000010 00011101* -L0012416 00000000 00000000 00000010 00000010 00000000 00000010 00000010 10000000* -L0012480 00000001 00000000 00000001 00000001 00000010 00000011 00000000 10010111* -L0012544 00000001 00000000 00000000 00000011 00000011 00000010 00000010 10010100* -L0012608 00000001 00000000 00000010 00000001 00000000 00000011 00000000 10010110* -L0012672 000000 000000 000000 000000 000000 000000 000000 100101* -L0012720 000000 000000 000000 000000 000000 000000 000000 000001* -L0012768 000001 000000 000000 000000 000000 000000 000000 000011* -L0012816 000001 000000 000100 000000 000000 000000 000000 000011* -L0012864 000000 000000 000000 000000 000000 000000 000000 000010* -L0012912 000000 000000 000000 000000 000000 000000 000000 000111* -L0012960 00000000 00000000 01100001 00000000 00000000 00000000 00000000 00000101* -L0013024 00000000 00000000 00100010 00000000 00000010 00000011 00000000 00001110* -L0013088 00000000 00000000 00100011 00000000 00000100 00010001 00000000 00000100* -L0013152 00000000 00000000 00100000 00000000 00000110 00000100 00000000 00001111* -L0013216 00000000 00000000 00101010 00000000 00000110 00000000 00000000 00000000* -L0013280 00000000 00000000 00000001 00000000 00000011 00000001 00000000 00010001* -L0013344 00000000 00000000 00000110 00000000 00000001 00000000 01000000 00000000* -L0013408 00000000 00000000 00000111 00000010 00000000 00000101 00000000 00000001* -L0013472 00000000 00000000 01101101 00000000 00100011 00000010 00000000 00000001* -L0013536 000000 000000 111000 000000 001000 000000 000000 000000* -L0013584 000000 000000 100000 000000 000001 000000 000000 000000* -L0013632 000000 000000 100000 000000 000001 000000 000000 000000* -L0013680 000000 000000 101000 000000 000001 000000 000000 000000* -L0013728 000000 000000 001000 000000 010001 000001 000000 000000* -L0013776 000000 000000 000010 000000 010001 000000 000000 000000* -L0013824 00000000 00000000 00000010 00000000 00000000 00000001 00000000 10000010* -L0013888 00000000 00000000 00000001 00000000 00000000 00000011 00000000 00000101* -L0013952 00000000 00000000 00000000 00000000 00000010 00000011 00000000 00000011* -L0014016 00000000 00000000 00000001 00000000 00000000 00000011 00000000 00000000* -L0014080 00000000 00000000 00000001 00000000 00000000 00000010 00000000 00000001* -L0014144 00000000 00000000 00000010 00000010 00000000 00000010 00000000 00100000* -L0014208 00000000 00000000 00000001 00000000 00000010 00000011 00000000 00000001* -L0014272 00000000 00000000 00000000 00000000 00000011 00000010 00000010 00001000* -L0014336 00000001 00000000 00000010 00000001 00000000 00000011 00000000 10000100* +L0011232 00000000 00000000 10000101 00000000 00000010 00000000 00000000 00000010* +L0011296 00000000 00000000 00000110 00000000 00000000 00000000 00000000 00000010* +L0011360 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0011424 00000000 00000000 00000010 00000000 00000000 00010000 11111100 00000000* +L0011488 00000000 00000000 00000010 00000000 00000010 00000100 00000000 00000000* +L0011552 00000000 00000000 00000001 01000000 00000000 00000000 00000000 00010000* +L0011616 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000001* +L0011680 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* +L0011744 00000000 00000000 00000000 00000000 00000000 00000100 00000100 00000001* +L0011808 000000 000000 000000 000000 000000 000000 000000 000000* +L0011856 000000 000000 000001 000000 000000 000000 000000 000000* +L0011904 000000 000000 000000 000000 001000 000000 000000 000000* +L0011952 000000 000000 000000 000000 000000 000000 000000 000000* +L0012000 000001 000000 000000 000000 000000 000000 100011 001000* +L0012048 000000 000000 000000 000000 000000 000001 000000 001000* +L0012096 00100000 00000000 00000010 00000000 00000001 00000001 00000000 00000001* +L0012160 00000000 00000000 00000001 00000010 00000011 00000011 00000011 00000001* +L0012224 00000000 00000000 00000000 00000000 00000011 00000011 00000000 00000011* +L0012288 00000000 00000000 00000001 00000000 00000010 00000011 00000000 00000001* +L0012352 00000000 00000000 00000001 00000001 00000001 00000011 00000010 00000010* +L0012416 00100000 00000000 00000010 00000010 00000001 00000010 00000010 00000001* +L0012480 00000001 00000000 01000001 00000001 00000010 00000011 00000000 00000010* +L0012544 00000001 00000000 01000000 00000011 00000010 00000010 00000010 10000001* +L0012608 00000001 00000000 00000010 00000001 00000011 00000011 00000000 00000010* +L0012672 000000 000000 000000 000000 000000 000000 000000 000000* +L0012720 000000 000000 000000 000000 000000 000000 000000 000000* +L0012768 000000 000000 001000 000000 000000 000000 000000 000000* +L0012816 000000 000000 000000 000000 000000 000000 000000 000000* +L0012864 000000 000000 000000 000000 000000 000000 000000 000000* +L0012912 000000 000000 000000 000000 000000 000000 000000 000000* +L0012960 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000000* +L0013024 00000000 00000000 00000010 00000000 00000000 00000011 00000000 00000010* +L0013088 00000000 00000000 00000011 00000000 00000000 00000001 00000000 00000100* +L0013152 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000110* +L0013216 00000000 00000000 00000010 00000000 00000010 00000100 00000000 00000100* +L0013280 00000000 00000000 00000001 00000000 00000010 00000001 00000000 00000010* +L0013344 00000000 00000000 00000010 00000000 00000000 00000000 01000000 00100001* +L0013408 00000000 00000000 00000011 00000010 00000001 00000001 00000000 00100010* +L0013472 00000000 00000000 00000001 00000000 00000000 00000110 00000000 00100001* +L0013536 000000 000000 000000 000000 000000 000000 000000 000000* +L0013584 000000 000000 000000 000000 000000 000000 000000 000001* +L0013632 000000 000000 000000 000000 000000 000000 000000 000001* +L0013680 000000 000000 000000 000000 000000 000000 000000 000001* +L0013728 000000 000000 000000 000000 000000 000000 000000 000001* +L0013776 000000 000000 000000 000000 000000 000001 000000 010001* +L0013824 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* +L0013888 00000000 00000000 00000001 00000100 00000000 00000011 00000000 00000001* +L0013952 00000000 00000000 00000000 00000000 00000010 00000011 00000000 00010011* +L0014016 00000000 00000000 00000001 00000000 10100010 00000011 00000000 00000000* +L0014080 00000000 00000000 00000001 00000000 00000000 00000010 00000000 00010000* +L0014144 00000000 00000000 00000010 00000110 00000000 00000010 00000000 00000000* +L0014208 00000000 00000000 00000001 00000001 00000010 00000011 00000000 00010010* +L0014272 00000000 00000000 00000000 00000001 00000000 00000010 00000010 00010001* +L0014336 00000000 00000000 00000010 00000001 00000001 00000011 00000000 00000010* L0014400 000000 000000 000000 000000 000000 000000 000000 000000* -L0014448 000000 000000 000000 000000 000000 000000 000000 010000* -L0014496 000000 000000 000000 000001 000000 000000 000000 000000* -L0014544 000000 000000 000000 000000 000000 000000 000000 000100* -L0014592 000000 000000 000000 000000 000000 000000 000000 000000* +L0014448 000000 000000 000000 001000 010000 000000 000000 001000* +L0014496 000000 000000 000000 000000 000001 000000 000000 001000* +L0014544 000000 000000 000000 000000 000000 000000 000000 001000* +L0014592 000000 000000 000000 000000 100000 000000 000000 000000* L0014640 000000 000000 000000 000000 000000 000000 000000 000000* -L0014688 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000001* -L0014752 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000010* -L0014816 00000000 00000000 00000011 00000000 00000000 00010000 00000000 00000000* -L0014880 00000000 00000000 00000000 00011000 00000010 00000100 00000000 00001001* -L0014944 00000000 00000000 00000011 00000000 00000001 00000000 00000000 00001000* -L0015008 00000000 00000000 00000001 00000000 00000011 00000000 00000000 00000001* -L0015072 00000000 00000000 00100010 00000000 00001001 00000000 00000000 00010000* -L0015136 00000000 00000000 00100011 00000000 00000000 00000101 00000000 10000101* -L0015200 00000000 00000000 00000001 00001000 00000011 00000000 00000000 00000011* -L0015264 000000 000000 000000 000000 000000 000000 000000 000000* -L0015312 000000 000000 001000 000000 000000 000000 000000 001100* -L0015360 000000 000000 001000 000000 000000 000000 000000 000000* -L0015408 000000 000000 001000 000000 000000 000000 000000 000000* -L0015456 000000 000000 001000 000111 000000 000001 000000 000000* -L0015504 000000 000000 000000 000001 000000 000000 000000 000000* -L0015552 00000000 00000000 00000110 00000001 00000000 00010101 00000011 00000011* -L0015616 00000000 00000000 00000001 00000000 01000000 00000101 00000000 00000001* -L0015680 00000000 00000000 00000100 00000001 00000010 00100000 00000010 00000011* -L0015744 00000000 00000000 00000101 00000001 00000000 00000001 00000011 00000010* -L0015808 00000001 00000000 00000100 00000001 00000000 00000000 00000000 00000001* -L0015872 00000001 00000000 10000010 00000001 00000000 00000000 00000001 00000000* -L0015936 00000000 00000000 10000101 00000001 00000010 00000001 00000011 00000001* -L0016000 00000000 00000000 10000100 00000001 00000001 00000010 00000011 00000000* -L0016064 00000000 00000000 10000110 00000001 00000000 00000011 00000001 00000001* -L0016128 000000 000000 100100 000000 000000 000000 000000 000010* -L0016176 000100 000000 100011 000000 000000 000101 000000 000000* -L0016224 000000 000000 100011 000000 000000 100000 000000 000000* -L0016272 000000 000000 100010 000001 000000 100100 000000 000000* -L0016320 000000 000000 000011 000000 000000 000000 000000 000000* -L0016368 000000 000000 000011 000000 000000 000000 000000 000000* -L0016416 00000000 00000000 00000001 00000001 00000001 00100000 11100111 00000001* -L0016480 00000000 00000000 10000010 00000000 00000010 00010000 00000000 00000010* -L0016544 00000000 00000000 00000001 00000001 00000100 00010000 00000010 00000010* -L0016608 00000000 00000000 00000000 00001001 00000110 00010000 00000011 00000011* -L0016672 00000001 00000000 00000011 00000001 00000100 00010000 00000000 00000000* -L0016736 00000001 00000000 00000001 00000001 00000011 00010100 00000101 00000101* -L0016800 00000000 00000000 00000010 00000001 00000001 00000100 00000011 00000000* -L0016864 00000000 00000000 00000011 00000001 00000010 00010101 00000001 00000001* -L0016928 00000000 00000000 00000001 00001001 00100011 00000100 00000001 00000011* -L0016992 000000 000000 000000 000000 001000 000001 000000 000000* -L0017040 000000 000000 000000 000000 000001 101000 100001 000000* -L0017088 000000 000000 000000 000000 000001 000001 000000 000000* -L0017136 000000 000000 000000 000000 000001 001001 000000 000000* -L0017184 000000 000000 000000 000100 010001 000001 000000 000000* -L0017232 000000 000000 000000 000001 010001 000001 000000 000000* -L0017280 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000010* -L0017344 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* -L0017408 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0017472 00000000 00000000 00000001 00011000 00000000 00000001 00000000 00000000* -L0017536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017600 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0017664 00000000 00000000 00000001 00000000 00000010 00000001 00000000 00000001* -L0017728 00000000 00000000 00000000 00000000 00000001 00000000 00000010 00000000* -L0017792 00000000 00000000 00010000 00001001 00000000 00000001 00000000 00000000* -L0017856 000000 000000 000000 000000 000000 000000 000000 000000* -L0017904 000000 000000 000000 000000 000000 000000 000000 000000* -L0017952 000000 000000 000001 000000 000000 000000 000000 001000* -L0018000 000000 000000 000000 000000 000000 000000 000000 000000* -L0018048 000000 000000 000000 000111 000000 000000 000000 000000* -L0018096 000000 000000 000000 000001 000000 000000 000000 000000* -L0018144 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* -L0018208 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000010* -L0018272 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000011* -L0018336 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000001* -L0018400 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000001* -L0018464 00000000 00000000 00000001 00000000 00000011 00000000 10000000 00000001* -L0018528 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00000000* -L0018592 00000000 00000000 00010010 00000000 00000010 00000001 00000000 00000001* -L0018656 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000001* -L0018720 000000 000000 000000 000000 000000 000000 000000 000000* -L0018768 000000 000000 000000 001001 000000 000000 000000 000000* -L0018816 000000 000000 000000 000000 000100 000000 000000 000000* -L0018864 000000 000000 000000 000000 000000 000000 000000 000000* -L0018912 000000 000000 000000 000000 000000 000000 000000 000000* -L0018960 000000 000000 000000 000000 000000 000000 000000 000000* -L0019008 00000000 00001000 00000010 00000000 00000000 00000000 00000000 00000011* +L0014688 00000000 00000000 00000001 00100100 00001010 00000000 00000000 00000001* +L0014752 00000000 00000000 00000010 00000000 01000010 00000000 00000000 00000010* +L0014816 00000000 00000000 00000011 00000000 10100000 00010000 00000000 00000000* +L0014880 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000010* +L0014944 00000000 00000000 00000011 00000000 01000010 00000000 00000000 00000010* +L0015008 00000000 00000000 00000001 00000000 00100000 00000000 00000000 00010011* +L0015072 00000000 00000000 00100010 00000000 00000000 00000000 00000000 00000001* +L0015136 00000000 00000000 00100011 00000100 00000011 00000101 00000000 00000010* +L0015200 00000000 00000000 00000001 00000000 00100000 00000000 00000000 00000001* +L0015264 000000 000000 000000 000000 100000 000000 000000 000000* +L0015312 000000 000000 001000 000001 000000 000000 000000 000000* +L0015360 000000 000000 001000 000001 000000 000000 000000 000000* +L0015408 000000 000000 001000 000001 000000 000000 000000 000000* +L0015456 000000 000000 001000 000000 000000 000001 000000 001000* +L0015504 000000 000000 000000 000000 000000 000000 000000 001000* +L0015552 00000000 00000000 00000010 00000011 00000000 00000001 00000011 00000001* +L0015616 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* +L0015680 00000000 00000000 00000000 00000001 00000010 00000000 00000010 01000011* +L0015744 00000000 00000000 00000001 00000001 00000010 00000001 00000011 00000000* +L0015808 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0015872 00000101 00000000 00000010 00000001 00000100 00000000 00000001 00000000* +L0015936 00000100 00000000 00000001 00000011 00000010 00000001 00000011 00000010* +L0016000 00000000 00000000 00000000 00000001 00000000 00000010 00000011 00000001* +L0016064 00000001 00000000 00000010 00000011 00000001 00000011 00000001 00000010* +L0016128 000000 000000 000000 000000 000000 000000 000000 000000* +L0016176 000000 000000 000000 000000 000000 000000 000000 000000* +L0016224 000000 000000 000000 000000 000000 000000 000000 000000* +L0016272 000000 000000 000000 000000 000000 000000 000000 000000* +L0016320 000000 000000 000000 000000 000000 000000 000000 000000* +L0016368 000000 000000 000000 000000 000000 000000 000000 000000* +L0016416 00000000 00000000 00000001 00000011 00010010 00000000 11111111 00000001* +L0016480 00000100 00000000 00000010 00000000 00000010 00000000 00000000 00000010* +L0016544 00000000 00000000 00000001 00000001 00000000 00010000 00000010 00000100* +L0016608 00000000 00000000 00000000 00000001 00000000 00000100 00000011 00000110* +L0016672 00000001 00000000 00000011 00000000 00000010 00000000 00000000 00000110* +L0016736 00000001 00000000 00000001 00000001 00000000 00000000 00000101 00000010* +L0016800 00000000 00000000 00000010 00000011 00000000 00000000 00000011 00100001* +L0016864 00000000 00000000 00000011 00000001 00000011 00000101 00000001 00100010* +L0016928 00000001 00000000 00000001 00000011 00000000 00000000 00000001 00100001* +L0016992 000000 000000 000000 000000 000000 000000 000000 000000* +L0017040 000000 000000 000000 000000 000000 000000 100011 000001* +L0017088 000000 000000 000000 000000 000000 000000 000000 000001* +L0017136 000000 000000 000000 000000 000000 000000 000000 000001* +L0017184 000000 000000 000000 000000 000000 000001 000000 000001* +L0017232 000000 000000 000000 000000 000000 000000 000000 010001* +L0017280 00000000 00000000 00000010 00000000 00001000 00000001 00000000 01000000* +L0017344 00000000 00000000 00000001 00000000 01000000 00000000 00000000 00000000* +L0017408 00000000 00000000 00000000 00000000 10100010 00000000 00000000 00010010* +L0017472 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* +L0017536 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0017600 00000000 00000000 00000010 00000000 00100000 00000000 00000000 00000100* +L0017664 00000000 00000000 00000001 00000000 00000010 00000001 00000000 00000010* +L0017728 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000001* +L0017792 00000000 00000000 00010000 00000001 00100001 00000001 00000000 00000010* +L0017856 000000 000000 000000 000000 100000 000000 000000 000000* +L0017904 000000 000000 000000 000000 000000 000000 000000 000100* +L0017952 000000 000000 000001 000000 000000 000000 000000 000000* +L0018000 000000 000000 000000 000000 000000 000000 000000 100000* +L0018048 000000 000000 000000 000000 000000 000000 000000 000000* +L0018096 000000 000000 000000 000000 000000 000000 000000 000000* +L0018144 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000101* +L0018208 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000110* +L0018272 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0018336 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00100110* +L0018400 00000000 00000000 00000010 00000000 10100010 00000000 00000000 00000010* +L0018464 00000000 00000000 00000001 00000000 00000000 00000000 10000000 00000010* +L0018528 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00100001* +L0018592 00000000 00000000 00010010 00000000 00000001 00000001 00000000 00010100* +L0018656 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00100001* +L0018720 000000 000000 000000 000000 000000 000000 000000 010000* +L0018768 000000 000000 000000 000000 000000 000000 000000 000001* +L0018816 000000 000000 000000 000000 000000 000000 000000 010000* +L0018864 000000 000000 000000 000000 000001 000000 000000 000001* +L0018912 000000 000000 000000 000000 000000 000000 000000 010100* +L0018960 000000 000000 000000 000000 100000 000000 000000 001001* +L0019008 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000000* L0019072 00000000 00000000 00000001 00000011 00000010 00000001 00000000 00000000* -L0019136 00000000 00000000 00000000 00000010 00000010 00000000 00000001 00000010* -L0019200 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000010* +L0019136 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000010* +L0019200 00000000 00000000 00000001 00000000 00000001 00000001 00000000 00000000* L0019264 00000000 00000000 00000000 00000010 00000000 00000011 00000001 00000010* -L0019328 00000000 00001000 00000000 00000001 00000010 00000010 00000000 00000010* -L0019392 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* -L0019456 00000001 00000000 00000001 00000000 00000000 00000000 00000000 00000010* -L0019520 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000011* +L0019328 00000000 00001000 00000000 00000011 00000010 00000010 00000000 00000010* +L0019392 00000000 00000000 00000000 00000001 00000010 00000001 00000000 00000000* +L0019456 00000001 00000000 00000001 00000001 00000010 00000000 00000000 00000010* +L0019520 00000000 00000000 00000000 00000010 00000001 00000010 00000000 00000010* L0019584 000000 000000 000000 000000 000000 000000 000000 000000* -L0019632 000000 000000 000000 000000 000000 000010 000000 000100* -L0019680 000000 000000 000000 000000 000000 000000 000000 000100* +L0019632 000000 000010 000000 000000 000000 000000 000000 000000* +L0019680 000000 000000 000000 000000 000000 000000 000000 000010* L0019728 000000 000000 000000 000000 000000 000000 000000 000000* L0019776 000000 000000 000000 000000 000000 000000 000000 000000* L0019824 000000 000000 000000 000000 000000 000000 000000 000000* -L0019872 00000000 00000000 00000010 00000000 00000000 00000000 11111100 00000011* -L0019936 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000001* -L0020000 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0019872 00000000 00000000 00000010 00000000 00000000 00000000 11111100 00000000* +L0019936 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* +L0020000 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000010* L0020064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0020192 00000000 00000000 00000010 00000000 00000011 00000000 00000100 00000001* -L0020256 00000000 00000000 00000001 00000000 00000011 00000001 00000000 00000001* -L0020320 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0020384 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* +L0020128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0020192 00000000 00000000 00000010 00000000 00000000 00000000 00000100 00000000* +L0020256 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000011* +L0020320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0020384 00000000 00000000 00000010 00000000 00000001 00000001 00000000 00000000* L0020448 000000 000000 000000 000000 000000 000000 000000 000000* L0020496 000000 000000 000000 000000 000000 000000 100011 000000* L0020544 000000 000000 000000 000000 000000 000000 000000 000000* -L0020592 000000 000000 000000 000000 000000 000000 000000 000100* -L0020640 000000 000000 000000 000000 000000 000000 000000 000100* +L0020592 000000 000000 000000 000000 000000 000000 000000 000000* +L0020640 000000 000000 000000 000000 000000 000000 000000 000000* L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 00100010 00000000 00000000 00000000 00000000 00000110* -L0020800 00000000 00000000 00101001 00000000 00000000 00000000 00000000 00011011* -L0020864 00000000 00000000 00001000 00000000 00000010 00000000 00000000 00010010* -L0020928 00000000 00000000 00001001 00000000 00000000 00000000 00000000 00000000* -L0020992 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00011100* -L0021056 00000000 00000000 01000010 00000000 00000000 00000000 00000000 00001000* -L0021120 00000000 00000000 00100001 00000000 00000010 00000000 00000000 00011101* -L0021184 00000000 00000000 00100000 00000000 00000011 00000000 00000000 00011100* -L0021248 00000000 00000000 01001000 00000000 00000010 00000000 00000000 00011100* -L0021312 000000 000000 010000 000000 000000 000000 000000 000101* -L0021360 000000 000000 011000 000000 000000 000000 000000 000000* -L0021408 000001 000000 010000 000000 000000 000000 000000 000010* -L0021456 000000 000000 011000 000000 000000 000000 000000 000010* -L0021504 000000 000000 011000 000000 000000 000000 000000 000010* -L0021552 000000 000000 010000 000000 000000 000000 000000 000110* -L0021600 00000000 00000000 01000000 00000000 00000001 00000000 11110100 10000000* -L0021664 00001000 00000000 00000010 00000000 00000000 00000000 00000000 10000110* -L0021728 00000000 00000000 00100011 00000000 00000000 00000000 00000000 10001111* -L0021792 00000000 00000000 00000000 00000000 00000010 00000000 00000000 10001110* -L0021856 00000000 00000000 00000001 00000000 00000000 00000000 00000000 10000000* -L0021920 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00010000* -L0021984 00000000 00000000 00000010 00000000 00010000 00000000 00000000 00000000* -L0022048 00000000 00000000 00000001 00000000 00000010 10000000 00000000 00000001* -L0022112 00000000 00000000 00100000 00000000 00000011 00000000 00000000 00000000* -L0022176 000000 000000 000000 000000 000000 000000 000000 000000* -L0022224 000000 000000 000000 000100 000000 000000 100001 000000* +L0020736 00000000 00000000 00100010 00000000 00000000 10001000 00000000 00000100* +L0020800 00000000 00000000 00101001 00000000 00000000 00001000 00000000 00100100* +L0020864 00000000 00000000 00001000 00000000 00000010 00000000 00000000 00100110* +L0020928 00000000 00000000 00001001 00000000 00000010 00000000 11111100 00110110* +L0020992 00000000 00000000 00001000 00000000 00000010 00001000 00000000 00100100* +L0021056 00000000 00000000 01000010 00000000 00000000 10000000 00000000 00001000* +L0021120 00000000 00000000 00100001 00000000 00000010 00000000 00000000 00100110* +L0021184 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100101* +L0021248 00000000 00000000 01001000 00000000 00000001 00100000 00000100 00100110* +L0021312 000000 000000 010000 000000 000000 000000 000000 000001* +L0021360 000000 000000 011000 000000 000000 000000 000000 000001* +L0021408 000000 000000 010000 000000 000000 010000 000000 010000* +L0021456 000000 000000 011000 000000 000000 010000 000000 010000* +L0021504 000001 000000 011000 000000 000000 000000 100011 110000* +L0021552 000000 000000 010000 000000 000000 000000 000000 010000* +L0021600 00000000 00000000 01000000 00010000 00000010 00000000 00000000 00100001* +L0021664 00000000 00000000 00000010 00000000 00100000 01000000 00000000 00001000* +L0021728 00000000 00000000 00100011 00000000 00000000 01000000 00000000 00000000* +L0021792 00000000 00000000 00000000 00000000 00000010 00001000 00000000 00000010* +L0021856 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000010* +L0021920 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0021984 00000000 00000000 00000010 00000000 01000100 00100000 00000000 00000000* +L0022048 00000000 00000000 00000001 00000000 00000110 10100000 00000000 00000010* +L0022112 00000000 00000000 00100000 00000000 01000000 00000000 00000000 00000001* +L0022176 000000 000000 000000 000000 010001 000000 000000 000000* +L0022224 000000 000000 000000 000100 000000 000000 000000 000000* L0022272 000000 000000 000000 000000 000000 000000 000000 000000* -L0022320 000001 000000 000000 000000 000000 000000 000000 000000* +L0022320 000000 000000 000000 000000 100000 000000 000000 000000* L0022368 000000 000000 000000 000000 000000 000000 000000 000000* L0022416 000000 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000011 00000000 00100010 00000011 10000001 00000011 00000011 00000011* +L0022464 00000011 00000000 00100010 00000011 00000001 00000011 00000011 00000001* L0022528 00000011 00000000 00100001 00000011 00000011 00000011 00000011 00000011* L0022592 00000011 00000000 00000100 00000011 00000011 00000011 00000011 00000011* -L0022656 00000011 00000001 00000001 00000011 00000000 00000011 00000011 00000010* -L0022720 00000011 00000001 00001001 00000011 00000011 00000011 00000011 00000111* -L0022784 00000011 00000001 00000010 00000011 00000011 00000011 00000011 00000011* -L0022848 00000011 00000001 00010001 00000011 00000011 00000011 00000011 00000011* -L0022912 00000011 00000001 00000001 00000011 00000011 00000011 00000011 00010011* -L0022976 00000011 00000001 01001011 00000011 00000010 00000011 00000011 00010011* +L0022656 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000011* +L0022720 00000011 00000001 00001001 00000011 00000011 00000011 00000011 00000011* +L0022784 00000011 00000001 00000010 10000011 00000011 00000011 00000011 00000011* +L0022848 00000011 00000001 00010001 00001011 00000011 00000011 00000011 00000011* +L0022912 00000011 00000001 00000001 00000011 00000011 00000011 00100011 00000011* +L0022976 00000011 00000001 01001011 00000011 00000011 00000011 00000011 00000010* L0023040 000000 000000 010000 000000 000000 000000 000000 000000* L0023088 000000 000000 000001 000000 000000 000000 000000 000000* L0023136 000000 000000 000001 000000 000000 000000 000000 000000* -L0023184 000000 000000 001000 000000 000000 000000 000000 000001* +L0023184 000000 000000 001000 000000 000000 000000 000000 001000* L0023232 000000 000000 001000 000000 000000 000000 000000 000000* -L0023280 000000 000000 000000 000000 100000 000000 000000 000011* -L0023328 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0023392 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0023456 00000000 00000000 10000000 00000000 00000100 00000000 00000000 00000000* -L0023520 00000000 00000000 10000000 00000000 00000100 00000000 00000000 00000000* -L0023584 00000000 00000000 10000000 00000000 00000100 00000000 00000000 00000000* -L0023648 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* -L0023712 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0023776 00000000 00000000 10000000 00000000 00000000 10000000 00000000 00001000* -L0023840 00000000 00000000 10000000 00000000 00100000 00000000 00000000 10001100* -L0023904 000000 000000 100000 000000 001000 000000 000000 100101* -L0023952 000000 000000 100000 000000 000001 000000 100011 000000* -L0024000 000000 000000 100000 000000 001001 000000 000000 001000* -L0024048 000000 000000 100001 000000 001001 000000 000000 000000* -L0024096 000000 000000 000001 000000 011001 000000 000000 000000* -L0024144 000000 000000 000001 000000 011001 000000 000000 000000* +L0023280 000000 000000 000000 000000 000000 000000 000000 100000* +L0023328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023456 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* +L0023520 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* +L0023584 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* +L0023648 00000000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* +L0023712 00000000 00000000 10000000 10000000 00000000 00000000 00000000 01110000* +L0023776 00000000 00000000 10000000 00000000 00000000 10000000 00000000 00110000* +L0023840 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00100000* +L0023904 000000 000000 100000 000000 000000 000000 001000 000000* +L0023952 000000 000000 100000 100000 010000 000000 000000 000001* +L0024000 000001 000000 100000 000000 000000 000000 000000 000001* +L0024048 000000 000000 100001 000000 000000 000000 000000 000001* +L0024096 000000 000000 000001 000000 000000 000000 000000 000001* +L0024144 000000 000000 000001 000000 000000 000000 000000 010001* L0024192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024576 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024768 000000 000000 000000 000000 000000 000000 000000 000010* +L0024768 000000 000000 000000 000000 000000 000000 000000 000000* L0024816 000000 000000 000000 000000 000000 000000 000000 000000* L0024864 000000 000000 000000 000000 000000 000000 000000 000000* L0024912 000000 000000 000000 000000 000000 000000 000000 000000* L0024960 000000 000000 000000 000000 000000 000000 000000 000000* L0025008 000000 000000 000000 000000 000000 000000 000000 000000* L0025056 00000000 00000000 01000000 00000000 00000000 00000000 11111100 00000000* -L0025120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* L0025184 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0025248 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0025312 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00000000* -L0025376 10000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0025440 00000000 00000000 00000000 00000100 00000000 00000100 00000000 00000000* -L0025504 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0025312 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025504 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0025568 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0025632 000000 000000 001000 000000 000000 000001 000000 000000* -L0025680 000000 000000 000000 000000 000000 000000 100001 000010* -L0025728 000000 000000 000000 000000 000000 000010 000000 000000* +L0025632 000000 000000 001000 000000 000000 000000 000000 000000* +L0025680 100000 000000 000000 000000 000000 000000 100001 000000* +L0025728 000000 000000 000000 000000 000010 000000 000000 000000* L0025776 000000 000000 000000 000000 000000 000000 000000 000000* L0025824 000000 000000 000000 000000 000000 000000 000000 000000* L0025872 000000 000000 000000 000000 000000 000000 000000 000000* -L0025920 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00000000* -L0025984 00000000 00000000 00101000 00000011 00000011 00000000 00000011 00010110* -L0026048 00000000 00000000 00001000 00000000 00000001 00100000 00000001 00010101* -L0026112 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00001100* -L0026176 00000000 00000000 00001000 00000010 00000011 00000001 00000011 00011110* -L0026240 00000000 00000000 01000000 00000010 00000011 00000001 00000010 10010011* -L0026304 00000000 00000000 00100000 00000000 00000000 00000000 00000000 10010100* -L0026368 00000000 00000000 00100001 00000000 00000000 00000001 00000010 10010110* -L0026432 00000000 00000000 01001010 00000000 00000010 00010000 00000010 10010100* -L0026496 000000 000000 010000 000000 000000 000000 000000 100101* -L0026544 000000 000000 011000 000000 000000 000001 000000 000001* -L0026592 000000 000000 010000 000000 001000 100000 000000 000011* -L0026640 000000 000000 011000 000000 001000 100000 000000 000011* -L0026688 000000 000000 011000 000000 001000 001000 000000 000010* -L0026736 000000 000000 010000 000000 001000 000000 000000 000111* -L0026784 01000000 00000000 00000000 00000000 00000000 00000100 10000000 00001100* +L0025920 00000000 00000000 00000000 00100000 00000000 00010000 00000000 00000000* +L0025984 00000000 00000000 00000000 00000111 00000011 00000000 00000011 00000010* +L0026048 00000000 00000000 00000000 00000000 00000001 00100000 00000001 00000001* +L0026112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026176 00000000 00000000 00000000 00000010 00000011 00000001 00000011 00000010* +L0026240 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* +L0026304 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0026368 00000000 00000000 00000001 00000000 00000000 00000001 00000010 00000010* +L0026432 00000000 00000000 00000010 00000000 00000010 00010000 00000010 00000000* +L0026496 000000 000000 000000 000000 000000 000000 000000 000000* +L0026544 000000 000000 000000 000010 000000 000001 000000 000000* +L0026592 000000 000000 000000 000000 000000 100000 000000 000000* +L0026640 000000 000000 000000 000000 000000 100000 000000 000000* +L0026688 000000 000000 000000 000000 000000 001000 000000 000000* +L0026736 000000 000000 000000 000000 000000 000000 000000 000000* +L0026784 01000000 00000000 00000000 00000100 00000000 00000100 10000000 00000000* L0026848 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* L0026912 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0026976 00000000 00000000 00100000 00000000 00000000 00010100 00000000 10000000* -L0027040 00000000 00000000 00100000 00000000 00000000 00010100 00000000 00000000* -L0027104 00000000 00000100 00000000 00000000 00100000 00010100 00000000 00000000* -L0027168 00000000 00000000 00000000 00000000 00100000 00000100 00000000 00000000* -L0027232 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0026976 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0027040 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0027104 00000000 00000000 00000000 00000000 00000000 00010100 00000000 01000000* +L0027168 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0027232 00000000 00000000 00000000 00000100 00000000 00000100 00000000 00000000* L0027296 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0027360 000000 000000 001000 000000 000000 000001 000000 000000* -L0027408 000000 000000 000000 000000 000000 101100 000000 000000* -L0027456 000000 000000 000000 000000 000000 000011 000000 000000* -L0027504 000000 000000 000000 000000 000000 000001 000000 000000* +L0027360 000000 000000 000000 000000 000000 000001 000000 000000* +L0027408 000000 000001 000000 000001 000000 101100 000000 000000* +L0027456 000000 000000 000000 000001 000000 000011 000000 000000* +L0027504 000000 000000 000000 000001 000000 000001 000000 000000* L0027552 000000 000000 000000 000000 000000 000001 000000 000000* L0027600 000000 000000 000000 000000 000000 000001 000000 000000* -L0027648 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0027712 00000000 00000000 00000000 00000001 00000111 00000000 00000011 00000010* -L0027776 00000000 00000000 00000000 00000000 00100101 00000000 00000001 00000000* -L0027840 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0027904 00000000 00000000 00000000 00000010 00100101 00000000 00000011 00000010* -L0027968 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000010* -L0028032 00000100 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0028096 00000000 00000000 00000001 00000010 00000100 00000000 00000010 00000010* -L0028160 00000000 00000000 00000000 00000000 00100110 00000000 00000010 00000000* -L0028224 000000 000000 000000 000000 001001 000000 000000 000000* -L0028272 000000 000000 000000 000000 010001 000010 000000 000000* -L0028320 000000 000000 000000 000000 010001 000000 000000 000000* -L0028368 000000 000000 000000 000000 010001 000000 000000 000000* -L0028416 000000 000000 000000 000000 010001 000000 000000 000000* -L0028464 000000 000000 001000 000000 010001 000000 000000 000000* -L0028512 00000011 00000000 01000010 01000011 00000001 00000000 10000111 00000011* +L0027648 00000000 00000000 00000000 00100000 10100000 00000000 00000000 00000100* +L0027712 00000000 00000000 00000000 00000001 10000011 00000000 00000011 00000110* +L0027776 00000000 00000000 00000000 00000000 01000001 00000000 00000001 00100100* +L0027840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0027904 00000000 00000000 00000000 00000010 00000001 00000000 00000011 00100110* +L0027968 00000000 00000000 00000000 00000110 01000011 00000001 00000010 00000010* +L0028032 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00100100* +L0028096 00000000 00000000 00000001 00000010 01000000 00000000 00000010 00100110* +L0028160 00000000 00000000 00000000 00000000 00000110 00000000 00000010 00100100* +L0028224 000000 000000 000000 000000 000000 000000 000000 000001* +L0028272 000000 000000 000000 000010 000000 000010 000000 000001* +L0028320 000000 000000 000000 000000 100000 000000 000000 010001* +L0028368 000000 000000 000000 000000 000000 000000 000000 010001* +L0028416 000000 000000 000000 000000 000000 000000 000000 010001* +L0028464 000000 000000 001000 000000 000000 000000 000000 010001* +L0028512 00001010 00000000 01000010 00000011 00000001 00000000 10000111 00000001* L0028576 00000011 00000000 00100001 00000010 00000000 00000000 00000000 00000001* L0028640 00000011 00000000 00100000 00000011 00000010 00000000 00000010 00000011* -L0028704 00000011 00000000 00100001 00000011 00000000 00000000 00000011 00000010* +L0028704 00000011 00000000 00100001 00000011 00000011 00000000 00000011 00000011* L0028768 00000001 00000000 00100001 00000001 00000010 00000001 00000000 00000001* -L0028832 00000001 00000000 00000010 10000001 00000100 00000000 00000001 00000001* -L0028896 00000000 00000000 00000001 10000011 00000011 00000000 00000011 00000011* -L0028960 00000000 00000000 00000100 00000001 00000011 00000000 00000001 00000001* -L0029024 00000001 00000000 00101111 00000011 00000000 00000000 00000001 00000011* -L0029088 000000 000000 011000 000000 000000 000000 000000 000000* -L0029136 000000 000000 000000 000000 100000 000000 000000 000000* -L0029184 000000 000000 100000 000000 000000 000000 000000 000100* -L0029232 000000 000000 100000 000000 000010 000000 000000 000100* -L0029280 000000 000000 001000 000000 000010 000000 000000 000100* -L0029328 000000 000000 000000 000000 000010 000000 000000 000000* -L0029376 10000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0028832 00000001 00000000 00000010 00000001 00000000 00000000 00000001 00000101* +L0028896 00000000 00000000 00000001 00000011 00000011 00000000 00000011 00000011* +L0028960 00000000 00000000 00000100 00000101 00000011 00000000 00000001 01000001* +L0029024 00000001 00000000 00101111 00000011 00000001 00000000 00000001 01000010* +L0029088 000000 000000 011000 000000 000000 000000 000000 010000* +L0029136 010000 000000 000000 000001 000000 000000 000000 100000* +L0029184 000000 000000 100000 000001 000000 000000 000000 000100* +L0029232 000000 000000 100000 000001 000000 000000 000000 000100* +L0029280 000000 000000 001000 000000 000000 000000 000000 000100* +L0029328 000000 000000 000000 000000 000000 000000 000000 000000* +L0029376 00000001 00000000 00000000 00000000 00000000 00000011 00000000 00000000* L0029440 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0029504 00000000 00000000 00000000 00000000 00000000 01000011 00000000 00000000* -L0029568 00000000 00000001 00000000 00000000 00000000 00000011 00000000 00000000* -L0029632 00000000 00000001 00000000 00000000 00000000 00000010 00000000 00000000* -L0029696 00000010 00000001 00000000 00000000 00000000 00000010 00000000 00000000* -L0029760 00000011 00000001 00000000 00000000 00000000 00000011 00000000 00000000* -L0029824 00000011 00000001 00000000 00000000 00000000 00100011 00000000 00000000* -L0029888 00000010 00000001 00000000 00000000 00000000 00000011 00000000 00000000* -L0029952 000000 000000 000000 000000 000000 000000 000000 000000* -L0030000 100000 000000 000000 000010 000000 000000 000000 000000* +L0029504 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029568 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029632 00000010 00000001 00000000 00000000 00000000 00000010 00000000 00000000* +L0029696 00000000 00000001 00000000 00000000 00000000 00000010 10000000 00000000* +L0029760 00000111 00000001 00000000 00000000 00000000 00000011 01010000 00000000* +L0029824 00000011 00000001 00000000 00000000 00000000 00000011 00000000 00000000* +L0029888 00000010 00000001 00000000 00000000 00000000 00000011 00100000 01000000* +L0029952 000000 000000 000000 000000 000000 000000 000000 010000* +L0030000 000000 000000 000000 000010 000000 000000 010000 000000* L0030048 000000 000000 000000 000000 000000 000000 000000 000100* -L0030096 000000 000000 000000 000000 000000 010000 000000 000000* +L0030096 000000 000000 000000 000000 000000 000000 000000 000000* L0030144 000000 000000 000000 000000 000000 000000 000000 000000* L0030192 000000 000000 000000 000000 000000 000000 000000 000000* -L0030240 00000000 00000000 00000000 10010000 00000000 01000000 10000100 00000000* -L0030304 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0030368 00000000 00000000 00000000 00000000 00000000 00001001 00000000 00000000* +L0030240 00000001 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0030304 00000001 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0030368 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* L0030432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0030496 00000010 00000001 00000000 00000000 00000010 00000000 00000000 00000000* -L0030560 00000000 00000000 00000000 00000000 00000000 00100000 00000100 00000000* -L0030624 00000010 00000001 00000000 00000010 00000000 00000000 00000000 00000000* -L0030688 00000000 00000001 00000000 00000000 00000000 01000001 00000000 00000000* -L0030752 00000010 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0030816 000000 000000 000000 000000 000000 000000 000000 000000* -L0030864 000001 000000 000000 000000 000000 010000 000000 000000* -L0030912 000000 000000 000000 000000 000000 000000 000000 000000* +L0030496 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0030560 00000010 00000001 00000000 00000000 00000000 00010000 01010000 00000000* +L0030624 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0030688 00000010 00000001 00000000 00000000 00000000 00010001 00000000 01000000* +L0030752 00000000 00000001 00000000 00000000 00000000 00010010 00000000 00000000* +L0030816 000000 000000 000000 000000 000000 000000 001000 000000* +L0030864 000000 000000 000000 000000 000000 000000 000000 000000* +L0030912 000000 000000 000000 000000 000000 000000 010000 000000* L0030960 000000 000000 000000 000000 000000 000000 000000 000100* L0031008 000000 000000 000000 000000 000000 000000 000000 000100* L0031056 000000 000000 000000 000001 000000 000000 000000 000000* -L0031104 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031424 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -645,27 +645,27 @@ L0031776 000000 000000 000000 000000 000000 000000 000000 000000* L0031824 000000 000000 000000 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000000 00000000 00000000 00000001 00000000 00000000 00000011 00000001* +L0031968 00000000 00000000 00000000 00000011 00000000 00000000 00000011 00000001* L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000010* -L0032160 00000000 00000000 00000000 00000001 00000000 00000000 00000011 00000010* -L0032224 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0032096 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000000* +L0032160 00000000 00000000 00000000 00000001 00000000 00000000 00000011 00000000* +L0032224 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032288 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0032352 00000000 00000000 00000000 10000001 00000000 00000000 00010011 00000000* +L0032352 00000000 00000000 00000000 00000011 00000000 00000000 00000011 00000000* L0032416 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0032480 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000001* +L0032480 00000001 00000000 00000000 00000011 00000000 00000000 00000001 00000000* L0032544 000000 000000 000000 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* L0032640 000000 000000 000000 000000 000000 000000 000000 000000* L0032688 000000 000000 000000 000000 000000 000000 000000 000000* L0032736 000000 000000 000000 000000 000000 000000 000000 000000* L0032784 000000 000000 000000 000000 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00000000* +L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033024 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033152 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -675,21 +675,21 @@ L0033504 000000 000000 000000 000000 000000 000000 000000 000000* L0033552 000000 000000 000000 000000 000000 000000 000000 000000* L0033600 000000 000000 000000 000000 000000 000000 000000 000000* L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000000 00000000 00000000 00000000 00000000 00000001 00000001 00000000* -L0033760 00000000 00000000 00000001 00000000 00000100 00000010 00000000 00000000* -L0033824 00000000 00000000 00000000 00000001 00000100 00000000 00000010 00000001* -L0033888 00000000 00000000 00000000 00000001 00000100 00000010 00000011 00000010* -L0033952 00000000 00000000 00000001 00000001 00000100 00000000 00000000 00000000* -L0034016 00000000 00000000 00000000 00000000 00000000 00000010 00010001 00000001* -L0034080 00000000 00000000 00000000 00000000 00000011 00000000 00000011 00000011* -L0034144 00000000 00000000 00000000 00000000 00000001 00000010 00000001 00000001* -L0034208 00000000 00000000 00000000 00000001 00100000 00000001 00000001 00000001* -L0034272 000000 000000 000000 000000 001001 000000 000000 000000* -L0034320 000000 000000 000000 000000 000001 000000 000000 000000* -L0034368 000000 000000 000000 000000 010001 000000 000000 000000* -L0034416 000000 000000 000000 000000 010001 000000 000000 000000* -L0034464 000000 000000 000000 000000 010001 000000 000000 000000* -L0034512 000000 000000 000000 000000 010001 000000 000000 000000* +L0033696 00000000 00000000 00000000 00000011 00000000 00000001 00000001 00000000* +L0033760 00000000 00000000 00000001 00000000 00000000 00000010 00000000 00000001* +L0033824 00000000 00000000 00000000 00000011 00000000 00000000 00000010 00000001* +L0033888 00000000 00000000 00000000 00000001 00000000 00000010 00000011 00000010* +L0033952 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000000* +L0034016 00000000 00000000 00000000 00000000 00000000 00000010 00000001 00000001* +L0034080 00000000 00000000 00000000 00000000 00000010 00000000 00000011 00000010* +L0034144 00000000 00000000 00000000 00000000 00000000 00000010 00000001 00000001* +L0034208 00000000 00000000 00000000 00000011 00000000 00000001 00000001 00000010* +L0034272 000000 000000 000000 000000 000000 000000 000000 000000* +L0034320 000000 000000 000000 000000 000000 000000 000000 000000* +L0034368 000000 000000 000000 000000 000000 000000 000000 000000* +L0034416 000000 000000 000000 010000 000000 000000 000000 000000* +L0034464 000000 000000 000000 000000 000000 000000 000000 000000* +L0034512 000000 000000 000000 000000 000000 000000 000000 000000* L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -715,19 +715,19 @@ L0035808 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000 L0035872 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* L0035936 00000000 00000000 01101100 00000000 00000000 00000000 00000000 00000000* L0036000 000000 000000 111000 000000 000000 000000 000000 000000* -L0036048 000000 000000 100000 000000 000000 000000 000000 000000* +L0036048 000100 000000 100000 000000 000010 000000 000000 000000* L0036096 000000 000000 100000 000000 000000 000000 000000 000000* L0036144 000000 000000 101000 000000 000000 000000 000000 000000* L0036192 000000 000000 001000 000000 000000 000000 000000 000000* L0036240 000000 000000 000010 000000 000000 000000 000000 000000* -L0036288 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* L0036416 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036736 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0036736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036864 000000 000000 000000 000000 000000 000000 000000 000000* L0036912 000000 000000 000000 000000 000000 000000 000000 000000* @@ -735,116 +735,116 @@ L0036960 000000 000000 000000 000000 000000 000000 000000 000000* L0037008 000000 000000 000000 000000 000000 000000 000000 000000* L0037056 000000 000000 000000 000000 000000 000000 000000 000000* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00000000 00000000 01100000 00001000 00000000 00000000 01111100 00000000* +L0037152 00000000 00000000 01100000 00000000 00000000 00000000 00000000 10000000* L0037216 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0037280 00000000 00000000 00100000 00000000 00000100 00000000 00000000 00000000* -L0037344 00000000 00000000 00100000 00000000 00000100 00000000 00000000 00000000* -L0037408 00000000 00000000 00101000 00000000 00000100 00000000 00000000 00000000* -L0037472 00000000 00000000 00000000 00001000 00000000 00000000 00000100 00000000* -L0037536 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0037600 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0037664 00000000 00000000 01101100 00000000 00100000 00000000 00000000 00000000* -L0037728 000000 000000 111000 000000 001000 000000 000000 000000* -L0037776 000000 000000 100000 000100 000001 000000 100011 000000* -L0037824 000000 000000 100000 000000 000001 000000 000000 000000* -L0037872 000000 000000 101000 000000 000001 000000 000000 000000* -L0037920 000000 000000 001000 000000 010001 000000 000000 000000* -L0037968 000000 000000 000010 000000 010001 000000 000000 100000* -L0038016 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* -L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* -L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038272 00000000 00000000 00000000 00000010 00000011 00000001 00000011 00000010* -L0038336 00000000 00000000 00000000 00000010 00000011 10000001 00000010 00000011* -L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038464 00000000 00000000 00000001 00000010 00000000 00000001 00000010 00000010* -L0038528 00000000 00000000 00000010 00000000 00000010 00000010 00000010 00000000* -L0038592 000000 000000 000000 000000 000000 000000 000000 000000* +L0037280 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* +L0037344 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* +L0037408 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000100* +L0037472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037536 00000000 00000000 00000100 00000000 00001000 00000000 00010000 00100000* +L0037600 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00100000* +L0037664 00000000 00000000 01101100 00000000 00000000 00000000 00000000 00100000* +L0037728 000000 000000 111000 000000 000000 000000 000000 000000* +L0037776 000000 000000 100000 000000 000000 000000 000000 000001* +L0037824 000000 000000 100000 000000 000000 000000 000000 000001* +L0037872 000000 000000 101000 000000 000000 000000 000000 000001* +L0037920 000000 000000 001000 000000 000000 000000 000000 000001* +L0037968 000000 000000 000010 000000 000000 000000 000000 010001* +L0038016 00000000 00000000 00000000 00000000 11100000 10000000 00000000 00000000* +L0038080 00000000 00000000 00000000 00000011 11100011 00000011 00000011 00000010* +L0038144 00000000 00000000 00000000 00000000 11100001 00000001 00000001 00000001* +L0038208 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0038272 00000000 00000000 00000000 00000010 01000011 00000001 00000011 00000010* +L0038336 00000000 00000000 00000000 00000010 01000011 10000001 00000010 00000011* +L0038400 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00000000* +L0038464 00000000 00000000 00000001 00000010 01000100 00000001 00000010 00000010* +L0038528 00000000 00000000 00000010 00000000 01000110 00000010 00000010 00000000* +L0038592 000000 000000 000000 000000 110001 000000 000000 000000* L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 000000 000000 000000 000000 000000 000000 000000 000000* -L0038736 000000 000000 000000 000000 000000 000000 000000 000000* +L0038688 000000 000000 000000 000000 100000 000000 000000 000000* +L0038736 000000 000000 000000 000000 100000 000000 000000 000000* L0038784 000000 000000 000000 000000 000000 000000 000000 000000* -L0038832 000000 000000 000000 000000 000000 000000 000000 000000* -L0038880 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* +L0038832 000000 000000 000000 000000 000001 000000 000000 000000* +L0038880 00000011 00000000 00000011 00000001 00000011 00000011 00000011 00000011* L0038944 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* L0039008 00000011 00000000 00000011 00000011 00000011 00000011 00000010 00000011* -L0039072 00000011 00000001 00000011 00000011 00000010 00000011 00000011 00000011* -L0039136 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000001* -L0039200 00000011 00000001 00000011 00000011 00001011 00000011 00000011 00000011* +L0039072 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* +L0039136 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000011* +L0039200 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* L0039264 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* L0039328 00000011 00000001 00000011 00000001 00000011 10000011 00000011 00000011* -L0039392 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0039392 00000011 00000001 00000011 00000011 00100011 00000011 00000011 00000011* L0039456 000000 000000 000000 000000 000000 000000 000000 000000* L0039504 000000 000000 000000 000000 000000 000000 000000 000000* L0039552 000000 000000 000000 000000 000000 000000 000000 000000* L0039600 000000 000000 000000 000000 000000 000000 000000 000000* L0039648 000000 000000 000000 000000 000000 000000 000000 000000* L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000000 00000000 00000011 00000000 11000001 00000001 00000000 00000011* -L0039808 00000000 00000000 00010011 00000010 01000011 00000011 00000011 00000011* -L0039872 00000000 00000000 00010011 00000000 01000011 00000011 00000000 00000011* -L0039936 00000001 00000000 10010001 00000000 01000010 00000011 00000000 00000011* -L0040000 00000000 00000000 00010011 00000000 01000011 00000011 00000010 00000001* -L0040064 00100000 00000000 00001011 00000010 10000011 00000011 00000010 00000001* -L0040128 00000001 00000000 01001011 00000001 10000011 00000011 00000000 00000011* -L0040192 00000001 00000000 01000011 00000011 10000011 00000111 00000010 00001001* -L0040256 00000001 00000000 00000011 00000001 10000011 00000111 00000000 10001111* -L0040320 000000 000000 000000 000000 100000 000001 001000 100101* -L0040368 001000 000000 000100 000000 000000 000000 000000 000000* -L0040416 000000 000000 001100 000000 001001 000000 000000 001000* -L0040464 000000 000000 000101 000000 001001 000001 000000 000000* -L0040512 000000 000000 000100 000000 001001 000000 000000 000000* -L0040560 000000 000000 001100 000000 101001 000000 000000 000000* -L0040608 00000000 00000000 01000011 00000000 00000001 00000001 00000000 00000011* -L0040672 00000000 00000000 00001011 00000000 00000010 00000011 00000000 00010011* -L0040736 00000000 00000000 00100011 00000000 00100010 00000011 00000000 00000011* -L0040800 00000000 00000000 00100101 00000000 00100010 00000011 00000000 00000001* -L0040864 00000000 00000000 00100111 00000000 00000001 00000010 00000000 00000001* -L0040928 00000000 00000000 00000011 00000010 00000011 00000010 00100000 10000001* -L0040992 00000000 00000000 00100011 00000000 00000111 00000111 00000000 00000101* -L0041056 00000000 00000000 00000011 00000000 00000111 00000011 00000010 00000001* -L0041120 00000001 00000000 00100011 00000001 00000011 00000011 00000000 00000011* -L0041184 000000 000000 001000 000000 000000 000000 000000 000000* -L0041232 000000 000000 010000 010000 000000 000000 000000 000001* -L0041280 000000 000000 010000 000000 000000 000001 000000 000010* +L0039744 00010000 00000000 00000011 00000000 00000011 00000001 00000000 00000001* +L0039808 00000000 00000000 00000011 00000010 00000011 00000011 00000011 00000011* +L0039872 00000000 00000000 00000011 00000000 00000011 00000011 00000000 00000011* +L0039936 00000000 00000000 00000001 00000000 11100010 00000011 00000000 00000011* +L0040000 00000000 00000000 00000011 00000001 10100011 00000011 00000010 00000010* +L0040064 00000000 00000000 00000011 00000010 10000011 00000011 00000010 00000011* +L0040128 00000001 00000000 00000011 00000001 10100010 00000011 00000000 00000011* +L0040192 00000001 00000000 00000011 00000011 00000011 00000111 00000010 00000011* +L0040256 00000001 00000000 00000011 00000001 00000011 00000111 00000000 00000011* +L0040320 000000 000000 000000 000000 000000 000001 000000 000000* +L0040368 001000 000000 000000 000000 000000 000000 000000 000000* +L0040416 000000 000000 000000 000000 000000 000000 000000 000000* +L0040464 000000 000000 000000 000000 000000 000001 000000 000000* +L0040512 000000 000000 000000 000000 100000 000000 000000 000000* +L0040560 000000 000000 000000 000000 100000 000000 000000 000000* +L0040608 00000000 00000000 00000011 00000000 10000010 00000001 00000000 00000001* +L0040672 00000000 00000000 00000011 00000000 00000010 00000011 00000000 00000011* +L0040736 00000000 00000000 00000011 00000000 00000010 00000011 00000000 00000011* +L0040800 00000000 00000000 00000001 00000000 00000010 00000011 00000000 00000010* +L0040864 00000000 00000000 00000011 00000000 00000010 00000010 00000000 00000010* +L0040928 00000000 00000000 00000011 00000010 01000000 00000010 00000000 00000011* +L0040992 00000000 00000000 00000011 00000001 01000110 00000111 00000000 00000011* +L0041056 00000000 00000000 00000011 00000001 00000011 00000011 00000010 00000011* +L0041120 00000000 00000000 00000011 00000001 00000001 00000011 00000000 00000011* +L0041184 000000 000000 000000 000000 000000 000000 000000 000000* +L0041232 000000 000000 000000 010000 000000 000000 000000 000000* +L0041280 000000 000000 000000 000000 000000 000001 000000 000000* L0041328 000000 000000 000000 000000 000000 000000 000000 000000* -L0041376 000000 000000 000001 000000 000000 000001 000000 000000* -L0041424 000000 000000 000001 000000 000000 000001 000000 000100* -L0041472 00000000 00000000 00000011 00000001 00000001 00000001 00000011 00000011* -L0041536 00000000 00000000 00000011 00000000 00000010 00000001 00000000 00000011* -L0041600 00000000 00000000 00000001 00000001 00000010 00000000 00000010 00000011* -L0041664 00000000 00000000 00000001 00000001 00000010 00000001 00000011 00000011* -L0041728 00000001 00000000 00000011 00000001 00000000 00000000 00000000 00000001* -L0041792 00000001 00000000 00000011 00000001 00000011 00000000 00000001 00000001* -L0041856 00000000 00000000 00000011 00000001 00000011 00000001 00000011 00000001* -L0041920 00000000 00000000 00000011 00000001 00000011 00000011 00000011 00000001* -L0041984 00000000 00000000 00000011 00000001 00000011 00000011 00000001 00000011* -L0042048 000000 000000 000000 000000 000000 000000 001000 000000* -L0042096 000000 000000 000000 000000 000000 000000 000000 000000* -L0042144 000000 000000 000000 000000 000000 000000 000000 000000* -L0042192 000000 000000 000000 000000 000000 000000 000000 000000* -L0042240 000000 000000 000000 000000 000000 000000 000000 000000* -L0042288 000000 000000 000000 000000 000000 000000 000000 000000* -L0042336 00000000 00000000 00000011 00000000 00000000 00000001 00000000 00000011* -L0042400 00000000 00000000 00000011 00000000 00000010 00000000 00000000 00000011* -L0042464 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000011* -L0042528 00000000 00000000 00000001 00000000 00000010 00000001 00000000 00000001* -L0042592 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000001* -L0042656 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000001* -L0042720 00000000 00000000 00000011 00000000 00000011 00000001 00100000 00000001* -L0042784 00000000 00000000 00000010 00000000 00000011 00000001 00000010 00000001* -L0042848 00000000 00000000 00000011 00000001 00000011 00000001 00000000 00000001* -L0042912 000000 000000 000000 000000 000000 000000 000000 000000* -L0042960 000000 000000 000000 000000 000000 000000 000000 000000* -L0043008 000000 000000 000000 000000 000000 000000 000000 000000* -L0043056 000000 000000 000000 000000 000000 000000 000000 000000* -L0043104 000000 000000 000000 000000 000000 000000 000000 000000* -L0043152 000000 000000 000000 000000 000000 000000 000000 000000* -L0043200 00000010 00000000 00000010 00000000 00000010 00000000 00000001 00000000* -L0043264 00000000 00000000 00000000 00000010 00000010 00000010 00000001 00000000* -L0043328 00000010 00000000 00000000 00000010 00000010 00000010 00000001 00000000* -L0043392 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000001* -L0043456 00000010 00000000 00000010 00000000 00000010 00000010 00000001 00000010* +L0041376 000000 000000 000000 000000 000000 000001 000000 000000* +L0041424 000000 000000 000000 000000 000000 000001 000000 000000* +L0041472 00000000 00000000 00000011 00000011 00000010 00000001 00000011 00000001* +L0041536 00000000 00000000 00010011 00000000 00000010 00000001 00000000 00000010* +L0041600 00000000 00000000 00010001 00000001 00000010 00000000 00000010 00000011* +L0041664 00000000 00000000 10010001 00000001 11100010 00000001 00000011 00000010* +L0041728 00000001 00000000 00010011 00000000 10100010 00000000 00000000 00000010* +L0041792 00000001 00000000 00000011 00000001 00000000 00000000 00000001 00000010* +L0041856 00000000 00000000 01000011 00000011 00100010 00000001 00000011 00000011* +L0041920 00000000 00000000 01000011 00000001 00000011 00000011 00000011 00000011* +L0041984 00000001 00000000 00000011 00000011 00000001 00000011 00000001 00000011* +L0042048 000000 000000 000000 000000 000000 000000 000000 000000* +L0042096 000000 000000 000100 000000 000000 000000 000000 000000* +L0042144 000000 000000 001100 000000 000000 000000 000000 000000* +L0042192 000000 000000 000100 000000 000000 000000 000000 000000* +L0042240 000000 000000 000100 000000 100000 000000 000000 000000* +L0042288 000000 000000 001000 000000 100000 000000 000000 000000* +L0042336 00000000 00000000 01000011 00000000 00000010 00000001 11100100 00000001* +L0042400 00000000 00000000 00000011 00000000 10000010 00000000 00000000 00000010* +L0042464 00000000 00000000 00101001 00000000 00000010 00000000 00000000 00000010* +L0042528 00000000 00000000 00101001 00000000 00000010 00000001 00000000 00000010* +L0042592 00000000 00000000 00100010 00000000 00000010 00000000 00000000 00000010* +L0042656 00000000 00000000 11001011 00000000 10000000 00000000 00000100 00000010* +L0042720 00000000 00000000 10001011 00000000 00000010 00000001 00000000 00000011* +L0042784 00000000 00000000 10100010 00000001 01000101 00000001 00000010 00000001* +L0042848 00000000 00000000 10100011 00000001 01000001 00000001 00000000 00000011* +L0042912 000000 000000 001000 000000 000000 000000 000000 000000* +L0042960 000000 000000 001010 000000 000000 000000 100001 000000* +L0043008 000000 000000 000010 000000 000000 000000 000000 000000* +L0043056 000000 000000 010010 000000 000000 000000 000000 000000* +L0043104 000000 000000 010010 000000 000000 000000 000000 000000* +L0043152 000000 000000 010000 000000 000000 000000 000000 000000* +L0043200 00000000 00000000 00000010 00000010 00000000 00000000 00000010 00000000* +L0043264 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00000010* +L0043328 00000000 00000000 00000000 00000010 00000010 00000010 00000010 00000010* +L0043392 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0043456 00000010 00000000 00000010 00000010 00000000 00000010 00000010 00000000* L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -855,11 +855,11 @@ L0043872 000000 000000 000000 000000 000000 000000 000000 000000* L0043920 000000 000000 000000 000000 000000 000000 000000 000000* L0043968 000000 000000 000000 000000 000000 000000 000000 000000* L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000011 00000001 00000011 00000010 00000000 00000001* -L0044128 00000000 00000000 00000001 00000001 00000001 00000001 00000000 00000001* -L0044192 00000000 00000000 00000011 00000001 00000011 00000011 00000000 00000001* +L0044064 00000000 00000000 00000011 00000001 00000000 00000010 00000000 00000001* +L0044128 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000001* +L0044192 00000000 00000000 00000011 00000001 00000000 00000011 00000000 00000001* L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000010 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0044320 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000010* L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -867,122 +867,122 @@ L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0044640 000000 000000 000000 000000 000000 000000 000000 000000* L0044688 000000 000000 000000 000000 000000 000000 000000 000000* L0044736 000000 000000 000000 000000 000000 000000 000000 000000* -L0044784 000000 000000 000000 000000 001000 000000 000000 000000* +L0044784 000000 000000 000000 000000 000000 000000 000000 000000* L0044832 000000 000000 000000 000000 000000 000000 000000 000000* -L0044880 000000 000000 000000 000000 001000 000000 000000 000000* -L0044928 00000000 00000000 00000000 00000010 00000000 00000000 00000010 00000000* -L0044992 00000010 00000000 00000010 00000010 00000000 00000011 00000010 00000000* -L0045056 00000010 00000000 00000010 00000010 00000000 00000001 00000000 00000000* -L0045120 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000001* -L0045184 00000010 00000000 00010000 00000010 00000000 00000011 00000010 00000010* +L0044880 000000 000000 000000 000000 000000 000000 000000 000000* +L0044928 00000010 00000000 00000000 00000000 00000000 00000000 00000010 00000010* +L0044992 00000010 00000000 00000000 00000010 00000000 00000010 00000010 00000000* +L0045056 00000010 00000000 00000010 00000010 01000000 00000010 00000000 00000010* +L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0045184 00000010 00000000 00010000 00000000 00000010 00000010 00000010 00000010* L0045248 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0045312 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045504 000000 000000 000000 000000 000000 000000 000000 000000* +L0045312 00000000 00000000 00001000 00000000 10000000 00000000 00000000 00000000* +L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045440 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0045504 000000 000000 000000 000000 010001 000000 000000 000000* L0045552 000000 000000 000000 000000 000000 000000 000000 000000* L0045600 000000 000000 000000 000000 000000 000000 000000 000000* L0045648 000000 000000 000000 000000 000000 000000 000000 000000* L0045696 000000 000000 000000 000000 000000 000000 000000 000000* L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000001 00000000 00100010 00000010 00000000 00000000 00000010 00000000* -L0045856 00000001 00000000 00100001 00000001 00000001 00000010 00000010 00000000* -L0045920 00000001 00000000 00000011 00000010 00000000 00000010 00000000 00000000* -L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000001 00000000 00001000 00000011 00000011 00000010 00000010 00000010* +L0045792 00000000 00000000 00100000 00000001 00000010 00000000 00000010 00000000* +L0045856 00000001 00000000 00100011 00000001 00000001 00000010 00000001 00000000* +L0045920 00000000 00000000 00000001 00000001 00000010 00000010 00000011 00000000* +L0045984 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* +L0046048 00000001 00000000 00001010 00000001 10100000 00000010 00000010 00000010* L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 01000100 00000000 00000000 00000000 00000000 00000000* +L0046176 00000000 00000000 01000100 00000000 00100000 00000000 00000000 00000000* L0046240 00000000 00000000 01000100 00000000 00000000 00000000 00000000 00000000* L0046304 00000000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* -L0046368 000000 000000 110000 000000 000000 000000 001000 000000* +L0046368 000000 000000 110000 000000 000000 000000 000000 000000* L0046416 000000 000000 100000 000000 000000 000000 000000 000000* L0046464 000000 000000 101000 000000 000000 000000 000000 000000* L0046512 000000 000000 101000 000000 000000 000000 000000 000000* -L0046560 000000 000000 001000 000000 000000 000000 000000 000000* -L0046608 000000 000000 000010 000000 000000 000000 000000 000000* -L0046656 00000000 00000010 00000000 00000100 00000000 00000000 00000000 00000000* -L0046720 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000010* -L0046784 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000010* +L0046560 000000 000000 001000 000000 100000 000000 000000 000000* +L0046608 000000 000000 000010 000000 100000 000000 000000 000000* +L0046656 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* +L0046720 00000000 00000000 00000000 00000000 00000000 00000001 00000010 00000010* +L0046784 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000010* L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046912 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0046976 00001000 00000000 00000000 00000000 00000000 00000000 10000000 00100000* -L0047040 00000000 00000000 00000000 00000000 00000000 00000000 01010000 00000000* +L0046912 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0046976 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047232 000000 000000 000000 000000 000000 000000 000000 000000* -L0047280 000000 000000 000000 000000 000000 000000 010000 000000* +L0047280 000001 000000 000000 000000 000000 000000 000000 000000* L0047328 000000 000000 000000 000000 000000 000000 000000 000000* L0047376 000000 000000 000000 000000 000000 000000 000000 000000* L0047424 000000 000000 000000 000000 000000 000000 000000 000000* L0047472 000000 000000 000000 000000 000000 000000 000000 000000* -L0047520 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000000* -L0047584 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0047648 00000000 00000000 00000000 00000001 00000010 00000000 00000000 00000000* +L0047520 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000010* +L0047584 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0047648 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000000 00000000 00000010 00000001 00000000 00000010 00000000 00000000* -L0047840 00000000 00000000 00000000 00000000 00000000 00000000 01010000 00000000* -L0047904 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0047776 00000000 00000000 00000011 00000000 00000000 00000010 00000000 00000000* +L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048096 000000 000000 000000 000000 000000 000000 001000 000000* +L0048096 000000 000000 000000 000000 000000 000000 000000 000000* L0048144 000000 000000 000000 000000 000000 000000 000000 000000* -L0048192 000000 000000 000000 000000 000000 000000 010000 000000* +L0048192 000000 000000 000000 000000 000000 000000 000000 000000* L0048240 000000 000000 000000 000000 000000 000000 000000 000000* L0048288 000000 000000 000000 000000 000000 000000 000000 000000* L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00000000 00000000 00100000 00000000 00000010 10000000 00000010 00000011* -L0048448 00000010 00000000 00100010 00000010 00000000 00001000 00000010 00000000* -L0048512 00000000 00000000 00000000 00000000 00000110 00000000 00000000 00000011* -L0048576 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0048640 00000010 00000000 00001010 00000010 00000110 00000010 00000010 00000011* +L0048384 00000010 00000000 00100010 00000001 00000011 10000000 00000010 00000000* +L0048448 00000010 00000000 00100010 00000010 00000011 00001000 00000000 00000010* +L0048512 00000010 00000000 00000010 00000011 00000000 00000000 00000010 00000100* +L0048576 00000001 00000000 00000001 00000000 00000000 00000000 00000000 00000101* +L0048640 00000010 00000000 00001010 00000011 00000000 00000010 00000010 00000110* L0048704 00000000 00000000 10000100 00000000 00000000 10000000 00000000 00100000* -L0048768 00000000 00000000 10000100 00000000 00000000 01000000 00000000 00000000* -L0048832 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0048896 00000000 00000000 11011100 00000000 00100000 00000000 00000000 00000000* -L0048960 000000 000000 110000 000000 001000 000000 000000 000000* -L0049008 000000 000000 100000 000000 000001 000000 000000 010000* -L0049056 000000 000000 100000 000000 000001 010000 000000 000000* -L0049104 000000 000000 101000 000000 000001 010000 000000 000000* -L0049152 000000 000000 001001 000000 010001 000000 000000 000000* -L0049200 000000 000000 000011 000000 010001 000000 000000 000000* -L0049248 00000000 00000000 00000010 00000001 00000010 00000000 00000010 00000000* -L0049312 00000010 00000000 00000000 00000001 00000000 01000000 00000000 00000010* -L0049376 00000000 00000000 00000010 00000001 00000010 01000000 00000010 00000000* +L0048768 00000000 00000000 10000100 00000000 00000000 01000000 00000000 00100000* +L0048832 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00100000* +L0048896 00000000 00000000 11011100 00000000 00000000 00000000 00000000 00100000* +L0048960 000000 000000 110000 000000 000000 000000 000000 000000* +L0049008 000000 000000 100000 000000 000000 000000 000000 010001* +L0049056 000000 000000 100000 000000 000000 010000 000000 000001* +L0049104 000000 000000 101000 000000 000000 010000 000000 000001* +L0049152 000000 000000 001001 000000 000000 000000 000000 000001* +L0049200 000000 000000 000011 000000 000000 000000 000000 010001* +L0049248 00000000 00000000 00000001 00000000 00000000 00000000 00000010 00000011* +L0049312 00000010 00000000 00000011 00000000 00000000 01000000 00000000 00000001* +L0049376 00000000 00000000 00000001 00000000 00000000 01000000 00000010 00000011* L0049440 00000000 00000000 00010000 00000000 00000000 00001000 00000000 00000000* -L0049504 00000010 00000000 00000000 00000001 00000000 00000010 00000000 00000010* +L0049504 00000000 00000000 00000011 00000000 00000000 00000010 00000000 00000001* L0049568 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0049632 00000000 00000000 00000000 00000000 01000000 00100000 00000000 00000000* +L0049632 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0049696 00000000 00000000 00010000 00000000 00000000 10100000 00000000 00000000* L0049760 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0049824 000000 000000 000000 000000 100000 000000 000000 000000* +L0049824 000000 000000 000000 000000 000000 000000 000000 100000* L0049872 000000 000000 000000 010000 000000 000000 000000 000000* L0049920 000000 000000 000000 010000 000000 000000 000000 000000* L0049968 000000 000000 000000 010000 000000 000000 000000 000000* L0050016 000000 000000 000000 000000 000000 000000 000000 000000* L0050064 000000 000000 000000 000000 000000 000000 000000 000000* -L0050112 00000000 00000000 00000011 00000011 00000000 10001000 00000000 00000000* -L0050176 00000000 00000000 00000000 00000000 00000010 00001000 00000000 00000000* -L0050240 00000000 00000000 00000001 00000011 00000000 00000000 00000000 00000000* -L0050304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0050368 00000000 00000000 00000011 00000011 00000000 00001010 00000000 00000000* -L0050432 00000000 00000000 00000000 00000100 00000000 10000000 00000000 00000000* +L0050112 00000000 00000000 00000010 00000011 00000001 00000000 00000000 00010011* +L0050176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0050240 00000000 00000000 00000000 00000011 00000001 00000000 00000000 00000011* +L0050304 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0050368 00000000 00000000 00000010 00000011 00000000 00000010 00000000 00000010* +L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050624 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050688 000000 000000 000000 000000 000000 000000 000000 000000* L0050736 000010 000000 000000 000000 000000 000000 000000 010000* -L0050784 000000 000000 000000 000000 000000 010000 000000 000000* -L0050832 000000 000000 000000 000000 000000 010000 000000 000000* +L0050784 000000 000000 000000 000000 000000 000000 000000 000000* +L0050832 000000 000000 000000 000000 000000 000000 000000 000000* L0050880 000000 000000 000000 000000 000000 000000 000000 000000* L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000000 00000000 00000000 00000010 00000010 00000000 00000011 00000001* -L0051040 00000010 00000000 00000010 00000000 00000000 01000010 00000010 00000000* -L0051104 00000000 00000000 00000000 00000010 00000010 01000010 00000001 00000001* -L0051168 00000000 00000000 00000001 00000001 00000001 00001000 00000000 00000000* -L0051232 00000010 00000001 00000010 00000010 00000000 00000010 00000011 00000011* +L0050976 00000000 00000000 00000000 00000000 00000001 00000000 00000010 00000010* +L0051040 00000010 00000000 00000001 00000000 00000011 00000000 00000001 00000001* +L0051104 00000010 00000000 00000001 00000000 00000001 00000000 00000011 00000011* +L0051168 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0051232 00000010 00000000 00000000 00000000 00000011 00000010 00000010 00000000* L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051360 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0051424 00000000 00000000 00000000 00000000 00000000 10100000 00000000 00000000* +L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* L0051600 000000 000000 000000 000000 000000 000000 000000 000000* @@ -990,41 +990,41 @@ L0051648 000000 000000 000000 000000 000000 000000 000000 000000* L0051696 000000 000000 000000 000000 000000 000000 000000 000000* L0051744 000000 000000 000000 000000 000000 000000 000000 000000* L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00000010 00000001 00000110 00000001 00000000 00000011* -L0051904 00000000 00000000 00000000 00000000 00100110 00000000 00000000 00000011* -L0051968 00000000 00000000 00000000 00000000 00100100 00000001 00000010 00000011* -L0052032 00000000 00000000 00000000 00000100 00100100 00000000 00000000 00000000* -L0052096 00000000 00000000 00000010 00000011 00100100 00000001 00000000 00000011* -L0052160 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0052224 00000000 00000000 00001000 00000000 00000100 00000000 00000000 00000000* -L0052288 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0052352 00000000 00000000 00000000 00000100 00100100 00000000 00000000 00000000* -L0052416 000000 000000 000000 000000 001001 000000 000000 000000* -L0052464 000000 000000 000100 000000 010001 000000 000000 000000* -L0052512 000000 000000 000000 000000 010000 000000 000000 000000* -L0052560 000001 000000 000001 000000 010000 000000 000000 000000* -L0052608 000000 000000 000000 000000 110000 000000 000000 000000* -L0052656 000000 000000 000100 000000 010000 000000 000000 000000* -L0052704 00001000 00000000 01100000 00000000 00100000 00000000 00000011 00000011* -L0052768 00000000 00000010 00100000 00000000 00010000 00000001 00000000 00000010* -L0052832 00000000 00000000 00100000 00000000 00000000 00000001 00000011 00000011* +L0051840 00000000 00000000 00000010 00000001 00000000 00000001 00000000 00000000* +L0051904 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0051968 00000000 00000000 00000000 00000000 00000001 00000001 00000010 00000001* +L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052096 00000000 00000000 00000010 00000001 00000010 00000001 00000000 00000010* +L0052160 10000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0052224 00000000 00000000 00001000 00100000 00000000 00000000 00000000 00000000* +L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052416 000000 000000 000000 000000 000000 000000 000000 000000* +L0052464 000000 000000 000100 000000 000000 000000 000000 000000* +L0052512 000000 000000 000000 000000 000000 000000 000000 000000* +L0052560 000000 000000 000001 000000 000000 000000 000000 000000* +L0052608 000000 000000 000000 000000 000000 000000 000000 000000* +L0052656 000000 000000 000100 000000 000000 000000 000000 000000* +L0052704 00000000 00000000 01100000 00000000 00000000 00000000 00000010 00000000* +L0052768 00000000 00000010 00100000 00000000 00000000 00000001 00000000 00000000* +L0052832 00000000 00000000 00100001 00000000 00000000 00000001 00000010 00000000* L0052896 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0052960 00000000 00000000 00101000 00000000 00000000 00000011 00000011 00000011* -L0053024 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0053088 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0052960 00000000 00000000 00101000 00000000 00000000 00000001 00000010 00000010* +L0053024 00000000 00000000 01000000 00000000 00000000 00000000 00010000 00000000* +L0053088 00000000 00000000 00000100 00000000 00010000 00000000 00000000 00000000* L0053152 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* L0053216 00000000 00000000 11101100 00000000 00000000 00000000 00000000 00000000* L0053280 000000 000000 111000 000000 000000 000000 000000 000000* L0053328 000000 000000 100000 000000 000000 000000 000000 000000* -L0053376 000001 000000 100000 000000 000000 000000 000000 000000* +L0053376 000000 000000 100000 000000 000000 000000 000000 000000* L0053424 000000 000000 101010 000000 000000 000000 000000 000000* L0053472 000000 000000 001010 000000 000000 000000 000000 000000* L0053520 000000 000000 010010 000000 000000 000000 000000 000000* -L0053568 00000000 00000000 00000010 00000010 00000001 00000000 00000010 00000000* -L0053632 00000001 00000000 00000001 00000001 00000011 00000001 00000010 00000000* -L0053696 00000000 00000000 00000001 00000011 00000000 00000011 00000000 00000000* +L0053568 00000010 00000000 00000010 00000010 00000000 00000000 00000000 00000010* +L0053632 00000010 00000000 00000000 00000001 00000011 00000011 00000001 00000011* +L0053696 00000010 00000000 00000000 00000011 00000000 00000011 00000001 00000010* L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053824 00000011 00000000 00000010 00000010 00000000 00000011 00000011 00000011* +L0053824 00000010 00000000 00000011 00000010 00000011 00000011 00000010 00000011* L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1035,42 +1035,42 @@ L0054240 000000 000000 000000 000000 000000 000000 000000 000000* L0054288 000000 000000 000000 000000 000000 000000 000000 000000* L0054336 000000 000000 000000 000000 000000 000000 000000 000000* L0054384 000000 000000 000000 000000 000000 000000 000000 000000* -L0054432 00000000 00000000 00000000 00000001 00000010 00000000 00000010 00000011* -L0054496 00000000 00000000 00000010 00000001 00000000 00000000 00000000 00000001* -L0054560 00000000 00000000 00000011 00000001 00000000 00000010 00000011 00000001* +L0054432 00000000 00000000 00000000 00000010 00000010 00000000 00000011 00000010* +L0054496 00000000 00000000 00000010 00000000 00000010 00000000 00000001 00000000* +L0054560 00000000 00000000 00000010 00000010 00000010 00000011 00000000 00000000* L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000000 00000000 00000010 00000011 00000010 00000010 00000010 00000011* +L0054688 00000010 00000000 00000010 00000010 00000000 00000011 00000011 00000010* L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055008 000000 000000 000000 000000 000000 000000 000000 000000* -L0055056 000000 000000 000000 000000 000000 000000 000000 000000* +L0055056 000000 000000 000000 000000 000000 001000 000000 000000* L0055104 000000 000000 000000 000000 000000 000000 000000 000000* -L0055152 000000 000000 000000 000000 000000 000000 000000 000000* -L0055200 000000 000000 000000 000000 000000 000000 000000 000000* +L0055152 000000 000000 000000 000000 000000 001000 000000 000000* +L0055200 000000 000000 000000 000000 000000 001000 000000 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000010 00000000 00000000 00000000 00000010 00000000 00000010 00000010* -L0055360 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000010* -L0055424 00000010 00000000 00000010 00000000 00000000 00000010 00000000 00000010* -L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055552 00000010 00000000 00000000 00000010 00000000 00000010 00000010 00000010* -L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055872 000000 000000 000000 000000 000000 000000 000000 000000* -L0055920 000000 000000 000000 000000 000000 000000 000000 000000* -L0055968 000000 000000 000000 000000 000000 000000 000000 000000* -L0056016 000000 000000 000000 000000 000000 000000 000000 000000* -L0056064 000000 000000 000000 000000 000000 000000 000000 000000* -L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000000 00000010 00000000 00000001 00000010 00000000 00000010 00000010* -L0056224 00000010 00000000 00000010 00000001 00000010 00000001 00000010 00000010* -L0056288 00000010 00000000 00000000 00000001 00000010 00000001 00000000 00000010* +L0055296 00000010 00000000 00000100 00000010 00000010 00000000 00000010 00000000* +L0055360 00000000 00000000 00000000 00000010 00000010 00000000 00000010 00000000* +L0055424 00000010 00000000 00000110 00000010 00000000 00000010 00000000 00000000* +L0055488 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0055552 00000010 00000000 00000100 00000011 00000000 00000010 00000010 00000010* +L0055616 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0055680 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0055744 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0055808 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0055872 000000 000000 100100 000000 000000 000000 000000 000000* +L0055920 000000 000000 100011 000000 000000 000000 000000 000000* +L0055968 000000 000000 100011 000000 000000 000000 000000 000000* +L0056016 000000 000000 100010 000000 000000 000000 000000 000000* +L0056064 000000 000000 000011 000000 000000 000000 000000 000000* +L0056112 000000 000000 000011 000000 000000 000000 000000 000000* +L0056160 00000000 00000010 00000000 00000011 00000000 00000000 00000010 00000001* +L0056224 00000010 00000000 10000000 00000000 00000000 00000001 00000010 00000011* +L0056288 00000010 00000000 00000000 00000011 00000001 00000001 00000000 00000001* L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000010 00000010 00000010 00000001 00000011 00000011 00000010 00000010* -L0056480 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0056416 00000010 00000010 00000000 00000011 00000000 00000011 00000010 00000011* +L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1080,161 +1080,161 @@ L0056832 000000 000000 000000 000000 000000 000000 000000 000000* L0056880 000000 000000 000000 000000 000000 000000 000000 000000* L0056928 000000 000000 000000 000000 000000 000000 000000 000000* L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000000 00000000 00000000 00000010 00000000 00000001 00000010 00000001* -L0057088 00000001 00000000 00000000 00000010 00000011 00000000 00000010 00000011* -L0057152 00000010 00000000 00000011 00000010 00000000 00000001 00000001 00000001* -L0057216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057280 00000010 00000000 00000000 00000010 00000011 00000011 00000010 00000011* -L0057344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057600 000000 000000 000000 000000 000000 000000 000000 000000* -L0057648 000000 000000 000000 000000 000000 000000 000000 000000* -L0057696 000000 000000 000000 000000 000000 000000 000000 000000* -L0057744 000000 000000 000000 000000 000000 000000 000000 000000* -L0057792 000000 000000 000000 000000 000000 000000 000000 000000* -L0057840 000000 000000 000000 000000 000000 000000 000000 000000* -L0057888 00000000 00000000 00000000 00000010 00000000 00000001 00000010 00000000* -L0057952 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000010* -L0058016 00000000 00000000 00000000 00000010 00000001 00000010 00000000 00010010* +L0057024 00000000 00000000 00000000 00000010 00000000 00000001 00000010 00000010* +L0057088 00000010 00000000 00000000 00000000 00000010 00000001 00000010 00000010* +L0057152 00000010 00000000 00100011 00000010 00000000 00000001 00000001 00100110* +L0057216 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0057280 00000000 00000000 00000000 00000010 00000010 00000011 00000010 00100110* +L0057344 00010000 00000000 10001100 00000000 00000000 00000000 00000000 00100000* +L0057408 00000000 00000000 01000000 00001000 00000000 00000000 00000000 01010100* +L0057472 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00100000* +L0057536 00000000 00000000 01000000 00000000 00000000 00000000 00000000 01000100* +L0057600 000000 000000 110000 000000 000000 000000 000000 000001* +L0057648 000000 000000 010010 100000 000000 000000 000000 010000* +L0057696 000000 000000 100000 000000 000000 000000 000000 000001* +L0057744 000000 000000 010010 000000 000000 000000 000000 010100* +L0057792 000000 000000 000001 000000 000000 000000 000000 001001* +L0057840 000000 000000 010010 000000 000000 000000 000000 010000* +L0057888 00000000 00000000 00011000 00000010 00000000 00000001 00000000 01000001* +L0057952 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000011* +L0058016 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00010011* L0058080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058144 00000010 00000000 00000000 00000010 00000000 00000011 00000010 00000110* -L0058208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0058272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0058144 00000011 00000000 10000000 00000010 00000000 00000011 00000010 00000011* +L0058208 00000000 00000000 00100000 10001000 00000000 00000000 00000000 00000100* +L0058272 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0058336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0058400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0058464 000000 000000 000000 000000 000000 000000 000000 000000* -L0058512 000000 000000 000000 000000 000000 000000 000000 000001* -L0058560 000000 000000 000000 000000 000000 000000 000000 000011* -L0058608 000000 000000 000000 000000 000000 000000 000000 000011* +L0058512 000000 000000 000000 000000 000000 000000 000000 000100* +L0058560 000000 000000 000000 000000 000100 000000 000000 000000* +L0058608 000000 000000 000000 000000 000000 000000 000000 100000* L0058656 000000 000000 000000 000000 000000 000000 000000 000000* -L0058704 000000 000000 000000 000000 000000 000000 000000 000100* -L0058752 00000000 00000000 00000001 00000011 00000000 00000000 00000011 00000001* -L0058816 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000001* -L0058880 00000000 00000000 00000001 00000011 00000000 00000000 00000010 00000001* -L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000001* -L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059328 000000 000000 000000 000000 000000 000000 000000 000000* -L0059376 000000 000000 000000 000000 000000 000000 000000 000000* -L0059424 000000 000000 000000 000000 000000 000000 000000 000000* -L0059472 000000 000000 000000 000000 000000 000000 000000 000000* -L0059520 000000 000000 000000 000000 000000 000000 000000 000000* -L0059568 000000 000000 000000 000000 000000 000000 000000 000000* -L0059616 00000000 00000000 00000000 00010000 00000001 00000000 00000000 00000000* -L0059680 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000000* -L0059744 00000000 00000000 00000000 00000000 00000011 00000001 00000010 00000000* -L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059872 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0058704 000000 000000 000000 000000 000000 000000 000000 000000* +L0058752 00000000 00000000 00100000 00000000 00000000 00000000 00000001 00000001* +L0058816 00000000 00000000 00101001 00000000 00000000 00000000 00000000 00000000* +L0058880 00000000 00000000 00001001 00000000 00000000 00000000 00000000 00000001* +L0058944 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0059008 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000001* +L0059072 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0059136 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0059200 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0059264 00000000 00000000 01001000 00000000 00000000 00000000 00000000 01000000* +L0059328 000000 000000 010000 000000 000000 000000 000000 010000* +L0059376 000000 000000 011000 000000 000000 000000 000000 000000* +L0059424 000000 000000 010000 000000 000000 000000 000000 000000* +L0059472 000000 000000 011000 000000 000000 000000 000000 000000* +L0059520 000000 000000 011000 000000 000000 000000 000000 000000* +L0059568 000000 000000 010000 000000 000000 000000 000000 000000* +L0059616 00000000 00000000 00000001 00000000 00000000 00000000 00000001 01000000* +L0059680 00000000 00000000 00000000 00000010 00000001 00000000 00000000 00000000* +L0059744 00000000 00000000 00000001 00000010 00000000 00000001 00000001 00000000* +L0059808 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0059872 00000000 00000000 00100000 00000000 00000001 00000001 00000001 00000000* L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060192 000000 000000 000000 000000 000000 000000 000000 000000* -L0060240 000000 000000 000000 000001 000000 000000 000000 000000* -L0060288 000000 000000 000000 000001 000000 000000 000000 000000* -L0060336 000000 000000 000000 000001 000000 000000 000000 000000* +L0060192 000000 000000 001000 000000 000000 000000 000000 000000* +L0060240 000000 000000 000000 000000 000000 000000 000000 000000* +L0060288 000000 000000 000000 000000 000000 000000 000000 000000* +L0060336 000000 000000 000000 000000 000000 000000 000000 000000* L0060384 000000 000000 000000 000000 000000 000000 000000 000000* L0060432 000000 000000 000000 000000 000000 000000 000000 000000* -L0060480 00000000 00000000 00011010 00000000 00000000 00000000 00000000 00000001* -L0060544 00000001 00000000 00000000 00000001 00000001 00000000 00000001 00000001* -L0060608 00000001 00000000 00000010 00000001 00000001 00000000 00000001 00000000* +L0060480 00000011 00000000 00000010 00000000 00000010 00000000 00000000 00000000* +L0060544 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* +L0060608 00000001 00000000 00000010 00000000 00000011 00000001 00000001 00000001* L0060672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060736 00000001 00000000 10000001 00000000 00000000 00000000 00000000 00000001* -L0060800 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0060736 00000001 00000000 00000001 00000000 00000000 00000001 00000000 01000000* +L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0061056 000000 000000 000000 000000 000000 000000 000000 000000* -L0061104 000000 000000 000000 100000 000000 000000 000000 000000* +L0061104 000000 000000 000000 000000 000000 000000 000000 000000* L0061152 000000 000000 000000 000000 000000 000000 000000 000000* -L0061200 000000 000000 000000 000000 000000 000000 000000 000000* +L0061200 000000 000000 000000 000001 000000 000000 000000 000000* L0061248 000000 000000 000000 000000 000000 000000 000000 000000* L0061296 000000 000000 000000 000000 000000 000000 000000 000000* -L0061344 00000000 00000000 01100010 00000010 00000010 00000000 00000010 00000010* -L0061408 00000000 00000000 00100000 00000010 00000001 00000001 00000010 00000000* -L0061472 00000000 00000000 00000010 00000010 00000010 00000001 00000010 00000010* -L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061600 00000000 00000000 00100100 00000010 00000001 00000000 00000010 00000000* -L0061664 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0061728 00000000 00000000 10001100 00000000 00000000 00000000 00000000 00000000* -L0061792 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0061856 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0061920 000000 000000 000000 000000 000000 000000 000000 000000* -L0061968 000000 000000 100000 000000 000000 000000 000000 000000* -L0062016 000000 000000 010010 000000 000000 000000 000000 000000* -L0062064 000000 000000 100000 000000 000000 000000 000000 000000* -L0062112 000000 000000 010010 000000 000000 000000 000000 000000* -L0062160 000000 000000 000001 000000 000000 000000 000000 000000* -L0062208 00000001 00000000 00000000 00100000 00000000 00000000 00000001 00000000* -L0062272 00000000 00000000 00000001 00000001 00000001 00000011 00000000 00000001* -L0062336 00000000 00000000 00100000 00000001 00100111 00000011 00000001 00000001* -L0062400 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0062464 00000000 00000000 00000001 00000001 00100101 00000011 00000001 00000001* -L0062528 00000000 00000000 10001100 00010000 00000000 00000000 00000000 00000000* -L0062592 00000000 00000000 01000000 00000000 01000100 00000000 00000000 00000000* -L0062656 00000000 00000000 10000100 00000000 00100000 00000000 00000000 00000000* -L0062720 00000000 00000000 01000000 00000000 00000100 00000000 00000000 00000000* -L0062784 000000 000000 110000 000000 000001 000000 000000 000000* -L0062832 000000 000000 010010 010000 000000 000000 000000 000000* -L0062880 000000 000000 100000 010000 011001 000000 000000 000000* -L0062928 000000 000000 010010 010000 001000 000000 000000 000000* -L0062976 000000 000000 000001 000000 010011 000000 000000 000000* -L0063024 000000 000000 010010 000000 010000 000000 000000 000000* -L0063072 00000000 00000000 00011001 00000000 00000000 00000001 00000001 01100000* -L0063136 00000010 00000000 00000011 00000010 00000011 00000010 00000010 00100011* -L0063200 00000000 00000000 00000011 00000010 00000010 00000000 00000011 00000010* -L0063264 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0063328 00000001 00000000 10000001 00000000 00000001 00000001 00000000 00000001* -L0063392 00000000 00000000 00100000 00000000 00000100 00000000 00000000 00000100* -L0063456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0063648 000000 000000 000000 000000 000000 000000 000000 000000* -L0063696 000000 000001 000000 100000 000000 000000 000000 000000* -L0063744 000000 000000 000000 000000 000010 000000 000000 000000* -L0063792 000000 000000 000000 000000 100000 000000 000000 100000* -L0063840 000000 000000 000000 000000 000000 000000 100011 001001* -L0063888 000000 000000 000000 000000 000000 000000 000000 000000* -L0063936 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000001* -L0064000 00000000 00000000 00000000 00000000 00000000 00000001 00000010 00000001* -L0064064 00000000 00000000 00000000 00000001 00000000 00000001 00000010 00000001* +L0061344 00000000 00000000 00000010 00000000 00000000 00000000 00000011 00000010* +L0061408 00000000 00000000 00000000 00000000 00000011 00000001 00000001 00000000* +L0061472 00000000 00000000 00000010 00000100 00000000 00000001 00000010 00000110* +L0061536 00000000 00000000 00000000 10011000 00000000 00000000 00000000 00000100* +L0061600 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* +L0061664 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0061728 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100000* +L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061920 000000 000000 000000 000001 000000 000000 000000 000000* +L0061968 000000 000000 000000 101000 001000 000000 000000 000000* +L0062016 000000 000000 000000 000000 000000 000000 000000 000001* +L0062064 000000 000000 000000 000000 000000 000000 000000 000001* +L0062112 000000 000000 000000 000100 000000 000000 000000 000000* +L0062160 000000 000000 000000 000001 000000 000000 000000 010000* +L0062208 00000000 00000000 00000001 00000000 00100100 00000000 00000000 00000000* +L0062272 00000001 00000000 00010000 00000000 01110000 00000011 00000000 01000001* +L0062336 00000001 00000000 00010001 00000000 01100000 00000011 00000001 01000001* +L0062400 00000000 00000000 10010000 00000000 01100000 00000000 00000000 01000000* +L0062464 00000001 00000000 00010000 00000000 01100010 00000011 00000000 01000001* +L0062528 00000000 00000000 00001000 00010000 01000000 00000000 00000000 10000000* +L0062592 00000000 00000000 01001000 00000000 01000000 00000000 00000000 11010000* +L0062656 00000000 00000000 01000000 00000000 01000000 00000000 00000000 10010000* +L0062720 00000000 00000000 00000000 00000000 11000000 00000000 00000000 10000000* +L0062784 000000 000000 000000 000000 010000 000000 001000 100000* +L0062832 000000 000000 000100 010000 000000 000000 000000 000000* +L0062880 000000 000000 001100 010000 100000 000000 000000 000001* +L0062928 000000 000000 000101 010000 100000 000000 000000 000001* +L0062976 000000 000000 000100 000000 100001 000000 000000 000001* +L0063024 000000 000000 001100 000000 100000 000000 000000 100001* +L0063072 00000000 00000000 01000001 00000001 01000000 00000001 00000001 00000001* +L0063136 00000010 00000000 00001000 00000011 00000011 00000010 00000000 00000001* +L0063200 00000000 00000000 00100001 00000010 00000010 00000000 00000001 00100001* +L0063264 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00100000* +L0063328 00000001 00000000 00100100 00000001 00000001 00000001 00000000 00000001* +L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0063456 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* +L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0063584 00000000 00000000 00100000 00000000 00100000 00000000 00000000 00000000* +L0063648 000000 000000 001000 000000 100000 000000 000000 000000* +L0063696 000000 000000 010000 000000 100001 000000 000000 001000* +L0063744 000000 000000 010000 000000 000000 000000 000000 000000* +L0063792 000000 000000 000000 000000 000000 000000 000000 000000* +L0063840 000000 000000 000001 000000 000000 000000 000000 000000* +L0063888 000000 000000 000001 000000 000000 000000 000000 000000* +L0063936 00000000 00000001 00000000 00000001 00000000 00000000 00000011 00000001* +L0064000 00000001 00000000 00000010 00000000 00000010 00000000 00000011 00000001* +L0064064 00000001 00000000 00000010 00000001 00000010 00000000 00000000 00000001* L0064128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064192 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000001* +L0064192 00000001 00000000 00000000 00000001 00000000 00000000 00000011 01000001* L0064256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0064320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0064384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0064448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0064512 000000 000000 000000 000000 000000 000000 000000 000000* -L0064560 000000 000000 000000 000000 000000 000000 000000 100000* -L0064608 000000 000000 000000 000000 000000 000000 000000 100000* +L0064560 000000 000000 000000 000000 000000 000000 000000 000000* +L0064608 000000 000000 000000 000000 000000 000000 000000 000000* L0064656 000000 000000 000000 000000 000000 000000 000000 000000* L0064704 000000 000000 000000 000000 000000 000000 000000 000000* L0064752 000000 000000 000000 000000 000000 000000 000000 000000* -L0064800 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000001* -L0064864 00000001 00000000 00000000 00000000 00000010 00000000 00000000 01000001* -L0064928 00000001 00000000 00000001 00000000 00000010 00000001 00000000 00000001* +L0064800 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* +L0064864 00000000 00000000 00000001 00000000 00000001 00000000 00000001 00000000* +L0064928 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065056 00000000 00000000 00000000 00000000 00000011 00000001 00000000 00000001* -L0065120 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0065056 00000000 00000000 00000001 00000001 00000001 00000001 00000001 00000100* +L0065120 00000000 00000000 00000000 00010000 00010000 00001000 00000000 00000000* L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* L0065376 000000 000000 000000 000000 000000 000000 000000 000000* -L0065424 000000 000000 000000 000000 000000 001000 000000 000000* +L0065424 000000 000000 000000 000000 000000 000000 000000 000001* L0065472 000000 000000 000000 000000 000000 000000 000000 000000* -L0065520 000000 000000 000000 000000 000000 001000 000000 000000* -L0065568 000000 000000 000000 000000 000000 001000 000000 000000* -L0065616 000000 000000 000000 000000 000000 000000 000000 000000* -L0065664 00000000 00000000 00000011 00000000 00000000 00000000 00000010 00000001* -L0065728 00000000 00000000 00000001 00000000 00000001 00000001 00000000 00000001* -L0065792 00000000 00000000 00000001 00000000 00000001 00000001 00000001 00000001* +L0065520 000000 000000 000000 000000 000000 000000 000000 000000* +L0065568 000000 000000 000000 000000 000000 000000 000000 000001* +L0065616 000000 000000 000000 000000 000000 000000 000000 000001* +L0065664 00000000 00000000 00000010 00000001 00000011 00000000 00000000 00000000* +L0065728 00000000 00000000 00000000 00000000 00000001 00000001 00000000 00000000* +L0065792 00000000 00000000 00000001 00000001 00000001 00000001 00000001 00000000* L0065856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065920 00000000 00000000 00000011 00000000 00000001 00000001 00000010 00000001* +L0065920 00000000 00000000 00000010 00000001 00000011 00000001 00000000 00000000* L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1245,54 +1245,54 @@ L0066336 000000 000000 000000 000000 000000 000000 000000 000000* L0066384 000000 000000 000000 000000 000000 000000 000000 000000* L0066432 000000 000000 000000 000000 000000 000000 000000 000000* L0066480 000000 000000 000000 000000 000000 000000 000000 000000* -L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100000* -L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066720 00000000 00000000 00000000 00000000 00000000 00000000 11111100 01010000* -L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0066528 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066656 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0066720 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0066784 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066976 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0067104 000000 000000 000000 000000 000000 000000 000000 000000* +L0067040 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0067104 000000 000000 001000 000000 000000 000000 000000 000000* L0067152 000000 000000 000000 000000 000000 000000 000000 000000* L0067200 000000 000000 000000 000000 000000 000000 000000 000000* -L0067248 000000 000000 000000 000000 000000 000000 000000 100000* -L0067296 000000 000000 000000 000000 000000 000000 100011 101001* +L0067248 000000 000000 000000 000000 000000 000000 000000 000000* +L0067296 000000 000000 000000 000000 000000 000000 000000 000000* L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00000010 00000010 00000010 00000010 10001010 00000010 01100010* -L0067456 00000000 00000000 00010010 00000000 00000010 00000010 00000000 00100010* -L0067520 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0067584 00000000 00000000 10010000 00000000 00000000 00000000 11111100 01010000* -L0067648 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0067712 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000100* -L0067776 00000010 00000010 01000010 00000010 00000010 01000010 00000010 00000010* -L0067840 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0067904 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0067968 000000 000000 000000 000000 000000 000000 000000 000000* -L0068016 000000 000000 000100 000000 000000 000000 000000 000000* -L0068064 000000 000000 001100 000000 000000 010000 000000 000000* -L0068112 000000 000000 000100 000000 000000 010000 000000 100000* -L0068160 000000 000000 000100 000000 000000 000000 100011 101001* -L0068208 000000 000000 001000 000000 000000 000000 000000 000000* -L0068256 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0068320 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0068384 00000000 00000000 00101000 00000000 00000000 01000000 00000000 00000000* -L0068448 00000000 00000000 00101000 00000000 00010000 00001000 00000000 00000000* -L0068512 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0068576 00000000 00000000 11001000 00000000 00000000 01000000 00000000 00000000* -L0068640 00000000 00000000 10001000 00000000 00000000 00100000 00000000 00000000* -L0068704 00000000 00000000 10100000 00000000 00000000 10100000 00000000 00000000* -L0068768 00001000 00000000 10100000 00000000 00000000 00100000 00000000 00000000* -L0068832 000000 000000 001000 000000 000000 000000 000000 000000* -L0068880 000000 000000 001010 000000 000000 010000 000000 000000* -L0068928 000000 000000 000010 010000 000000 000000 000000 000000* -L0068976 000000 000000 010010 010000 000000 000000 000000 000000* -L0069024 000010 000000 010010 000000 000000 000000 000000 000000* -L0069072 000000 000000 010000 000000 000000 000000 000000 000000* -L0069120 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067392 00000010 00000010 00011010 00000010 00000010 10001010 00000010 00000010* +L0067456 00000000 00000000 00000010 00000000 00000010 00000010 00000000 00000010* +L0067520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067584 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00000000* +L0067648 00000000 00000000 10000000 00000000 10000000 00000000 00000000 00010000* +L0067712 00000000 00000000 00100000 00000000 00000000 10000000 00000000 00000000* +L0067776 00000010 00000010 00000010 00000010 00000010 01000010 00000010 00000010* +L0067840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067968 000000 000000 000000 000000 000000 000000 001000 000000* +L0068016 000000 000000 000000 000000 000000 000000 000000 000000* +L0068064 000000 000000 000000 000000 000000 010000 000000 000000* +L0068112 000000 000000 000000 000000 000000 010000 000000 000000* +L0068160 000000 000000 000000 000000 000000 000000 000000 000000* +L0068208 000000 000000 000000 000000 000000 000000 000000 000000* +L0068256 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* +L0068320 00000000 00000000 00100000 00000000 00000000 01000000 00000000 00000000* +L0068384 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0068448 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0068512 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0068576 00000000 00000000 01000000 00000000 00000000 01000000 00000000 00100000* +L0068640 00000000 00000000 10001100 00000000 00000000 00100000 00100000 01010000* +L0068704 00000000 00000000 01000000 00000000 10000000 10100000 00000000 00010000* +L0068768 00000000 00000000 10000100 00000000 10000000 00100000 00000000 00000000* +L0068832 000000 000000 000000 000000 000000 000000 000000 000000* +L0068880 000000 000000 100000 000000 100000 010000 000000 010000* +L0068928 000000 000000 010010 010000 100000 000000 000000 000000* +L0068976 000000 000000 100000 010000 100000 000000 000000 000000* +L0069024 000000 000000 010010 000000 100000 000000 000000 000000* +L0069072 000000 000000 000001 000000 100000 000000 000000 000000* +L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069184 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0069248 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1300,24 +1300,24 @@ L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069696 000000 000000 000000 000000 000000 000000 000000 000000* -L0069744 000000 000000 000000 000010 000000 000000 000000 000000* -L0069792 000000 000000 000000 000000 000000 000000 000000 100000* -L0069840 000000 000000 000000 000000 000000 000000 000000 001000* +L0069744 000000 000000 000000 000000 000000 000000 000000 000000* +L0069792 000000 000000 000000 000000 000000 000000 000000 000000* +L0069840 000000 000000 000000 000000 000000 000000 000000 000000* L0069888 000000 000000 000000 000000 000000 000000 000000 000000* L0069936 000000 000000 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00010000* -L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0070112 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0070176 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0070240 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0069984 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* +L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070496 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0070560 000000 000000 001000 000000 000000 000000 000000 000000* -L0070608 000000 000000 000000 000001 000000 000000 000000 000000* -L0070656 000000 000000 000000 000001 000000 000000 000000 000000* -L0070704 000000 000000 000000 000001 000000 000000 000000 000000* +L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070560 000000 000000 000000 000000 000000 000000 000000 000000* +L0070608 000000 000000 000000 000000 000000 000000 000000 000000* +L0070656 000000 000000 000000 000000 000000 000000 000000 000000* +L0070704 000000 000000 000000 000000 000000 100000 000000 000000* L0070752 000000 000000 000000 000000 000000 000000 000000 000000* L0070800 000000 000000 000000 000000 000000 000000 000000 000000* L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1345,7 +1345,7 @@ L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072288 000000 000000 000000 000000 000000 000000 000000 000000* -L0072336 000000 000000 000000 000000 000000 000000 000000 000000* +L0072336 000000 000000 000000 100000 000000 000000 000000 000000* L0072384 000000 000000 000000 000000 000000 000000 000000 000000* L0072432 000000 000000 000000 000000 000000 000000 000000 000000* L0072480 000000 000000 000000 000000 000000 000000 000000 000000* @@ -1356,31 +1356,31 @@ L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072896 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0072960 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073024 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073152 000000 000000 000000 000000 000000 000000 000000 000000* L0073200 000000 000000 000000 000000 000000 000000 000000 000000* -L0073248 000000 000000 000000 000000 000000 010000 000000 000000* +L0073248 000000 000000 000000 000001 000000 010000 000000 000010* L0073296 000000 000000 000000 000000 000000 010000 000000 000000* L0073344 000000 000000 000000 000000 000000 000000 000000 000000* L0073392 000000 000000 000000 000000 000000 000000 000000 000000* L0073440 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073568 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0073632 00000000 00000000 00000000 10111100 00000000 00000000 00000000 00000000* L0073696 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0073760 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0073824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073888 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0073952 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0074016 000000 000000 000000 000000 000000 000000 000000 000000* -L0074064 000000 000000 000000 000000 001000 000000 000000 000000* -L0074112 000000 000000 000000 000000 001000 000000 000000 000000* +L0073760 00000000 00000000 00000000 00001000 00001000 00100000 00000000 00000000* +L0073824 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0073888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073952 00000000 00000000 00000000 00000100 00000000 00100000 00000000 00000000* +L0074016 000000 000000 000000 000001 000000 000000 000000 000000* +L0074064 000000 000000 000000 101000 000000 000000 000000 000010* +L0074112 000000 000000 000000 000000 000000 000000 000000 000000* L0074160 000000 000000 000000 000000 000000 000000 000000 000000* -L0074208 000000 000000 000000 000000 001000 000000 000000 000000* -L0074256 000000 000000 000000 000000 000000 000000 000000 000000* -L0074304 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074208 000000 000000 000000 001111 000000 000000 000000 000000* +L0074256 000000 000000 000000 000001 000000 000000 000000 000000* +L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1388,40 +1388,40 @@ L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* -L0074880 000000 000000 000000 000000 000000 000000 000000 100101* -L0074928 010000 000000 000000 000000 000000 000000 000000 000000* +L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074880 000000 000000 000000 000000 000000 000000 000000 000000* +L0074928 000000 000000 000000 000000 000000 000010 000000 000000* L0074976 000000 000000 000000 000000 000000 000000 000000 000000* L0075024 000000 000000 000000 000000 000000 000000 000000 000000* -L0075072 000000 000000 000000 000000 000010 000000 000000 000000* -L0075120 000000 000000 000000 000000 000010 000000 000000 000000* -L0075168 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00001000* -L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0075296 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00001000* +L0075072 000000 000000 000000 000000 000000 000000 000000 000000* +L0075120 000000 000000 000000 000000 000000 000000 000000 000000* +L0075168 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075296 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0075360 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0075424 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0075488 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00101000* -L0075552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0075616 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00001000* -L0075680 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00001000* +L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075680 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0075744 000000 000000 001000 000000 000000 000000 000000 000000* -L0075792 000000 000000 000000 000000 000000 000000 010000 010000* -L0075840 000000 000000 000000 000000 000010 000000 000000 000000* -L0075888 000000 000000 000000 000000 000010 000000 000000 000000* +L0075792 000000 000000 000000 000000 000000 000000 010000 000000* +L0075840 000000 000000 000000 000000 000000 000000 000000 000000* +L0075888 000000 000000 000000 000000 000000 000000 000000 000000* L0075936 000000 000000 000000 000000 000000 000000 000000 000000* L0075984 000000 000000 000000 000000 000000 000000 000000 000000* L0076032 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0076096 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076288 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076608 000000 000000 000000 000000 000000 000000 000000 000000* -L0076656 000000 000000 000000 000000 000000 000000 000000 000000* -L0076704 000000 000000 000000 000000 000000 000000 000000 000000* +L0076656 000000 000000 000000 000000 000000 000000 000000 000100* +L0076704 000000 000000 000000 000000 000000 000000 000000 000100* L0076752 000000 000000 000000 000000 000000 000000 000000 000000* L0076800 000000 000000 000000 000000 000000 000000 000000 000000* L0076848 000000 000000 000000 000000 000000 000000 000000 000000* @@ -1429,286 +1429,286 @@ L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0076960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077152 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0077216 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0077152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077216 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077408 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0077472 000000 000000 000000 000000 001000 000000 000000 000000* -L0077520 000000 000000 000000 000000 000001 000000 000000 000000* +L0077472 000000 000000 000000 000000 000000 000000 000000 000000* +L0077520 000000 000000 000000 000000 000000 000000 000000 000000* L0077568 000000 000000 000000 000000 000000 000000 000000 000000* -L0077616 000000 000000 000000 000000 000000 000000 000000 000000* -L0077664 000000 000000 000000 000000 000001 001000 000000 000000* -L0077712 000000 000000 000000 000000 010001 000000 000000 000000* -L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077616 000000 000000 000000 000000 000000 000000 000000 000100* +L0077664 000000 000000 000000 000000 000000 001000 000000 000100* +L0077712 000000 000000 000000 000000 000000 000000 000000 000000* +L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078080 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0078144 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078336 000000 000000 000000 000000 000000 000000 000000 000000* -L0078384 000000 000000 000000 000000 000000 000000 000000 100000* -L0078432 000000 000000 000100 000000 000000 000000 000000 000000* -L0078480 000000 000000 000000 000000 000000 000000 000000 001000* +L0078384 000000 000000 000000 000000 000000 000000 000000 000010* +L0078432 000000 000000 000000 000000 000000 000000 000000 000000* +L0078480 000000 000000 000001 000000 000000 000000 000000 000010* L0078528 000000 000000 000000 000000 000000 000000 000000 000000* -L0078576 000000 000000 000000 000000 000000 000000 000000 000000* -L0078624 00000000 00000000 01100000 00001000 00000000 00000000 00000000 00010000* -L0078688 00000000 00000000 00100000 00000000 00000000 00000000 00000000 01000000* -L0078752 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0078576 000000 000000 000100 000000 000000 000000 000000 000000* +L0078624 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* +L0078688 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0078752 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* L0078816 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0078880 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079008 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0078944 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0079008 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* L0079072 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* L0079136 00000000 00000000 01101100 00000000 00000000 00000000 00000000 00000000* L0079200 000000 000000 111000 000000 000000 000000 000000 000000* -L0079248 000000 000000 100000 000100 000000 000000 000000 000000* -L0079296 000000 000000 100000 000000 000000 000000 010000 000000* -L0079344 000000 000000 101000 000000 000000 000000 000000 000000* -L0079392 000000 000000 001000 000000 000000 000000 000000 000000* +L0079248 000000 000000 101010 000000 000000 000000 000000 000000* +L0079296 000000 000000 100010 000000 000000 000000 010000 000010* +L0079344 000000 000000 111000 000000 000000 000000 000000 000000* +L0079392 000000 000000 011000 000000 000000 000000 000000 000000* L0079440 000000 000000 000010 000000 000000 000000 000000 000000* L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079552 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0079616 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079808 00000000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080064 000000 000000 000000 000000 000000 000000 000000 000000* -L0080112 000000 000000 000000 000000 000000 000000 000000 000000* -L0080160 000000 000000 000000 000000 000000 000000 000000 000000* +L0080112 000000 000000 000000 000000 001110 000000 000000 000000* +L0080160 000000 000000 000000 000000 010000 000000 000000 000000* L0080208 000000 000000 000000 000000 000000 000000 000000 000000* L0080256 000000 000000 000000 000000 000000 000000 000000 000000* L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080352 00000000 00000000 01000000 00000000 00000000 00000000 11111100 00000000* L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080480 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0080544 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0080608 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00000000* +L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0080736 00000000 00000000 00000000 00000000 00011000 00000100 00000000 00000000* L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080928 000000 000000 000000 000000 000000 000000 000000 000000* -L0080976 000000 000000 000000 000000 000100 000000 000000 000000* -L0081024 000000 000000 000000 000000 000000 000000 000000 000000* -L0081072 000000 000000 000000 000000 000000 100000 000000 000000* +L0080864 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0080928 000000 000000 001000 000000 000000 000001 000000 000000* +L0080976 000000 000000 000000 000000 000000 000000 100011 000000* +L0081024 000000 000000 000000 000000 001110 000010 000000 000000* +L0081072 000000 000000 000000 000000 000000 000000 000000 000000* L0081120 000000 000000 000000 000000 000000 000000 000000 000000* L0081168 000000 000000 000000 000000 000000 000000 000000 000000* -L0081216 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0081280 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081408 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0081216 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0081280 00000000 00000000 00000100 00000000 00000000 00000100 00000000 00000000* +L0081344 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081536 00000100 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0081600 00000100 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0081536 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10000000* +L0081600 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0081664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0081792 000000 000000 000000 000000 000000 000000 000000 000000* -L0081840 000000 000000 000000 000000 000000 000000 000000 000000* -L0081888 000000 000000 000000 000000 000000 000000 000000 000000* -L0081936 000000 000000 000000 000000 000000 000000 000000 000000* +L0081840 000000 000000 000000 000000 000000 000101 000000 000000* +L0081888 000000 000000 000000 000000 000000 100000 000000 000000* +L0081936 000000 000000 000000 000000 000000 100100 000000 000000* L0081984 000000 000000 000000 000000 000000 000000 000000 000000* L0082032 000000 000000 000000 000000 000000 000000 000000 000000* -L0082080 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00100000* -L0082144 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0082208 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0082272 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0082336 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0082400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0082464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082592 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0082656 000000 000000 000000 000000 001000 000000 000000 000000* -L0082704 000000 000000 000000 000000 010001 000000 001000 000000* -L0082752 000000 000000 000000 010000 000001 000000 000000 000000* -L0082800 000000 000000 000000 000000 000001 000000 000000 000000* -L0082848 000000 000000 000000 000000 010001 000000 000000 000000* -L0082896 000000 000000 000000 000000 010001 000000 000000 000000* +L0082080 10000000 00000000 00000000 00000000 00000000 00100000 00000000 00000100* +L0082144 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0082208 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* +L0082272 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* +L0082336 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00100100* +L0082400 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0082464 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00100000* +L0082528 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00100000* +L0082592 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00100100* +L0082656 000000 000000 000000 000000 000000 000001 000000 000000* +L0082704 000000 000000 000000 000000 000000 101000 001000 000001* +L0082752 000000 000000 000000 000000 000000 000001 000000 010001* +L0082800 000000 000000 000000 000000 000000 001001 000000 000001* +L0082848 000000 000000 000000 000000 000000 000001 000000 000001* +L0082896 000000 000000 000000 000000 000000 000001 000000 010001* L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083328 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083520 000000 000000 000000 000000 000000 000000 000000 000000* L0083568 000000 000000 000000 000000 000000 000000 000000 000000* -L0083616 000000 000000 000000 000000 001000 000000 000000 000000* -L0083664 000000 000000 000000 000000 001000 100000 000000 000000* -L0083712 000000 000000 000000 000000 001000 000000 000000 000000* -L0083760 000000 000000 000000 000000 001000 000000 000000 000000* -L0083808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083616 000000 000000 000000 000000 000000 000000 000000 000000* +L0083664 000000 000000 000000 000000 000000 100000 000000 000000* +L0083712 000000 000000 000000 000000 000000 000000 000000 000000* +L0083760 000000 000000 000000 000000 000000 000000 000000 000000* +L0083808 00000000 00000000 00000000 00000000 00000000 00000000 11110100 00000000* L0083872 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* L0083936 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* L0084000 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* L0084064 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0084128 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0084128 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* L0084192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0084256 00000000 00000000 00000000 00000000 00100000 00000100 00000000 00000000* +L0084256 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0084320 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0084384 000000 000000 000000 000000 000000 000001 000000 000000* -L0084432 000000 000000 000000 000000 001000 000000 000000 000000* +L0084432 000000 000000 000000 000000 000000 000000 100001 000000* L0084480 000000 000000 000000 000000 000000 000011 000000 000000* L0084528 000000 000000 000000 000000 000000 000001 000000 000000* L0084576 000000 000000 000000 000000 000000 000001 000000 000000* L0084624 000000 000000 000000 000000 000000 000001 000000 000000* L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084736 00000000 00000000 00000000 00000100 00100000 00000000 00000000 00000000* +L0084736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110000* L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084864 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0084928 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0084992 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0085056 00000000 00000000 10000100 00000100 00000000 00001000 00000000 00000000* -L0085120 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0085184 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0085248 000000 000000 100000 000000 000000 000000 000000 000000* -L0085296 000000 000000 100010 000000 000000 000000 000000 000000* -L0085344 000000 000000 100010 000000 100000 000000 000000 000000* -L0085392 000000 000000 100010 000000 000000 000000 000000 000000* -L0085440 000000 000000 000011 000000 000000 000000 000000 000000* -L0085488 000000 000000 000011 000000 000000 000000 000000 000000* -L0085536 00010000 00000000 00000000 00000100 00000000 00000000 11111100 00000000* -L0085600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085664 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0085728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085856 00000000 00000000 00010000 00000100 00000000 00000000 00000100 00000000* -L0085920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086112 000000 000000 000000 000000 000000 000000 000000 000000* -L0086160 000000 000000 000000 000000 000000 000000 100011 000000* -L0086208 000000 000000 000000 000000 000001 000000 000000 000100* -L0086256 000000 000000 000000 000000 000011 000000 000000 000100* -L0086304 000000 000000 000000 000000 000011 000000 000000 000100* -L0086352 000000 000000 000000 000000 000011 000000 000000 000000* -L0086400 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0086464 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0086528 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0086592 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0086656 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086784 00000000 00000000 01000000 00000000 00000100 00001000 00000000 00000000* -L0086848 00000000 00000000 01000000 00000000 00000100 00000000 00000000 00000000* -L0086912 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0086976 000000 000000 000000 000000 001001 000000 000000 000000* -L0087024 000000 000000 000000 000000 010001 000000 000000 000000* -L0087072 000000 000000 001000 000000 010000 000000 000000 000100* -L0087120 000000 000000 000000 000000 010010 000000 000000 000100* -L0087168 000000 000000 000000 000000 110010 000000 000000 000100* -L0087216 000000 000000 000000 000000 010010 000000 000000 000000* -L0087264 00000100 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087584 00000100 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0087648 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0087776 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0087840 000000 000000 000000 000000 000000 000000 000000 000000* -L0087888 000000 000000 000000 000000 000000 000000 000000 000000* -L0087936 000000 000000 000000 000000 000000 000000 000000 000000* -L0087984 000000 000000 000000 000000 000000 000000 000000 000000* -L0088032 000000 000000 000000 000000 000000 000000 000000 000000* -L0088080 000000 000000 000000 000000 000000 000000 000000 000000* -L0088128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00100000* -L0088256 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088448 00000000 00000000 00000000 11000000 00000000 00000000 00000000 00000000* -L0088512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088576 00000000 00000000 00001000 00000000 00000000 00010000 00000000 00000000* -L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088704 000000 000000 000000 000000 000000 000000 000000 000000* -L0088752 000000 000000 000000 000000 000000 000000 000000 000010* -L0088800 000000 000000 000000 000000 000000 000000 000000 000000* -L0088848 000000 000000 000000 000000 000000 001100 000000 000000* -L0088896 000000 000000 000000 000000 000000 000000 000000 000000* -L0088944 000000 000000 000000 000000 000000 000000 000000 000000* -L0088992 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00100000* -L0089056 00000000 00000000 00000000 00000000 00000000 00110000 00000000 00000000* -L0089120 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0089184 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0089248 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0089376 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0089440 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0089504 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0089568 000000 000000 000000 000000 000000 000001 000000 000010* -L0089616 000000 000000 000000 000000 000000 000001 000000 000000* -L0089664 000000 000000 000000 000000 000000 100011 000000 000000* -L0089712 000000 000000 000000 000000 000000 000001 000000 000000* -L0089760 000000 000000 000000 000000 000000 001001 000000 000000* -L0089808 000000 000000 000000 000000 000000 000001 000000 000000* +L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085056 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085248 000000 000000 000000 000000 000000 000000 000000 000000* +L0085296 000000 000000 000000 000000 000000 000000 000000 000000* +L0085344 000001 000000 000000 000000 000000 000000 000000 100000* +L0085392 000000 000000 000100 000000 000000 000000 000000 000000* +L0085440 000000 000000 000000 000000 000000 000000 000000 000000* +L0085488 000000 000000 000000 000000 000000 000000 000000 000000* +L0085536 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00010000* +L0085600 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0085664 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0085728 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0085792 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0085856 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0085920 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0085984 00000000 00000000 00000100 00000000 00000000 00000000 00000000 01000000* +L0086048 00000000 00000000 01101100 00000000 00000000 00000000 00000000 01000000* +L0086112 000000 000000 111000 000000 000000 000000 000000 010000* +L0086160 000001 000000 100000 000000 000000 000000 000000 000000* +L0086208 000000 000000 100000 000000 000000 000000 000000 000101* +L0086256 000000 000000 101000 000000 000000 000000 000000 000101* +L0086304 000000 000000 001000 000000 000000 000000 000000 000101* +L0086352 000000 000000 000010 000000 000000 000000 000000 000001* +L0086400 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0086464 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00000000* +L0086528 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00010000* +L0086592 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00000000* +L0086656 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00010000* +L0086720 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0086784 00000000 00000000 00000000 00000000 01000100 00001000 00000000 00010000* +L0086848 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00010000* +L0086912 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00000000* +L0086976 000000 000000 000000 000000 010001 000000 000000 000000* +L0087024 000000 000000 000000 000000 100000 000000 000000 001000* +L0087072 000000 000000 000100 000000 000000 000000 000000 001000* +L0087120 000000 000000 000000 000000 000000 000000 000000 001000* +L0087168 000000 000000 000000 000000 000000 000000 000000 000000* +L0087216 000000 000000 000000 000000 000000 000000 000000 000000* +L0087264 00000100 00000000 01100000 00000000 01000100 00000000 00000000 00000000* +L0087328 00000000 00000000 00100000 00000000 00010000 00000000 00000000 00000000* +L0087392 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0087456 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0087520 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0087584 00000100 00000000 00000000 00001000 00000000 00000000 00100000 00000000* +L0087648 00000000 00000000 00000100 00001000 00000000 00000000 00100000 00000000* +L0087712 00000000 00000000 00000100 00000000 10100000 00000000 00100000 00000000* +L0087776 00000000 00000000 01101100 00000000 00100000 00000000 00100000 00000000* +L0087840 000000 000000 111000 000000 100000 000000 000000 000000* +L0087888 000000 000000 100000 100000 000000 000000 000000 000000* +L0087936 000000 000000 100000 000000 000001 000000 000000 000000* +L0087984 000000 000000 101000 000000 000001 000000 000000 000000* +L0088032 000000 000000 001000 000000 000001 000000 000000 001000* +L0088080 000000 000000 000010 000000 000001 000000 000000 001000* +L0088128 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000100* +L0088192 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0088448 00000000 00000100 00000000 11000000 00000000 00000000 00000000 00000000* +L0088512 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100100* +L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100100* +L0088704 000000 000000 000000 000000 000000 000000 000000 010001* +L0088752 000000 000000 000000 000000 000000 000000 000000 000001* +L0088800 000000 000000 000000 000000 000000 000000 000000 010100* +L0088848 000000 000000 000000 000000 000000 000000 000000 010100* +L0088896 000000 000000 000000 000000 000000 000000 000000 110100* +L0088944 000000 000000 000000 000000 000000 000000 000000 010000* +L0088992 00000100 00000000 00000000 00000000 00000000 00000000 10000100 00001000* +L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00001000* +L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089568 000000 000000 000000 000000 000000 000000 000000 000000* +L0089616 000000 000000 000000 000000 000000 000000 100001 000000* +L0089664 000000 000000 000000 000000 000000 000000 000000 000000* +L0089712 000000 000000 000000 000000 000000 000000 000000 000000* +L0089760 000000 000000 000000 000000 000000 000000 000000 000000* +L0089808 000000 000000 000000 000000 000000 000000 000000 000000* L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090432 000000 000000 000000 000000 000000 000000 000000 000010* -L0090480 000000 000000 000000 000000 000000 000000 000000 000010* -L0090528 000000 000000 000000 000000 001000 000000 000000 000000* -L0090576 000000 000000 000000 000000 001000 000000 000000 000000* -L0090624 000000 000000 000000 000000 001000 000000 000000 000000* -L0090672 000000 000000 000000 000000 001000 000000 000000 000000* -L0090720 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00100000* +L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089984 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0090048 00000000 00000000 00000100 10111100 00000000 00000000 00000000 00000000* +L0090112 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0090176 00000000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* +L0090240 00000000 00000000 10000100 00001000 00100000 00000000 00000000 00000000* +L0090304 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0090368 00000000 00000000 10000100 00000100 00000000 00000000 00000000 00000000* +L0090432 000000 000000 100000 000001 000000 000000 000000 000000* +L0090480 000000 000000 100010 101000 000000 000000 000000 000000* +L0090528 000000 000000 100010 000000 000000 000000 000000 000000* +L0090576 000000 000000 100010 000000 000000 000000 000000 000000* +L0090624 000000 000000 000011 001111 000000 000000 000000 000000* +L0090672 000000 000000 000011 000001 000000 000000 000000 000000* +L0090720 00000000 00000000 00000000 01000000 00000000 00000000 11000100 00000000* L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090848 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0090912 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0090976 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00000000* -L0091040 01010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091104 00000000 00000000 00000000 00000000 00100000 00000100 00000000 00000000* -L0091168 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0091232 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0091296 000000 000000 001000 000000 000000 000001 000000 000000* -L0091344 000000 000000 000000 000000 001000 000000 000000 000000* +L0090848 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0090912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090976 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0091040 00000000 00000000 00010000 00000000 00000000 00000000 00000100 00000000* +L0091104 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091296 000000 000000 000000 000000 000000 000001 000000 000000* +L0091344 000000 000000 000000 000001 000000 000000 100001 000000* L0091392 000000 000000 000000 000000 000000 000010 000000 000000* L0091440 000000 000000 000000 000000 000000 000000 000000 000000* L0091488 000000 000000 000000 000000 000000 000000 000000 000000* L0091536 000000 000000 000000 000000 000000 000000 000000 000000* -L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091968 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0092032 00000000 00000000 01000000 00000000 00000000 00000100 00000000 00000000* -L0092096 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0092160 000000 000000 000000 000000 000000 000001 000000 000000* -L0092208 000000 000000 000000 000000 000000 000000 000000 000000* -L0092256 000000 000000 001000 000000 000000 000000 000000 001000* +L0091584 00000000 00000000 00000000 00000000 10100000 00000000 00000000 00000000* +L0091648 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* +L0091712 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* +L0091776 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* +L0091840 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* +L0091904 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0091968 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00000000* +L0092032 00000000 00000000 00000000 00000000 11100100 00000100 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 01000100 00000100 00000000 00000000* +L0092160 000000 000000 000000 000000 010001 000001 000000 000000* +L0092208 000000 000000 000000 000000 000001 000000 000000 000000* +L0092256 000000 000000 000000 000000 000000 000000 000000 000000* L0092304 000000 000000 000000 000000 000000 000001 000000 000000* -L0092352 000000 000000 000000 000000 000010 000000 000000 000000* -L0092400 000000 000000 000000 000000 000010 000000 000000 000000* -L0092448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092352 000000 000000 000000 000000 000000 000000 000000 000000* +L0092400 000000 000000 000000 000000 000000 000000 000000 000000* +L0092448 00000000 00000000 01000000 00010000 01000000 00000000 00000000 00000000* L0092512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092576 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0092640 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0092704 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0092896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0093024 000000 000000 000000 000000 000000 000000 001000 000000* +L0092960 00000000 00000000 00100000 00000000 10000000 00000000 00000000 00000000* +L0093024 000000 000000 001000 000000 100000 000000 001000 000000* L0093072 000000 000000 000000 000000 000000 000001 000000 000000* -L0093120 000000 000000 000000 000000 000000 000001 000000 000000* -L0093168 000000 000000 000000 000000 000010 000000 000000 000000* -L0093216 000000 000000 000000 000000 000000 000001 000000 000000* -L0093264 000000 000000 000000 000000 000000 000001 000000 000000* -C3D12* -2A70 +L0093120 000000 000000 000000 000000 000001 000001 000000 000000* +L0093168 000000 000000 000000 000000 000001 000000 000000 000000* +L0093216 000000 000000 000000 000000 000001 000001 000000 000000* +L0093264 000000 000000 000000 000000 000001 000001 000000 000000* +C2BE9* +2A44 diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index d03a122..16c3614 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -4,7 +4,7 @@ MACROCELL | 5 | 1 | nVMA_IOBout ATTRIBUTES | 4622082 | 0 OUTPUTMC | 2 | 5 | 1 | 5 | 0 INPUTS | 10 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | nAoutOE -INPUTMC | 10 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 1 | 13 | 1 | 12 | 3 | 1 +INPUTMC | 10 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 1 | 14 | 1 | 13 | 3 | 1 EQ | 6 | nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> @@ -28,7 +28,7 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 8 | nLDS_IOBout ATTRIBUTES | 8685314 | 0 INPUTS | 6 | IOL0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IORW0 | nAoutOE -INPUTMC | 6 | 3 | 16 | 5 | 2 | 5 | 9 | 0 | 15 | 2 | 0 | 3 | 1 +INPUTMC | 6 | 7 | 9 | 5 | 2 | 5 | 9 | 0 | 15 | 2 | 0 | 3 | 1 EQ | 6 | !nLDS_IOB.D = IOL0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 # IOL0 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 @@ -41,7 +41,7 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 10 | nUDS_IOBout ATTRIBUTES | 8685314 | 0 INPUTS | 6 | IOU0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IORW0 | nAoutOE -INPUTMC | 6 | 3 | 15 | 5 | 2 | 5 | 9 | 0 | 15 | 2 | 0 | 3 | 1 +INPUTMC | 6 | 0 | 17 | 5 | 2 | 5 | 9 | 0 | 15 | 2 | 0 | 3 | 1 EQ | 6 | !nUDS_IOB.D = IOU0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 # IOU0 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 @@ -51,13 +51,13 @@ EQ | 6 | nUDS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M -MACROCELL | 4 | 16 | iobs/Once +MACROCELL | 7 | 16 | iobs/Once ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 17 | 4 | 16 | 2 | 0 | 2 | 10 | 4 | 14 | 7 | 11 | 4 | 6 | 4 | 2 | 2 | 15 | 2 | 1 | 2 | 2 | 2 | 14 | 2 | 16 | 2 | 17 | 4 | 5 | 4 | 7 | 4 | 15 | 4 | 17 -INPUTS | 11 | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | iobs/IOReady.EXP | EXP21_.EXP -INPUTMC | 6 | 4 | 16 | 3 | 6 | 4 | 6 | 4 | 9 | 4 | 15 | 4 | 17 +OUTPUTMC | 17 | 7 | 16 | 2 | 0 | 2 | 10 | 2 | 17 | 7 | 11 | 7 | 6 | 7 | 2 | 2 | 15 | 2 | 1 | 2 | 2 | 2 | 14 | 2 | 16 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 15 | 7 | 17 +INPUTS | 11 | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nRESout.EXP | EXP21_.EXP +INPUTMC | 6 | 7 | 16 | 0 | 10 | 7 | 6 | 7 | 12 | 7 | 15 | 7 | 17 INPUTP | 5 | 54 | 36 | 30 | 29 | 28 -IMPORTS | 2 | 4 | 15 | 4 | 17 +IMPORTS | 2 | 7 | 15 | 7 | 17 EQ | 40 | iobs/Once.T = iobs/Once & nAS_FSB & !fsb/ASrf # A_FSB<23> & !iobs/Once & !nAS_FSB & @@ -68,7 +68,7 @@ EQ | 40 | !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB5_16 +;Imported pterms FB8_16 # A_FSB<22> & A_FSB<21> & !iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<22> & A_FSB<20> & !iobs/Once & @@ -82,7 +82,7 @@ EQ | 40 | # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB5_18 +;Imported pterms FB8_18 # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf @@ -103,9 +103,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 17 | RefUrg ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 4 | 3 | 17 | 3 | 9 | 3 | 14 | 3 | 0 +OUTPUTMC | 4 | 3 | 17 | 3 | 6 | 3 | 14 | 0 | 0 INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | RefUrg | cnt/TimerTC -INPUTMC | 7 | 7 | 3 | 7 | 9 | 7 | 2 | 0 | 10 | 3 | 8 | 3 | 17 | 3 | 9 +INPUTMC | 7 | 3 | 8 | 3 | 15 | 3 | 16 | 0 | 12 | 3 | 3 | 3 | 17 | 3 | 6 EQ | 9 | RefUrg.T = RefUrg & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & @@ -118,88 +118,61 @@ EQ | 9 | RefUrg.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 17 | cnt/LTimer<0> +MACROCELL | 3 | 0 | cnt/LTimer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 14 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 | 7 | 0 -INPUTS | 11 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/BACTr | A_FSB<22> | cs/nOverlay | ram/RefReq -INPUTMC | 9 | 3 | 9 | 0 | 10 | 3 | 8 | 4 | 7 | 7 | 15 | 0 | 0 | 3 | 2 | 2 | 13 | 0 | 2 -INPUTP | 2 | 36 | 30 -EXPORTS | 1 | 7 | 0 -EQ | 11 | +OUTPUTMC | 13 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +INPUTS | 3 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 3 | 3 | 6 | 0 | 12 | 3 | 3 +EQ | 3 | cnt/LTimer<0>.T = Vcc; cnt/LTimer<0>.CLK = FCLK; // GCK cnt/LTimer<0>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<0>.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 3 | cnt/Timer<0> +MACROCELL | 3 | 8 | cnt/Timer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 6 | 3 | 17 | 7 | 3 | 7 | 9 | 7 | 2 | 3 | 9 | 7 | 8 -INPUTS | 6 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | cnt/Timer<1> | cnt/Timer<2> -INPUTMC | 6 | 7 | 3 | 3 | 9 | 0 | 10 | 3 | 8 | 7 | 9 | 7 | 2 -EXPORTS | 1 | 7 | 2 -EQ | 5 | +OUTPUTMC | 5 | 3 | 17 | 3 | 8 | 3 | 15 | 3 | 16 | 3 | 6 +INPUTS | 4 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 4 | 3 | 8 | 3 | 6 | 0 | 12 | 3 | 3 +EQ | 4 | !cnt/Timer<0>.T = !cnt/Timer<0> & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; cnt/Timer<0>.CLK = FCLK; // GCK cnt/Timer<0>.CE = !cnt/Er<0> & cnt/Er<1>; - cnt/Timer<0>.EXP = cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 9 | cnt/Timer<1> +MACROCELL | 3 | 15 | cnt/Timer<1> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 8 | 3 | 17 | 7 | 9 | 7 | 2 | 3 | 9 | 3 | 14 | 7 | 3 | 7 | 8 | 7 | 10 -INPUTS | 13 | cnt/Timer<0> | cnt/Timer<1> | A_FSB<23> | cnt/Er<0> | cnt/Er<1> | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RefReq | A_FSB<22> | ram/BACTr | fsb/Ready0r.EXP -INPUTMC | 11 | 7 | 3 | 7 | 9 | 0 | 10 | 3 | 8 | 0 | 12 | 4 | 7 | 7 | 15 | 0 | 0 | 0 | 2 | 3 | 2 | 7 | 8 -INPUTP | 2 | 36 | 30 -EXPORTS | 1 | 7 | 10 -IMPORTS | 1 | 7 | 8 -EQ | 12 | +OUTPUTMC | 5 | 3 | 17 | 3 | 15 | 3 | 16 | 3 | 6 | 3 | 14 +INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 5 | 3 | 8 | 3 | 15 | 3 | 6 | 0 | 12 | 3 | 3 +EQ | 5 | !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> -;Imported pterms FB8_9 # !cnt/Timer<0> & !cnt/Timer<1> # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; cnt/Timer<1>.CLK = FCLK; // GCK cnt/Timer<1>.CE = !cnt/Er<0> & cnt/Er<1>; - cnt/Timer<1>.EXP = A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 2 | cnt/Timer<2> +MACROCELL | 3 | 16 | cnt/Timer<2> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 6 | 3 | 17 | 7 | 2 | 3 | 9 | 3 | 14 | 7 | 3 | 7 | 1 -INPUTS | 8 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<19> | cnt/Timer<0>.EXP -INPUTMC | 7 | 7 | 3 | 7 | 2 | 7 | 9 | 3 | 9 | 0 | 10 | 3 | 8 | 7 | 3 -INPUTP | 1 | 26 -EXPORTS | 1 | 7 | 1 -IMPORTS | 1 | 7 | 3 -EQ | 8 | +OUTPUTMC | 4 | 3 | 17 | 3 | 16 | 3 | 6 | 3 | 14 +INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 3 | 8 | 3 | 16 | 3 | 15 | 3 | 6 | 0 | 12 | 3 | 3 +EQ | 6 | !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> # !cnt/Timer<1> & !cnt/Timer<2> - # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> -;Imported pterms FB8_4 - # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2>; + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> + # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; cnt/Timer<2>.CLK = FCLK; // GCK cnt/Timer<2>.CE = !cnt/Er<0> & cnt/Er<1>; - cnt/Timer<2>.EXP = A_FSB<19> GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 17 | cnt/LTimer<10> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 3 | 6 | 16 | 6 | 15 | 6 | 0 INPUTS | 13 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 13 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 13 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 5 | cnt/LTimer<10>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & @@ -212,7 +185,7 @@ MACROCELL | 6 | 16 | cnt/LTimer<11> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 2 | 6 | 15 | 6 | 0 INPUTS | 14 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 7 | 17 | 6 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 14 | 3 | 0 | 6 | 17 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 5 | cnt/LTimer<11>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & @@ -221,33 +194,33 @@ EQ | 5 | cnt/LTimer<11>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 13 | cnt/LTimer<1> +MACROCELL | 3 | 12 | cnt/LTimer<1> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 12 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +OUTPUTMC | 12 | 6 | 17 | 6 | 16 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 INPUTS | 4 | cnt/LTimer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 7 | 17 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 4 | 3 | 0 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 3 | cnt/LTimer<1>.T = cnt/LTimer<0>; cnt/LTimer<1>.CLK = FCLK; // GCK cnt/LTimer<1>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 12 | cnt/LTimer<2> +MACROCELL | 3 | 11 | cnt/LTimer<2> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 11 | 6 | 17 | 6 | 16 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +OUTPUTMC | 11 | 6 | 17 | 6 | 16 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 INPUTS | 5 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 7 | 17 | 3 | 13 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 5 | 3 | 0 | 3 | 12 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 3 | cnt/LTimer<2>.T = cnt/LTimer<0> & cnt/LTimer<1>; cnt/LTimer<2>.CLK = FCLK; // GCK cnt/LTimer<2>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 11 | cnt/LTimer<3> +MACROCELL | 3 | 9 | cnt/LTimer<3> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 10 | 6 | 17 | 6 | 16 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 INPUTS | 6 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 6 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 3 | cnt/LTimer<3>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2>; cnt/LTimer<3>.CLK = FCLK; // GCK @@ -258,7 +231,7 @@ MACROCELL | 6 | 14 | cnt/LTimer<4> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 9 | 6 | 17 | 6 | 16 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 INPUTS | 7 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 7 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 7 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 4 | cnt/LTimer<4>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3>; @@ -270,7 +243,7 @@ MACROCELL | 6 | 12 | cnt/LTimer<5> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 8 | 6 | 17 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 8 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 8 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 4 | cnt/LTimer<5>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4>; @@ -282,7 +255,7 @@ MACROCELL | 6 | 9 | cnt/LTimer<6> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 7 | 6 | 17 | 6 | 16 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 INPUTS | 9 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 9 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 9 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 4 | cnt/LTimer<6>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5>; @@ -294,7 +267,7 @@ MACROCELL | 6 | 6 | cnt/LTimer<7> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 6 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 INPUTS | 10 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 10 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 10 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 4 | cnt/LTimer<7>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6>; @@ -306,7 +279,7 @@ MACROCELL | 6 | 3 | cnt/LTimer<8> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 5 | 6 | 17 | 6 | 16 | 6 | 2 | 6 | 15 | 6 | 0 INPUTS | 11 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 11 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 11 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 5 | cnt/LTimer<8>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & @@ -319,7 +292,7 @@ MACROCELL | 6 | 2 | cnt/LTimer<9> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 4 | 6 | 17 | 6 | 16 | 6 | 15 | 6 | 0 INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 12 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 12 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 5 | cnt/LTimer<9>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & @@ -330,9 +303,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 13 | cs/nOverlay ATTRIBUTES | 4358928 | 0 -OUTPUTMC | 26 | 4 | 15 | 2 | 13 | 4 | 0 | 7 | 8 | 2 | 12 | 2 | 4 | 2 | 9 | 2 | 8 | 4 | 17 | 2 | 16 | 7 | 15 | 4 | 5 | 7 | 13 | 4 | 2 | 2 | 14 | 7 | 10 | 6 | 7 | 2 | 1 | 2 | 2 | 2 | 6 | 4 | 7 | 4 | 8 | 7 | 1 | 7 | 14 | 7 | 16 | 7 | 17 +OUTPUTMC | 27 | 7 | 15 | 2 | 13 | 4 | 1 | 4 | 7 | 2 | 12 | 2 | 4 | 2 | 9 | 2 | 8 | 4 | 16 | 4 | 6 | 7 | 10 | 7 | 8 | 7 | 5 | 4 | 2 | 7 | 2 | 2 | 14 | 4 | 3 | 6 | 7 | 7 | 4 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 16 | 4 | 0 | 4 | 4 | 7 | 7 | 7 | 17 INPUTS | 17 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | nAS_FSB | fsb/ASrf | nRES.PIN | A_FSB<13> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready1r | nWE_FSB | iobs/IOReady | nADoutLE1 -INPUTMC | 5 | 2 | 13 | 3 | 6 | 2 | 12 | 4 | 15 | 5 | 13 +INPUTMC | 5 | 2 | 13 | 0 | 10 | 2 | 12 | 7 | 3 | 5 | 13 INPUTP | 12 | 36 | 30 | 29 | 28 | 54 | 145 | 18 | 26 | 24 | 23 | 22 | 47 EXPORTS | 1 | 2 | 12 EQ | 9 | @@ -351,7 +324,7 @@ MACROCELL | 2 | 0 | IORW0 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 5 | 5 | 8 | 5 | 10 | 2 | 0 | 5 | 6 | 2 | 17 INPUTS | 11 | IORW0 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf | EXP10_.EXP | iobs/Clear1.EXP -INPUTMC | 8 | 2 | 0 | 4 | 6 | 4 | 9 | 4 | 16 | 5 | 13 | 3 | 6 | 2 | 1 | 2 | 17 +INPUTMC | 8 | 2 | 0 | 7 | 6 | 7 | 12 | 7 | 16 | 5 | 13 | 0 | 10 | 2 | 1 | 2 | 17 INPUTP | 3 | 36 | 30 | 54 IMPORTS | 2 | 2 | 1 | 2 | 17 EQ | 41 | @@ -402,7 +375,7 @@ MACROCELL | 6 | 15 | cnt/LTimer<12> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 1 | 6 | 0 INPUTS | 15 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 15 | 7 | 17 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 15 | 3 | 0 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 6 | cnt/LTimer<12>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<11> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & @@ -412,11 +385,11 @@ EQ | 6 | cnt/LTimer<12>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 9 | cnt/TimerTC +MACROCELL | 3 | 6 | cnt/TimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 20 | 3 | 17 | 7 | 17 | 7 | 3 | 7 | 8 | 7 | 2 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 | 7 | 16 | 7 | 6 +OUTPUTMC | 20 | 3 | 17 | 3 | 0 | 3 | 8 | 3 | 15 | 3 | 16 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 | 3 | 2 | 3 | 13 INPUTS | 6 | RefUrg | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 3 | 17 | 7 | 3 | 7 | 9 | 7 | 2 | 0 | 10 | 3 | 8 +INPUTMC | 6 | 3 | 17 | 3 | 8 | 3 | 15 | 3 | 16 | 0 | 12 | 3 | 3 EQ | 4 | cnt/TimerTC.D = RefUrg & cnt/Timer<0> & !cnt/Timer<1> & !cnt/Timer<2>; @@ -424,38 +397,41 @@ EQ | 4 | cnt/TimerTC.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 17 | ram/RefDone +MACROCELL | 4 | 9 | ram/RefDone ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 17 | 0 | 0 | 0 | 2 -INPUTS | 5 | ram/RefDone | ram/RefReqSync | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 -INPUTMC | 5 | 0 | 17 | 0 | 1 | 0 | 12 | 4 | 7 | 7 | 15 -EQ | 6 | +OUTPUTMC | 3 | 4 | 9 | 0 | 1 | 4 | 16 +INPUTS | 4 | ram/RefDone | ram/RefReqSync | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 +INPUTMC | 4 | 4 | 9 | 0 | 2 | 4 | 6 | 7 | 10 +EQ | 4 | ram/RefDone.D = ram/RefDone & ram/RefReqSync - # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & ram/RefReqSync - # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd3 & ram/RefReqSync; + # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + ram/RefReqSync; ram/RefDone.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 16 | IOL0 +MACROCELL | 7 | 9 | IOL0 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 8 -INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 0 | 14 | 5 | 13 | 4 | 6 | 4 | 9 -INPUTP | 1 | 49 -EQ | 4 | +OUTPUTMC | 2 | 5 | 8 | 7 | 8 +INPUTS | 12 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | ram/RefUrg | ram/RAMEN +INPUTMC | 10 | 0 | 14 | 5 | 13 | 7 | 6 | 7 | 12 | 4 | 6 | 7 | 10 | 7 | 8 | 0 | 10 | 0 | 1 | 4 | 2 +INPUTP | 2 | 49 | 54 +EXPORTS | 1 | 7 | 8 +EQ | 8 | IOL0.D = !nLDS_FSB & nADoutLE1 # iobs/IOL1 & !nADoutLE1; IOL0.CLK = FCLK; // GCK IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; + IOL0.EXP = nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf + # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RAMEN GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 15 | IOU0 +MACROCELL | 0 | 17 | IOU0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 5 | 10 INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 0 | 13 | 5 | 13 | 4 | 6 | 4 | 9 +INPUTMC | 4 | 0 | 13 | 5 | 13 | 7 | 6 | 7 | 12 INPUTP | 1 | 56 EQ | 4 | IOU0.D = !nUDS_FSB & nADoutLE1 @@ -466,9 +442,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 14 | RefReq ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 0 | 1 +OUTPUTMC | 1 | 0 | 2 INPUTS | 5 | RefUrg | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 3 | 17 | 7 | 9 | 7 | 2 | 0 | 10 | 3 | 8 +INPUTMC | 5 | 3 | 17 | 3 | 15 | 3 | 16 | 0 | 12 | 3 | 3 EQ | 3 | !RefReq.D = !RefUrg & !cnt/Timer<1> & !cnt/Timer<2>; RefReq.CLK = FCLK; // GCK @@ -477,9 +453,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 0 | cnt/LTimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 7 | 16 | 7 | 6 +OUTPUTMC | 2 | 3 | 2 | 3 | 13 INPUTS | 16 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<12> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 16 | 7 | 17 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTMC | 16 | 3 | 0 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 3 | 6 | 0 | 12 | 3 | 3 EQ | 6 | cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<11> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & @@ -489,27 +465,28 @@ EQ | 6 | cnt/LTimerTC.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 8 | fsb/Ready0r +MACROCELL | 4 | 7 | fsb/Ready0r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 7 | 8 | 2 | 4 | 2 | 8 | 7 | 9 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 9 | 2 | 13 | 7 | 8 | 7 | 10 | 3 | 6 | 7 | 3 | 7 | 9 | 3 | 9 | 0 | 10 | 3 | 8 +OUTPUTMC | 4 | 4 | 7 | 2 | 4 | 2 | 8 | 4 | 6 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | cs/nOverlay | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RefUrg +INPUTMC | 8 | 2 | 13 | 4 | 7 | 4 | 3 | 0 | 10 | 4 | 6 | 7 | 8 | 7 | 10 | 0 | 1 INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 7 | 9 -EQ | 6 | +EXPORTS | 1 | 4 | 6 +EQ | 7 | !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !fsb/Ready0r & !ram/RAMReady; fsb/Ready0r.CLK = FCLK; // GCK - fsb/Ready0r.EXP = !cnt/Timer<0> & !cnt/Timer<1> - # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> + fsb/Ready0r.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RefUrg & !fsb/ASrf GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 12 | fsb/Ready1r ATTRIBUTES | 8553216 | 0 OUTPUTMC | 8 | 2 | 12 | 2 | 5 | 2 | 8 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 13 INPUTS | 17 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | nADoutLE1 | cs/nOverlay.EXP -INPUTMC | 6 | 3 | 6 | 2 | 12 | 4 | 15 | 2 | 13 | 5 | 13 | 2 | 13 +INPUTMC | 6 | 0 | 10 | 2 | 12 | 7 | 3 | 2 | 13 | 5 | 13 | 2 | 13 INPUTP | 11 | 54 | 36 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 IMPORTS | 1 | 2 | 13 EQ | 14 | @@ -533,7 +510,7 @@ MACROCELL | 2 | 5 | fsb/VPA ATTRIBUTES | 8553216 | 0 OUTPUTMC | 4 | 2 | 5 | 3 | 10 | 2 | 4 | 2 | 6 INPUTS | 11 | A_FSB<23> | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | A_FSB<20> | EXP13_.EXP | EXP14_.EXP -INPUTMC | 6 | 2 | 12 | 2 | 5 | 4 | 15 | 3 | 6 | 2 | 4 | 2 | 6 +INPUTMC | 6 | 2 | 12 | 2 | 5 | 7 | 3 | 0 | 10 | 2 | 4 | 2 | 6 INPUTP | 5 | 36 | 54 | 30 | 29 | 28 IMPORTS | 2 | 2 | 4 | 2 | 6 EQ | 52 | @@ -593,9 +570,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 14 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 3 | 16 +OUTPUTMC | 1 | 7 | 9 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 4 | 2 +INPUTMC | 1 | 7 | 2 INPUTP | 1 | 49 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; @@ -607,7 +584,7 @@ MACROCELL | 2 | 10 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 OUTPUTMC | 2 | 2 | 10 | 2 | 17 INPUTS | 8 | nADoutLE1 | iobs/Once | nWE_FSB | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | EXP16_.EXP | EXP17_.EXP -INPUTMC | 7 | 5 | 13 | 4 | 16 | 2 | 10 | 4 | 6 | 4 | 9 | 2 | 9 | 2 | 11 +INPUTMC | 7 | 5 | 13 | 7 | 16 | 2 | 10 | 7 | 6 | 7 | 12 | 2 | 9 | 2 | 11 INPUTP | 1 | 47 IMPORTS | 2 | 2 | 9 | 2 | 11 EQ | 20 | @@ -633,17 +610,17 @@ EQ | 20 | iobs/IORW1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 15 | iobs/IOReady +MACROCELL | 7 | 3 | iobs/IOReady ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 2 | 12 | 2 | 5 | 4 | 14 | 2 | 8 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 13 | 4 | 16 -INPUTS | 17 | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | nAS_FSB | A_FSB<13> | RA_6_OBUF.EXP -INPUTMC | 6 | 4 | 16 | 4 | 6 | 4 | 9 | 3 | 6 | 2 | 13 | 4 | 14 -INPUTP | 11 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 | 54 | 18 -EXPORTS | 1 | 4 | 16 -IMPORTS | 1 | 4 | 14 -EQ | 22 | - iobs/IOReady.T = ;Imported pterms FB5_15 - iobs/IOReady & nAS_FSB & !fsb/ASrf +OUTPUTMC | 10 | 2 | 12 | 2 | 5 | 7 | 3 | 2 | 8 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 13 | 7 | 4 | 7 | 2 +INPUTS | 11 | iobs/IOReady | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<20> | A_FSB<18> | A_FSB<21> | A_FSB<14> | A_FSB<13> | nRAS_OBUF.EXP +INPUTMC | 3 | 7 | 3 | 0 | 10 | 7 | 4 +INPUTP | 8 | 54 | 36 | 30 | 28 | 24 | 29 | 19 | 18 +EXPORTS | 1 | 7 | 2 +IMPORTS | 1 | 7 | 4 +EQ | 13 | + iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf +;Imported pterms FB8_5 # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & IOBERR & nADoutLE1 # iobs/Once & !iobs/IOReady & !nAS_FSB & @@ -651,26 +628,17 @@ EQ | 22 | # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & fsb/ASrf & nADoutLE1; iobs/IOReady.CLK = FCLK; // GCK - iobs/IOReady.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<20> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + iobs/IOReady.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 13 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 3 | 15 +OUTPUTMC | 1 | 0 | 17 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 4 | 2 +INPUTMC | 1 | 7 | 2 INPUTP | 1 | 56 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; @@ -680,29 +648,26 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 11 | nBERR_FSB_OBUF ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 2 | 7 | 11 | 7 | 10 -INPUTS | 14 | nBERR_FSB | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 | A_FSB<23> | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/BACTr -INPUTMC | 12 | 7 | 11 | 3 | 6 | 4 | 16 | 4 | 6 | 0 | 3 | 5 | 17 | 5 | 13 | 0 | 12 | 4 | 7 | 7 | 15 | 0 | 0 | 3 | 2 -INPUTP | 2 | 54 | 36 -EXPORTS | 1 | 7 | 10 -EQ | 10 | - nBERR_FSB.T = !nBERR_FSB & nAS_FSB & !fsb/ASrf +OUTPUTMC | 1 | 7 | 11 +INPUTS | 8 | nAS_FSB | nBERR_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 +INPUTMC | 7 | 7 | 11 | 0 | 10 | 7 | 16 | 7 | 6 | 0 | 3 | 5 | 17 | 5 | 13 +INPUTP | 1 | 54 +EQ | 8 | + nBERR_FSB.T = nAS_FSB & !nBERR_FSB & !fsb/ASrf # iobs/Once & !nBERR_FSB & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & nADoutLE1 - # iobs/Once & nBERR_FSB & !nAS_FSB & + # iobs/Once & !nAS_FSB & nBERR_FSB & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & IOBERR & nADoutLE1 # iobs/Once & nBERR_FSB & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & IOBERR & fsb/ASrf & nADoutLE1; nBERR_FSB.CLK = FCLK; // GCK - nBERR_FSB_OBUF.EXP = A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 8 | nDTACK_FSB_OBUF ATTRIBUTES | 8815362 | 0 OUTPUTMC | 3 | 2 | 8 | 2 | 7 | 2 | 9 INPUTS | 19 | A_FSB<13> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | fsb/Ready1r | nWE_FSB | iobs/IOReady | nDTACK_FSB | nADoutLE1 | A_FSB<14> | A_FSB<23> | A_FSB<22> | fsb/Ready0r | ram/RAMReady | EXP15_.EXP -INPUTMC | 8 | 2 | 13 | 2 | 12 | 4 | 15 | 2 | 8 | 5 | 13 | 7 | 8 | 7 | 10 | 2 | 7 +INPUTMC | 8 | 2 | 13 | 2 | 12 | 7 | 3 | 2 | 8 | 5 | 13 | 4 | 7 | 4 | 3 | 2 | 7 INPUTP | 11 | 18 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 36 | 30 EXPORTS | 1 | 2 | 9 IMPORTS | 1 | 2 | 7 @@ -737,43 +702,34 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 14 | nBR_IOB_OBUF ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 4 | 7 | 14 | 3 | 1 | 7 | 13 | 7 | 15 -INPUTS | 13 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | A_FSB<23> | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/BACTr | A_FSB<22> | ram/RefReq | cs/nOverlay | ram/RAMEN.EXP -INPUTMC | 11 | 7 | 14 | 7 | 16 | 7 | 6 | 0 | 12 | 4 | 7 | 7 | 15 | 0 | 0 | 3 | 2 | 0 | 2 | 2 | 13 | 7 | 13 -INPUTP | 2 | 36 | 30 +OUTPUTMC | 3 | 7 | 14 | 3 | 1 | 7 | 15 +INPUTS | 4 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/nIPL2r +INPUTMC | 4 | 7 | 14 | 3 | 2 | 3 | 13 | 0 | 11 EXPORTS | 1 | 7 | 15 -IMPORTS | 1 | 7 | 13 -EQ | 14 | +EQ | 6 | nBR_IOB.T = nBR_IOB & !cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2 -;Imported pterms FB8_14 # !nBR_IOB & !cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 & !cnt/nIPL2r; nBR_IOB.CLK = FCLK; // GCK - nBR_IOB_OBUF.EXP = A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # !cs/nOverlay & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq + nBR_IOB_OBUF.EXP = cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2 GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 0 | ram/RASEL +MACROCELL | 4 | 17 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 6 | 5 | 6 | 1 | 4 | 13 | 4 | 10 | 4 | 4 | 4 | 11 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 4 | 9 -INPUTS | 8 | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | ram/RefUrg | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | A_FSB_19_IBUF$BUF0.EXP | cnt/LTimer<0>.EXP -INPUTMC | 7 | 0 | 12 | 3 | 6 | 0 | 0 | 4 | 7 | 7 | 15 | 7 | 1 | 7 | 17 +OUTPUTMC | 11 | 6 | 5 | 6 | 1 | 4 | 13 | 4 | 10 | 4 | 8 | 4 | 11 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 4 | 5 +INPUTS | 8 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | nAS_FSB | ram/RS_FSM_FFd2 | fsb/ASrf | ram/RefUrg | EXP19_.EXP | ram/RefReq.EXP +INPUTMC | 7 | 7 | 10 | 7 | 8 | 4 | 6 | 0 | 10 | 0 | 1 | 4 | 0 | 4 | 16 INPUTP | 1 | 54 -IMPORTS | 2 | 7 | 1 | 7 | 17 -EQ | 26 | - !ram/RASEL.D = !nAS_FSB & ram/RS_FSM_FFd1 - # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 - # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd1 & !ram/RefUrg - # ram/RS_FSM_FFd1 & fsb/ASrf -;Imported pterms FB8_2 +IMPORTS | 2 | 4 | 0 | 4 | 16 +EQ | 27 | + !ram/RASEL.D = ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & !ram/RefUrg + # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & fsb/ASrf +;Imported pterms FB5_1 # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & @@ -784,7 +740,7 @@ EQ | 26 | !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf -;Imported pterms FB8_18 +;Imported pterms FB5_17 # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & @@ -796,57 +752,78 @@ EQ | 26 | ram/RASEL.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 12 | ram/RS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 0 | 17 | 7 | 0 | 0 | 12 | 4 | 7 | 7 | 15 | 7 | 13 | 7 | 10 | 4 | 8 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 16 -INPUTS | 3 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 -INPUTMC | 3 | 7 | 15 | 0 | 12 | 4 | 7 -EQ | 3 | - ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2; +MACROCELL | 4 | 6 | ram/RS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 13 | 4 | 9 | 4 | 17 | 4 | 4 | 7 | 10 | 7 | 8 | 4 | 2 | 4 | 3 | 4 | 15 | 4 | 0 | 4 | 1 | 4 | 7 | 4 | 16 | 7 | 9 +INPUTS | 11 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RefReq | ram/BACTr | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | fsb/ASrf | fsb/Ready0r.EXP +INPUTMC | 8 | 7 | 10 | 7 | 8 | 0 | 1 | 4 | 16 | 4 | 12 | 2 | 13 | 0 | 10 | 4 | 7 +INPUTP | 3 | 36 | 30 | 54 +IMPORTS | 1 | 4 | 7 +EQ | 15 | + !ram/RS_FSM_FFd2.T = !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & + ram/BACTr + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & + !ram/RefReq + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf +;Imported pterms FB5_8 + # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RefUrg & !fsb/ASrf; + ram/RS_FSM_FFd2.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 10 | ram/RS_FSM_FFd1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 12 | 4 | 9 | 4 | 17 | 4 | 6 | 7 | 10 | 7 | 8 | 4 | 2 | 4 | 3 | 4 | 15 | 4 | 1 | 4 | 4 | 4 | 7 | 7 | 9 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RAMEN | fsb/ASrf +INPUTMC | 6 | 2 | 13 | 4 | 6 | 7 | 10 | 7 | 8 | 4 | 2 | 0 | 10 +INPUTP | 3 | 36 | 30 | 54 +EQ | 7 | + ram/RS_FSM_FFd1.T = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/RAMEN & + fsb/ASrf; ram/RS_FSM_FFd1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 7 | ram/RS_FSM_FFd2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 15 | 0 | 17 | 7 | 0 | 0 | 12 | 4 | 7 | 7 | 15 | 7 | 12 | 7 | 10 | 4 | 8 | 7 | 1 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 16 | 7 | 17 | 4 | 6 -INPUTS | 20 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | nAS_FSB | ram/RefUrg | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<13> | RA_4_OBUF.EXP -INPUTMC | 10 | 0 | 12 | 7 | 15 | 4 | 7 | 0 | 0 | 4 | 16 | 2 | 13 | 4 | 6 | 4 | 9 | 3 | 6 | 4 | 8 -INPUTP | 10 | 54 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 4 | 6 -IMPORTS | 1 | 4 | 8 -EQ | 24 | - ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 - # !nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - ram/RefUrg -;Imported pterms FB5_9 - # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & ram/RefUrg & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RefUrg - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RefUrg & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN & - fsb/ASrf; - ram/RS_FSM_FFd2.CLK = FCLK; // GCK - ram/RS_FSM_FFd2.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf +MACROCELL | 7 | 8 | ram/RS_FSM_FFd3 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 11 | 4 | 17 | 4 | 6 | 7 | 10 | 7 | 8 | 4 | 1 | 4 | 3 | 4 | 0 | 4 | 4 | 4 | 7 | 4 | 16 | 7 | 9 +INPUTS | 10 | cs/nOverlay | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<22> | A_FSB<23> | nAS_FSB | ram/RefUrg | fsb/ASrf | IOL0.EXP +INPUTMC | 7 | 2 | 13 | 4 | 6 | 7 | 10 | 7 | 8 | 0 | 1 | 0 | 10 | 7 | 9 +INPUTP | 3 | 30 | 36 | 54 +IMPORTS | 1 | 7 | 9 +EQ | 16 | + !ram/RS_FSM_FFd3.T = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & ram/RefUrg + # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & ram/RefUrg & fsb/ASrf +;Imported pterms FB8_10 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf + # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RAMEN; + ram/RS_FSM_FFd3.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 15 | 5 | 17 | 0 | 16 | 5 | 6 | 5 | 14 | 5 | 16 INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | C8M | iobm/IOREQr | nAoutOE -INPUTMC | 5 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 16 | 3 | 1 +INPUTMC | 5 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 17 | 3 | 1 INPUTP | 1 | 35 EQ | 6 | iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 @@ -872,7 +849,7 @@ MACROCELL | 5 | 9 | iobm/IOS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 OUTPUTMC | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 15 | 5 | 17 | 0 | 16 | 5 | 6 | 5 | 16 INPUTS | 11 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | C8M | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 0 | 0 | 8 | 0 | 7 | 0 | 6 | 0 | 5 | 1 | 15 | 1 | 14 +INPUTMC | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 0 | 0 | 9 | 0 | 8 | 0 | 7 | 0 | 6 | 1 | 16 | 1 | 15 INPUTP | 1 | 35 EQ | 11 | iobm/IOS_FSM_FFd2.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & @@ -888,53 +865,23 @@ EQ | 11 | iobm/IOS_FSM_FFd2.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 15 | ram/RS_FSM_FFd3 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 14 | 0 | 17 | 7 | 0 | 0 | 12 | 4 | 7 | 7 | 15 | 7 | 12 | 7 | 10 | 4 | 8 | 7 | 1 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 16 | 7 | 17 -INPUTS | 10 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | fsb/ASrf | ram/RefUrg | cs/nOverlay | ram/BACTr | nBR_IOB_OBUF.EXP | cnt/INITS_FSM_FFd1.EXP -INPUTMC | 9 | 0 | 12 | 4 | 7 | 7 | 15 | 3 | 6 | 0 | 0 | 2 | 13 | 3 | 2 | 7 | 14 | 7 | 16 -INPUTP | 1 | 54 -IMPORTS | 2 | 7 | 14 | 7 | 16 -EQ | 30 | - !ram/RS_FSM_FFd3.T = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd3 - # !nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg - # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & fsb/ASrf - # !cs/nOverlay & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr -;Imported pterms FB8_15 - # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # !cs/nOverlay & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq -;Imported pterms FB8_17 - # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & - fsb/ASrf; - ram/RS_FSM_FFd3.CLK = FCLK; // GCK +MACROCELL | 0 | 1 | ram/RefUrg +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 4 | 17 | 4 | 6 | 7 | 8 | 4 | 2 | 4 | 3 | 4 | 0 | 4 | 1 | 4 | 4 | 4 | 7 | 4 | 16 | 7 | 9 +INPUTS | 2 | ram/RefDone | ram/RegUrgSync +INPUTMC | 2 | 4 | 9 | 0 | 0 +EQ | 2 | + ram/RefUrg.D = !ram/RefDone & ram/RegUrgSync; + ram/RefUrg.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 6 | iobs/PS_FSM_FFd2 +MACROCELL | 7 | 6 | iobs/PS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 19 | 4 | 16 | 2 | 0 | 3 | 16 | 3 | 15 | 2 | 10 | 4 | 14 | 7 | 11 | 4 | 6 | 4 | 9 | 4 | 2 | 0 | 11 | 2 | 15 | 2 | 17 | 2 | 1 | 2 | 2 | 4 | 5 | 4 | 7 | 4 | 15 | 4 | 17 -INPUTS | 12 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | nOE_OBUF.EXP | ram/RS_FSM_FFd2.EXP -INPUTMC | 8 | 4 | 9 | 0 | 3 | 4 | 6 | 5 | 13 | 4 | 16 | 3 | 6 | 4 | 5 | 4 | 7 +OUTPUTMC | 19 | 7 | 16 | 2 | 0 | 7 | 9 | 0 | 17 | 2 | 10 | 2 | 2 | 7 | 11 | 7 | 6 | 7 | 12 | 7 | 2 | 7 | 13 | 2 | 15 | 2 | 17 | 2 | 1 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 15 | 7 | 17 +INPUTS | 12 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | nRAMLWE_OBUF.EXP | nRAMUWE_OBUF.EXP +INPUTMC | 8 | 7 | 12 | 0 | 3 | 7 | 6 | 5 | 13 | 7 | 16 | 0 | 10 | 7 | 5 | 7 | 7 INPUTP | 4 | 36 | 54 | 30 | 29 -IMPORTS | 2 | 4 | 5 | 4 | 7 +IMPORTS | 2 | 7 | 5 | 7 | 7 EQ | 29 | iobs/PS_FSM_FFd2.T = iobs/PS_FSM_FFd1 & iobs/IOACTr # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 @@ -944,7 +891,7 @@ EQ | 29 | !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB5_6 +;Imported pterms FB8_6 # A_FSB<22> & A_FSB<21> & !iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & @@ -954,34 +901,24 @@ EQ | 29 | # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB5_8 +;Imported pterms FB8_8 # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf; iobs/PS_FSM_FFd2.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 0 | ram/RefUrg -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 7 | 0 | 4 | 7 | 7 | 15 | 7 | 13 | 7 | 10 | 4 | 8 | 7 | 1 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 16 | 7 | 17 -INPUTS | 2 | ram/RefDone | ram/RegUrgSync -INPUTMC | 2 | 0 | 17 | 3 | 0 -EQ | 2 | - ram/RefUrg.D = !ram/RefDone & ram/RegUrgSync; - ram/RefUrg.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - MACROCELL | 5 | 5 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 5 | 0 | 4 | 1 | 17 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 +INPUTMC | 7 | 5 | 5 | 0 | 5 | 0 | 4 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & @@ -995,7 +932,7 @@ MACROCELL | 5 | 4 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 -INPUTMC | 4 | 5 | 5 | 5 | 4 | 0 | 4 | 1 | 17 +INPUTMC | 4 | 5 | 5 | 5 | 4 | 0 | 5 | 0 | 4 EQ | 4 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> # !iobm/ES<0> & !iobm/ES<1> @@ -1003,11 +940,39 @@ EQ | 4 | iobm/ES<1>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M +MACROCELL | 4 | 2 | ram/RAMEN +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 4 | 1 | 7 | 10 | 4 | 0 | 4 | 2 | 4 | 3 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 9 +INPUTS | 13 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd1 | ram/RAMEN | fsb/ASrf | ram/RefUrg | ram/RefReq | ram/BACTr | ram/RS_FSM_FFd2 | nROMCS_OBUF.EXP | ram/RAMReady.EXP +INPUTMC | 10 | 2 | 13 | 7 | 10 | 4 | 2 | 0 | 10 | 0 | 1 | 4 | 16 | 4 | 12 | 4 | 6 | 4 | 1 | 4 | 3 +INPUTP | 3 | 36 | 30 | 54 +IMPORTS | 2 | 4 | 1 | 4 | 3 +EQ | 18 | + ram/RAMEN.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RAMEN + # !ram/RS_FSM_FFd1 & !ram/RefUrg & ram/RAMEN & + ram/BACTr + # !ram/RS_FSM_FFd1 & !ram/RefUrg & ram/RAMEN & + !ram/RefReq + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd1 & ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf +;Imported pterms FB5_2 + # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & ram/RAMEN + # nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf +;Imported pterms FB5_4 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf; + ram/RAMEN.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + MACROCELL | 5 | 12 | iobm/ES<2> ATTRIBUTES | 8553216 | 0 OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 5 | 5 | 12 | 5 | 4 | 0 | 4 | 1 | 17 | 5 | 3 | 5 | 7 +INPUTMC | 7 | 5 | 5 | 5 | 12 | 5 | 4 | 0 | 5 | 0 | 4 | 5 | 3 | 5 | 7 EQ | 6 | !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> # !iobm/ES<1> & !iobm/ES<2> @@ -1017,56 +982,22 @@ EQ | 6 | iobm/ES<2>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 4 | 9 | iobs/PS_FSM_FFd1 +MACROCELL | 7 | 12 | iobs/PS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 20 | 4 | 16 | 2 | 0 | 3 | 16 | 3 | 15 | 2 | 10 | 4 | 6 | 4 | 9 | 4 | 2 | 0 | 11 | 2 | 15 | 2 | 17 | 2 | 1 | 2 | 2 | 2 | 14 | 2 | 16 | 4 | 5 | 4 | 7 | 4 | 15 | 4 | 17 | 4 | 8 -INPUTS | 6 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | A_FSB<14> | ram/RASEL | A_FSB<5> -INPUTMC | 4 | 4 | 6 | 4 | 9 | 0 | 3 | 7 | 0 -INPUTP | 2 | 19 | 4 -EXPORTS | 1 | 4 | 8 -EQ | 5 | +OUTPUTMC | 19 | 7 | 16 | 2 | 0 | 7 | 9 | 0 | 17 | 2 | 10 | 7 | 6 | 7 | 12 | 7 | 2 | 7 | 13 | 2 | 15 | 2 | 17 | 2 | 1 | 2 | 2 | 2 | 14 | 2 | 16 | 7 | 5 | 7 | 7 | 7 | 15 | 7 | 17 +INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr +INPUTMC | 3 | 7 | 6 | 7 | 12 | 0 | 3 +EQ | 3 | iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 # iobs/PS_FSM_FFd1 & iobs/IOACTr; iobs/PS_FSM_FFd1.CLK = FCLK; // GCK - iobs/PS_FSM_FFd1.EXP = A_FSB<14> & !ram/RASEL - # ram/RASEL & A_FSB<5> -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 7 | 13 | ram/RAMEN -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 4 | 8 | 7 | 7 | 7 | 12 | 7 | 13 | 7 | 10 | 7 | 5 | 7 | 1 | 7 | 16 | 7 | 14 -INPUTS | 15 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd1 | ram/RAMEN | fsb/ASrf | ram/RefUrg | ram/RefReq | ram/BACTr | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/nIPL2r | EXP22_.EXP -INPUTMC | 12 | 2 | 13 | 0 | 12 | 7 | 13 | 3 | 6 | 0 | 0 | 0 | 2 | 3 | 2 | 7 | 14 | 7 | 16 | 7 | 6 | 0 | 9 | 7 | 12 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 7 | 14 -IMPORTS | 1 | 7 | 12 -EQ | 19 | - ram/RAMEN.D = !ram/RS_FSM_FFd1 & !ram/RefUrg & ram/RAMEN & - ram/BACTr - # !ram/RS_FSM_FFd1 & !ram/RefUrg & ram/RAMEN & - !ram/RefReq - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd1 & ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf -;Imported pterms FB8_13 - # ram/RS_FSM_FFd3 & ram/RAMEN - # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RAMEN - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & ram/RAMEN - # nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf; - ram/RAMEN.CLK = FCLK; // GCK - ram/RAMEN.EXP = !nBR_IOB & !cnt/INITS_FSM_FFd1 & - cnt/INITS_FSM_FFd2 & !cnt/nIPL2r GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 3 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> -INPUTMC | 6 | 5 | 3 | 0 | 4 | 1 | 17 | 5 | 5 | 5 | 4 | 5 | 12 +INPUTMC | 6 | 5 | 3 | 0 | 5 | 0 | 4 | 5 | 5 | 5 | 4 | 5 | 12 EQ | 4 | iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er @@ -1078,7 +1009,7 @@ MACROCELL | 5 | 7 | iobm/ES<4> ATTRIBUTES | 4358912 | 0 OUTPUTMC | 5 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 7 | 5 | 0 INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> -INPUTMC | 7 | 5 | 7 | 0 | 4 | 1 | 17 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 +INPUTMC | 7 | 5 | 7 | 0 | 5 | 0 | 4 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 EQ | 8 | iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & @@ -1090,33 +1021,22 @@ EQ | 8 | iobm/ES<4>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 16 | cnt/INITS_FSM_FFd1 +MACROCELL | 3 | 2 | cnt/INITS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 7 | 14 | 7 | 16 | 7 | 6 | 3 | 3 | 3 | 1 | 7 | 13 | 7 | 15 -INPUTS | 18 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> | A_FSB<23> | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RefReq | nAS_FSB | fsb/ASrf | A_FSB<22> | cs/nOverlay | ram/RAMEN -INPUTMC | 15 | 3 | 9 | 6 | 0 | 7 | 16 | 7 | 6 | 0 | 10 | 0 | 9 | 3 | 8 | 0 | 12 | 4 | 7 | 7 | 15 | 0 | 0 | 0 | 2 | 3 | 6 | 2 | 13 | 7 | 13 -INPUTP | 3 | 36 | 54 | 30 -EXPORTS | 1 | 7 | 15 -EQ | 12 | +OUTPUTMC | 4 | 7 | 14 | 3 | 2 | 3 | 13 | 3 | 1 +INPUTS | 7 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> +INPUTMC | 7 | 3 | 6 | 6 | 0 | 3 | 2 | 3 | 13 | 0 | 12 | 0 | 11 | 3 | 3 +EQ | 3 | cnt/INITS_FSM_FFd1.T = cnt/TimerTC & cnt/LTimerTC & !cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/nIPL2r & cnt/Er<1>; cnt/INITS_FSM_FFd1.CLK = FCLK; // GCK - cnt/INITS_FSM_FFd1.EXP = A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & - fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 6 | cnt/INITS_FSM_FFd2 +MACROCELL | 3 | 13 | cnt/INITS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 7 | 14 | 7 | 16 | 7 | 6 | 3 | 3 | 3 | 1 | 7 | 13 +OUTPUTMC | 4 | 7 | 14 | 3 | 2 | 3 | 13 | 3 | 1 INPUTS | 6 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 3 | 9 | 6 | 0 | 7 | 16 | 7 | 6 | 0 | 10 | 3 | 8 +INPUTMC | 6 | 3 | 6 | 6 | 0 | 3 | 2 | 3 | 13 | 0 | 12 | 3 | 3 EQ | 5 | cnt/INITS_FSM_FFd2.T = cnt/TimerTC & cnt/LTimerTC & cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1> @@ -1127,7 +1047,7 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 3 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 14 | 7 | 11 | 4 | 6 | 4 | 9 | 2 | 15 +OUTPUTMC | 5 | 2 | 15 | 7 | 11 | 7 | 6 | 7 | 12 | 7 | 4 INPUTS | 1 | IOACT INPUTMC | 1 | 5 | 15 EQ | 2 | @@ -1135,7 +1055,7 @@ EQ | 2 | iobs/IOACTr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 16 | iobm/IOREQr +MACROCELL | 1 | 17 | iobm/IOREQr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 4 | 5 | 2 | 5 | 15 | 0 | 16 | 5 | 6 INPUTS | 1 | IOREQ @@ -1145,28 +1065,28 @@ EQ | 2 | !iobm/IOREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 4 | 2 | iobs/Load1 +MACROCELL | 7 | 2 | iobs/Load1 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 3 | 0 | 14 | 0 | 13 | 5 | 13 -INPUTS | 11 | nADoutLE1 | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | nROMCS_OBUF.EXP | EXP20_.EXP -INPUTMC | 8 | 5 | 13 | 4 | 16 | 4 | 6 | 4 | 9 | 3 | 6 | 2 | 13 | 4 | 1 | 4 | 3 +INPUTS | 11 | nADoutLE1 | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | A_FSB_19_IBUF$BUF0.EXP | iobs/IOReady.EXP +INPUTMC | 8 | 5 | 13 | 7 | 16 | 7 | 6 | 7 | 12 | 0 | 10 | 2 | 13 | 7 | 1 | 7 | 3 INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 4 | 1 | 4 | 3 +IMPORTS | 2 | 7 | 1 | 7 | 3 EQ | 17 | !iobs/Load1.D = iobs/Once # !nADoutLE1 # nAS_FSB & !fsb/ASrf # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay -;Imported pterms FB5_2 +;Imported pterms FB8_2 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> # !A_FSB<23> & !A_FSB<22> & nWE_FSB -;Imported pterms FB5_4 +;Imported pterms FB8_4 # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22>; iobs/Load1.CLK = FCLK; // GCK @@ -1176,7 +1096,7 @@ MACROCELL | 5 | 15 | IOACT ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 5 | 1 | 0 | 3 INPUTS | 11 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr | C8M | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | nADoutLE0_OBUF.EXP -INPUTMC | 10 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 16 | 5 | 0 | 0 | 8 | 0 | 7 | 0 | 6 | 0 | 5 | 5 | 14 +INPUTMC | 10 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 17 | 5 | 0 | 0 | 9 | 0 | 8 | 0 | 7 | 0 | 6 | 5 | 14 INPUTP | 1 | 35 IMPORTS | 1 | 5 | 14 EQ | 13 | @@ -1197,9 +1117,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 17 | IOBERR ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 4 | 14 | 7 | 11 | 5 | 17 | 5 | 16 +OUTPUTMC | 4 | 5 | 16 | 7 | 11 | 5 | 17 | 7 | 4 INPUTS | 12 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IOBERR | C8M | nBERR_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | nDinLE_OBUF.EXP -INPUTMC | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 5 | 0 | 0 | 8 | 0 | 7 | 0 | 6 | 0 | 5 | 5 | 16 +INPUTMC | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 5 | 0 | 0 | 9 | 0 | 8 | 0 | 7 | 0 | 6 | 5 | 16 INPUTP | 2 | 35 | 123 IMPORTS | 1 | 5 | 16 EQ | 26 | @@ -1231,9 +1151,9 @@ EQ | 26 | IOBERR.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 4 | iobm/Er +MACROCELL | 0 | 5 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 1 | 17 +OUTPUTMC | 6 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 0 | 4 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1241,30 +1161,40 @@ EQ | 2 | !iobm/Er.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 3 | 2 | ram/BACTr +MACROCELL | 4 | 12 | ram/BACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 7 | 10 | 7 | 15 | 7 | 13 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 17 +OUTPUTMC | 4 | 4 | 16 | 4 | 6 | 4 | 2 | 4 | 4 INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 3 | 6 +INPUTMC | 1 | 0 | 10 INPUTP | 1 | 54 EQ | 2 | !ram/BACTr.D = nAS_FSB & !fsb/ASrf; ram/BACTr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 2 | ram/RefReq +MACROCELL | 4 | 16 | ram/RefReq ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 7 | 10 | 7 | 14 | 7 | 13 | 7 | 1 | 7 | 9 | 7 | 16 | 7 | 17 -INPUTS | 2 | ram/RefDone | ram/RefReqSync -INPUTMC | 2 | 0 | 17 | 0 | 1 -EQ | 2 | +OUTPUTMC | 7 | 4 | 16 | 4 | 6 | 4 | 2 | 4 | 3 | 4 | 0 | 4 | 4 | 4 | 17 +INPUTS | 10 | ram/RefDone | ram/RefReqSync | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/BACTr | A_FSB<22> | cs/nOverlay | ram/RefReq +INPUTMC | 8 | 4 | 9 | 0 | 2 | 4 | 6 | 7 | 8 | 0 | 1 | 4 | 12 | 2 | 13 | 4 | 16 +INPUTP | 2 | 36 | 30 +EXPORTS | 1 | 4 | 17 +EQ | 10 | ram/RefReq.D = !ram/RefDone & ram/RefReqSync; ram/RefReq.CLK = FCLK; // GCK + ram/RefReq.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & ram/BACTr + # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & ram/BACTr + # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & ram/BACTr + # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !ram/RefReq GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 1 | ram/RefReqSync +MACROCELL | 0 | 2 | ram/RefReqSync ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 0 | 17 | 0 | 2 +OUTPUTMC | 2 | 4 | 9 | 4 | 16 INPUTS | 1 | RefReq INPUTMC | 1 | 3 | 14 EQ | 2 | @@ -1272,9 +1202,9 @@ EQ | 2 | ram/RefReqSync.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 10 | cnt/Er<0> +MACROCELL | 0 | 12 | cnt/Er<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 24 | 3 | 17 | 7 | 17 | 7 | 3 | 7 | 9 | 7 | 2 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 3 | 9 | 3 | 14 | 6 | 0 | 7 | 16 | 7 | 6 | 3 | 8 | 7 | 8 +OUTPUTMC | 23 | 3 | 17 | 3 | 0 | 3 | 8 | 3 | 15 | 3 | 16 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 3 | 6 | 3 | 14 | 6 | 0 | 3 | 2 | 3 | 13 | 3 | 3 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1282,9 +1212,9 @@ EQ | 2 | cnt/Er<0>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 9 | cnt/nIPL2r +MACROCELL | 0 | 11 | cnt/nIPL2r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 13 | 7 | 16 +OUTPUTMC | 2 | 7 | 14 | 3 | 2 INPUTS | 1 | nIPL2 INPUTP | 1 | 146 EQ | 2 | @@ -1292,7 +1222,7 @@ EQ | 2 | cnt/nIPL2r.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 6 | iobm/DTACKrf +MACROCELL | 0 | 7 | iobm/DTACKrf ATTRIBUTES | 8553216 | 0 OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 INPUTS | 1 | nDTACK_IOB @@ -1302,7 +1232,7 @@ EQ | 2 | !iobm/DTACKrf.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 5 | iobm/DTACKrr +MACROCELL | 0 | 6 | iobm/DTACKrr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 INPUTS | 1 | nDTACK_IOB @@ -1323,17 +1253,17 @@ EQ | 3 | iobm/ETACK.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 17 | iobm/Er2 +MACROCELL | 0 | 4 | iobm/Er2 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 5 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 INPUTS | 1 | iobm/Er -INPUTMC | 1 | 0 | 4 +INPUTMC | 1 | 0 | 5 EQ | 2 | iobm/Er2.D = iobm/Er; iobm/Er2.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 13 | iobm/VPArf +MACROCELL | 1 | 14 | iobm/VPArf ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB @@ -1343,7 +1273,7 @@ EQ | 2 | !iobm/VPArf.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 12 | iobm/VPArr +MACROCELL | 1 | 13 | iobm/VPArr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB @@ -1357,7 +1287,7 @@ MACROCELL | 0 | 16 | ALE0M ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr -INPUTMC | 4 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 16 +INPUTMC | 4 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 17 EQ | 4 | !ALE0M.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & @@ -1365,11 +1295,11 @@ EQ | 4 | ALE0M.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 11 | ALE0S +MACROCELL | 7 | 13 | ALE0S ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 INPUTS | 2 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 2 | 4 | 6 | 4 | 9 +INPUTMC | 2 | 7 | 6 | 7 | 12 EQ | 2 | ALE0S.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; ALE0S.CLK = FCLK; // GCK @@ -1377,9 +1307,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 15 | IOREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 1 | 16 +OUTPUTMC | 1 | 1 | 17 INPUTS | 10 | iobs/PS_FSM_FFd1 | nADoutLE1 | iobs/PS_FSM_FFd2 | iobs/IOACTr | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | EXP18_.EXP | nROMWE_OBUF.EXP -INPUTMC | 8 | 4 | 9 | 5 | 13 | 4 | 6 | 0 | 3 | 4 | 16 | 3 | 6 | 2 | 14 | 2 | 16 +INPUTMC | 8 | 7 | 12 | 5 | 13 | 7 | 6 | 0 | 3 | 7 | 16 | 0 | 10 | 2 | 14 | 2 | 16 INPUTP | 2 | 36 | 54 IMPORTS | 2 | 2 | 14 | 2 | 16 EQ | 30 | @@ -1415,19 +1345,19 @@ EQ | 30 | IOREQ.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 8 | cnt/Er<1> +MACROCELL | 3 | 3 | cnt/Er<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 23 | 3 | 17 | 7 | 17 | 7 | 3 | 7 | 9 | 7 | 2 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 3 | 9 | 3 | 14 | 6 | 0 | 7 | 16 | 7 | 6 | 7 | 8 +OUTPUTMC | 22 | 3 | 17 | 3 | 0 | 3 | 8 | 3 | 15 | 3 | 16 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 3 | 6 | 3 | 14 | 6 | 0 | 3 | 2 | 3 | 13 INPUTS | 1 | cnt/Er<0> -INPUTMC | 1 | 0 | 10 +INPUTMC | 1 | 0 | 12 EQ | 2 | cnt/Er<1>.D = cnt/Er<0>; cnt/Er<1>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 6 | fsb/ASrf +MACROCELL | 0 | 10 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 34 | 4 | 16 | 2 | 13 | 2 | 0 | 7 | 8 | 2 | 12 | 2 | 5 | 2 | 9 | 4 | 14 | 7 | 11 | 2 | 7 | 7 | 0 | 4 | 5 | 7 | 15 | 4 | 6 | 7 | 13 | 4 | 2 | 3 | 2 | 2 | 15 | 7 | 10 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 14 | 2 | 16 | 2 | 17 | 4 | 7 | 4 | 8 | 4 | 15 | 4 | 17 | 7 | 1 | 7 | 12 | 7 | 16 +OUTPUTMC | 36 | 7 | 16 | 2 | 13 | 2 | 0 | 4 | 7 | 2 | 12 | 2 | 5 | 2 | 9 | 7 | 3 | 7 | 11 | 2 | 7 | 4 | 17 | 4 | 6 | 7 | 10 | 7 | 8 | 7 | 6 | 4 | 2 | 7 | 2 | 4 | 12 | 2 | 15 | 4 | 3 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 14 | 2 | 16 | 2 | 17 | 4 | 0 | 4 | 1 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 15 | 7 | 17 INPUTS | 1 | nAS_FSB INPUTP | 1 | 54 EQ | 2 | @@ -1435,7 +1365,7 @@ EQ | 2 | !fsb/ASrf.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 8 | iobm/BERRrf +MACROCELL | 0 | 9 | iobm/BERRrf ATTRIBUTES | 8553216 | 0 OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 INPUTS | 1 | nBERR_IOB @@ -1445,7 +1375,7 @@ EQ | 2 | !iobm/BERRrf.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 7 | iobm/BERRrr +MACROCELL | 0 | 8 | iobm/BERRrr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 INPUTS | 1 | nBERR_IOB @@ -1459,7 +1389,7 @@ MACROCELL | 5 | 6 | iobm/DoutOE ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 3 | 4 INPUTS | 6 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/IOREQr | nAoutOE -INPUTMC | 6 | 2 | 0 | 5 | 2 | 5 | 9 | 0 | 15 | 1 | 16 | 3 | 1 +INPUTMC | 6 | 2 | 0 | 5 | 2 | 5 | 9 | 0 | 15 | 1 | 17 | 3 | 1 EQ | 5 | iobm/DoutOE.D = !IORW0 & iobm/IOS_FSM_FFd3 # !IORW0 & iobm/IOS_FSM_FFd2 @@ -1468,7 +1398,7 @@ EQ | 5 | iobm/DoutOE.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 15 | iobm/RESrf +MACROCELL | 1 | 16 | iobm/RESrf ATTRIBUTES | 8553216 | 0 OUTPUTMC | 3 | 5 | 9 | 5 | 14 | 5 | 16 INPUTS | 1 | nRES.PIN @@ -1478,7 +1408,7 @@ EQ | 2 | !iobm/RESrf.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 14 | iobm/RESrr +MACROCELL | 1 | 15 | iobm/RESrr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 3 | 5 | 9 | 5 | 14 | 5 | 16 INPUTS | 1 | nRES.PIN @@ -1492,7 +1422,7 @@ MACROCELL | 2 | 17 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 5 | 13 | 2 | 0 INPUTS | 12 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | iobs/IORW1 | A_FSB<23> | A_FSB<21> | A_FSB<20> | IORW0 | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf -INPUTMC | 7 | 4 | 6 | 4 | 9 | 5 | 13 | 2 | 10 | 2 | 0 | 4 | 16 | 3 | 6 +INPUTMC | 7 | 7 | 6 | 7 | 12 | 5 | 13 | 2 | 10 | 2 | 0 | 7 | 16 | 0 | 10 INPUTP | 5 | 36 | 29 | 28 | 47 | 54 EXPORTS | 1 | 2 | 0 EQ | 10 | @@ -1508,51 +1438,80 @@ EQ | 10 | !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 3 | nRESout +MACROCELL | 7 | 15 | nRESout ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 3 | 7 -INPUTS | 2 | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 -INPUTMC | 2 | 7 | 16 | 7 | 6 -EQ | 2 | - nRESout.D = cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2; +OUTPUTMC | 2 | 3 | 7 | 7 | 16 +INPUTS | 17 | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | nAS_FSB | A_FSB<13> | nBR_IOB_OBUF.EXP +INPUTMC | 6 | 7 | 16 | 7 | 6 | 7 | 12 | 0 | 10 | 2 | 13 | 7 | 14 +INPUTP | 11 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 | 54 | 18 +EXPORTS | 1 | 7 | 16 +IMPORTS | 1 | 7 | 14 +EQ | 16 | + nRESout.D = ;Imported pterms FB8_15 + cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2; nRESout.CLK = FCLK; // GCK + nRESout.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<20> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 10 | ram/RAMReady +MACROCELL | 4 | 3 | ram/RAMReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 7 | 8 | 2 | 4 | 2 | 8 -INPUTS | 14 | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RAMEN | nAS_FSB | fsb/ASrf | ram/RefReq | ram/BACTr | cnt/Timer<1>.EXP | nBERR_FSB_OBUF.EXP -INPUTMC | 11 | 2 | 13 | 0 | 12 | 4 | 7 | 7 | 15 | 0 | 0 | 7 | 13 | 3 | 6 | 0 | 2 | 3 | 2 | 7 | 9 | 7 | 11 +OUTPUTMC | 4 | 4 | 7 | 2 | 4 | 2 | 8 | 4 | 2 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RAMEN | nAS_FSB | fsb/ASrf | ram/RefReq | nCAS_OBUF.EXP +INPUTMC | 9 | 2 | 13 | 4 | 6 | 7 | 10 | 7 | 8 | 0 | 1 | 4 | 2 | 0 | 10 | 4 | 16 | 4 | 4 INPUTP | 3 | 36 | 30 | 54 -IMPORTS | 2 | 7 | 9 | 7 | 11 -EQ | 22 | - ram/RAMReady.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg - # !cs/nOverlay & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # !cs/nOverlay & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & +EXPORTS | 1 | 4 | 2 +IMPORTS | 1 | 4 | 4 +EQ | 23 | + ram/RAMReady.D = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & !ram/RefUrg + # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RefUrg & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RAMEN -;Imported pterms FB8_10 - # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & +;Imported pterms FB5_5 + # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq -;Imported pterms FB8_12 - # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr + # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq + # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr; ram/RAMReady.CLK = FCLK; // GCK + ram/RAMReady.EXP = nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 0 | ram/RegUrgSync +MACROCELL | 4 | 15 | ram/RefRAS ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 0 | 0 +OUTPUTMC | 1 | 7 | 4 +INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 +INPUTMC | 2 | 4 | 6 | 7 | 10 +EQ | 2 | + ram/RefRAS.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; + ram/RefRAS.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 0 | ram/RegUrgSync +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 0 | 1 INPUTS | 1 | RefUrg INPUTMC | 1 | 3 | 17 EQ | 2 | @@ -1563,7 +1522,7 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 5 | RA_0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<1> -INPUTMC | 1 | 7 | 0 +INPUTMC | 1 | 4 | 17 INPUTP | 2 | 13 | 149 EQ | 2 | RA<0> = A_FSB<10> & !ram/RASEL @@ -1572,7 +1531,7 @@ EQ | 2 | MACROCELL | 6 | 1 | RA_1_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<2> -INPUTMC | 1 | 7 | 0 +INPUTMC | 1 | 4 | 17 INPUTP | 2 | 15 | 153 EQ | 2 | RA<1> = A_FSB<11> & !ram/RASEL @@ -1580,20 +1539,17 @@ EQ | 2 | MACROCELL | 4 | 13 | RA_2_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 14 -INPUTS | 4 | A_FSB<12> | ram/RASEL | A_FSB<3> | A_FSB<7> -INPUTMC | 1 | 7 | 0 -INPUTP | 3 | 17 | 155 | 8 -EXPORTS | 1 | 4 | 14 -EQ | 3 | +INPUTS | 3 | A_FSB<12> | ram/RASEL | A_FSB<3> +INPUTMC | 1 | 4 | 17 +INPUTP | 2 | 17 | 155 +EQ | 2 | RA<2> = A_FSB<12> & !ram/RASEL # ram/RASEL & A_FSB<3>; - RA_2_OBUF.EXP = ram/RASEL & A_FSB<7> MACROCELL | 4 | 10 | RA_3_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> -INPUTMC | 1 | 7 | 0 +INPUTMC | 1 | 4 | 17 INPUTP | 2 | 18 | 157 EQ | 2 | RA<3> = A_FSB<13> & !ram/RASEL @@ -1601,33 +1557,17 @@ EQ | 2 | MACROCELL | 4 | 8 | RA_4_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 7 -INPUTS | 11 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RefUrg | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd3 | ram/RAMEN | iobs/PS_FSM_FFd1.EXP -INPUTMC | 8 | 0 | 12 | 4 | 7 | 0 | 0 | 3 | 6 | 2 | 13 | 7 | 15 | 7 | 13 | 4 | 9 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 4 | 7 -IMPORTS | 1 | 4 | 9 -EQ | 15 | - RA<4> = ;Imported pterms FB5_10 - A_FSB<14> & !ram/RASEL +INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<5> +INPUTMC | 1 | 4 | 17 +INPUTP | 2 | 19 | 4 +EQ | 2 | + RA<4> = A_FSB<14> & !ram/RASEL # ram/RASEL & A_FSB<5>; - RA_4_OBUF.EXP = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & ram/RefUrg & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RefUrg - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RefUrg & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN & - fsb/ASrf MACROCELL | 4 | 11 | RA_5_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<6> -INPUTMC | 1 | 7 | 0 +INPUTMC | 1 | 4 | 17 INPUTP | 2 | 21 | 6 EQ | 2 | RA<5> = A_FSB<15> & !ram/RASEL @@ -1635,28 +1575,17 @@ EQ | 2 | MACROCELL | 4 | 14 | RA_6_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 15 -INPUTS | 11 | A_FSB<16> | ram/RASEL | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 | RA_2_OBUF.EXP -INPUTMC | 9 | 7 | 0 | 4 | 15 | 3 | 6 | 4 | 16 | 4 | 6 | 0 | 3 | 5 | 17 | 5 | 13 | 4 | 13 -INPUTP | 2 | 22 | 54 -EXPORTS | 1 | 4 | 15 -IMPORTS | 1 | 4 | 13 -EQ | 10 | +INPUTS | 3 | A_FSB<16> | ram/RASEL | A_FSB<7> +INPUTMC | 1 | 4 | 17 +INPUTP | 2 | 22 | 8 +EQ | 2 | RA<6> = A_FSB<16> & !ram/RASEL -;Imported pterms FB5_14 # ram/RASEL & A_FSB<7>; - RA_6_OBUF.EXP = iobs/IOReady & nAS_FSB & !fsb/ASrf - # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & IOBERR & nADoutLE1 - # iobs/Once & !iobs/IOReady & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & nADoutLE1 - # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !IOBERR & fsb/ASrf & nADoutLE1 MACROCELL | 6 | 4 | RA_7_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 7 | 0 +INPUTMC | 1 | 4 | 17 INPUTP | 2 | 23 | 11 EQ | 2 | RA<7> = A_FSB<8> & ram/RASEL @@ -1665,7 +1594,7 @@ EQ | 2 | MACROCELL | 6 | 7 | RA_8_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 6 | A_FSB<18> | cs/nOverlay | A_FSB<22> | A_FSB<23> | ram/RASEL | A_FSB<9> -INPUTMC | 2 | 2 | 13 | 7 | 0 +INPUTMC | 2 | 2 | 13 | 4 | 17 INPUTP | 4 | 24 | 30 | 36 | 12 EQ | 6 | RA<8> = A_FSB<23> & A_FSB<18> @@ -1678,7 +1607,7 @@ EQ | 6 | MACROCELL | 6 | 10 | RA_9_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> -INPUTMC | 1 | 7 | 0 +INPUTMC | 1 | 4 | 17 INPUTP | 2 | 26 | 28 EQ | 2 | RA<9> = A_FSB<20> & ram/RASEL @@ -1693,33 +1622,20 @@ EQ | 1 | MACROCELL | 4 | 5 | nOE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 6 -INPUTS | 17 | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | nAS_FSB | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | A_FSB<13> | nCAS_OBUF.EXP -INPUTMC | 6 | 4 | 16 | 4 | 6 | 4 | 9 | 3 | 6 | 2 | 13 | 4 | 4 -INPUTP | 11 | 30 | 29 | 28 | 54 | 19 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 4 | 6 -IMPORTS | 1 | 4 | 4 -EQ | 14 | - !nOE = ;Imported pterms FB5_5 - nWE_FSB & !nAS_FSB; - nOE_OBUF.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<22> & A_FSB<20> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +OUTPUTMC | 1 | 4 | 4 +INPUTS | 3 | nWE_FSB | nAS_FSB | ram/RASEL +INPUTMC | 1 | 4 | 17 +INPUTP | 2 | 47 | 54 +EXPORTS | 1 | 4 | 4 +EQ | 2 | + !nOE = nWE_FSB & !nAS_FSB; + nOE_OBUF.EXP = !ram/RASEL MACROCELL | 2 | 16 | nROMWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 2 | 15 INPUTS | 14 | nWE_FSB | nAS_FSB | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<13> -INPUTMC | 4 | 4 | 16 | 2 | 13 | 4 | 9 | 3 | 6 +INPUTMC | 4 | 7 | 16 | 2 | 13 | 7 | 12 | 0 | 10 INPUTP | 10 | 47 | 54 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 18 EXPORTS | 1 | 2 | 15 EQ | 10 | @@ -1746,7 +1662,7 @@ MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 5 | 15 INPUTS | 7 | ALE0M | ALE0S | C8M | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/RESrf | iobm/RESrr -INPUTMC | 6 | 0 | 16 | 0 | 11 | 5 | 2 | 0 | 15 | 1 | 15 | 1 | 14 +INPUTMC | 6 | 0 | 16 | 7 | 13 | 5 | 2 | 0 | 15 | 1 | 16 | 1 | 15 INPUTP | 1 | 35 EXPORTS | 1 | 5 | 15 EQ | 3 | @@ -1756,22 +1672,33 @@ EQ | 3 | MACROCELL | 4 | 4 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 4 | 5 -INPUTS | 3 | ram/RASEL | nWE_FSB | nAS_FSB -INPUTMC | 1 | 7 | 0 -INPUTP | 2 | 47 | 54 -EXPORTS | 1 | 4 | 5 -EQ | 3 | - nCAS.D = !ram/RASEL; +OUTPUTMC | 1 | 4 | 3 +INPUTS | 10 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/BACTr | ram/RefReq | A_FSB<22> | cs/nOverlay | nOE_OBUF.EXP +INPUTMC | 8 | 4 | 6 | 7 | 10 | 7 | 8 | 0 | 1 | 4 | 12 | 4 | 16 | 2 | 13 | 4 | 5 +INPUTP | 2 | 36 | 30 +EXPORTS | 1 | 4 | 3 +IMPORTS | 1 | 4 | 5 +EQ | 13 | + nCAS.D = ;Imported pterms FB5_6 + !ram/RASEL; !nCAS.CLK = FCLK; // GCK - nCAS_OBUF.EXP = nWE_FSB & !nAS_FSB + nCAS_OBUF.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr + # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq + # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr + # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq + # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 16 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 OUTPUTMC | 1 | 5 | 17 INPUTS | 12 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | C8M | nBERR_IOB | iobm/IOS_FSM_FFd3 | IOBERR | iobm/RESrf | iobm/RESrr | iobm/DTACKrf | iobm/DTACKrr | iobm/BERRrf | iobm/BERRrr -INPUTMC | 10 | 0 | 15 | 5 | 9 | 5 | 2 | 5 | 17 | 1 | 15 | 1 | 14 | 0 | 6 | 0 | 5 | 0 | 8 | 0 | 7 +INPUTMC | 10 | 0 | 15 | 5 | 9 | 5 | 2 | 5 | 17 | 1 | 16 | 1 | 15 | 0 | 7 | 0 | 6 | 0 | 9 | 0 | 8 INPUTP | 2 | 35 | 123 EXPORTS | 1 | 5 | 17 EQ | 14 | @@ -1791,44 +1718,48 @@ EQ | 14 | iobm/RESrr GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 5 | nDinOE_OBUF +MACROCELL | 7 | 4 | nRAS_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 6 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> -INPUTP | 6 | 36 | 47 | 54 | 30 | 29 | 28 -EQ | 3 | - nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<22> & A_FSB<21> & nWE_FSB & !nAS_FSB - # A_FSB<22> & A_FSB<20> & nWE_FSB & !nAS_FSB; +OUTPUTMC | 1 | 7 | 3 +INPUTS | 13 | ram/RefRAS | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RAMEN | iobs/Once | iobs/IOReady | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 | fsb/ASrf +INPUTMC | 10 | 4 | 15 | 2 | 13 | 4 | 2 | 7 | 16 | 7 | 3 | 7 | 6 | 0 | 3 | 5 | 17 | 5 | 13 | 0 | 10 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 7 | 3 +EQ | 9 | + !nRAS = ram/RefRAS + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + ram/RAMEN; + nRAS_OBUF.EXP = iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !IOBERR & fsb/ASrf & nADoutLE1 MACROCELL | 3 | 7 | N0 ATTRIBUTES | 265986 | 0 INPUTS | 1 | nRESout -INPUTMC | 1 | 3 | 3 +INPUTMC | 1 | 7 | 15 EQ | 2 | nRES = Gnd; nRES.OE = !nRESout; MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 0 -INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RefReq | A_FSB<22> | nAS_FSB | fsb/ASrf | cs/nOverlay | ram/RAMEN | cnt/Timer<2>.EXP -INPUTMC | 8 | 4 | 7 | 7 | 15 | 0 | 0 | 0 | 2 | 3 | 6 | 2 | 13 | 7 | 13 | 7 | 2 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 7 | 0 -IMPORTS | 1 | 7 | 2 -EQ | 12 | - RA<11> = ;Imported pterms FB8_3 +OUTPUTMC | 1 | 7 | 2 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<19> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP20_.EXP +INPUTMC | 1 | 7 | 0 +INPUTP | 7 | 36 | 30 | 29 | 26 | 23 | 22 | 47 +EXPORTS | 1 | 7 | 2 +IMPORTS | 1 | 7 | 0 +EQ | 7 | + RA<11> = ;Imported pterms FB8_1 A_FSB<19>; - A_FSB_19_IBUF$BUF0.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf + A_FSB_19_IBUF$BUF0.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> + # !A_FSB<23> & !A_FSB<22> & nWE_FSB MACROCELL | 6 | 8 | A_FSB_21_IBUF$BUF0 ATTRIBUTES | 264962 | 0 @@ -1839,9 +1770,9 @@ EQ | 1 | MACROCELL | 5 | 13 | nADoutLE1_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 19 | 2 | 13 | 2 | 0 | 3 | 16 | 3 | 15 | 2 | 12 | 2 | 4 | 2 | 10 | 4 | 14 | 7 | 11 | 2 | 8 | 4 | 6 | 4 | 2 | 2 | 15 | 2 | 17 | 5 | 13 | 2 | 1 | 2 | 2 | 2 | 6 | 4 | 17 +OUTPUTMC | 19 | 7 | 4 | 2 | 0 | 7 | 9 | 0 | 17 | 2 | 12 | 2 | 4 | 2 | 10 | 2 | 13 | 7 | 11 | 2 | 8 | 7 | 6 | 7 | 2 | 2 | 15 | 2 | 17 | 5 | 13 | 2 | 1 | 2 | 2 | 2 | 6 | 7 | 17 INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 2 | 17 | 5 | 13 | 4 | 2 +INPUTMC | 3 | 2 | 17 | 5 | 13 | 7 | 2 EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; @@ -1852,7 +1783,7 @@ MACROCELL | 3 | 1 | nAoutOE_OBUF ATTRIBUTES | 8815366 | 0 OUTPUTMC | 8 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 6 | 3 | 4 | 3 | 1 INPUTS | 4 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | nAoutOE -INPUTMC | 4 | 7 | 14 | 7 | 16 | 7 | 6 | 3 | 1 +INPUTMC | 4 | 7 | 14 | 3 | 2 | 3 | 13 | 3 | 1 EQ | 5 | !nAoutOE.D = !nBR_IOB & cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 @@ -1861,38 +1792,67 @@ EQ | 5 | nAoutOE.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK +MACROCELL | 3 | 5 | nDinOE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 6 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> +INPUTP | 6 | 36 | 47 | 54 | 30 | 29 | 28 +EQ | 3 | + !nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB + # A_FSB<22> & A_FSB<21> & nWE_FSB & !nAS_FSB + # A_FSB<22> & A_FSB<20> & nWE_FSB & !nAS_FSB; + MACROCELL | 7 | 5 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 4 | nLDS_FSB | nWE_FSB | nAS_FSB | ram/RAMEN -INPUTMC | 1 | 7 | 13 -INPUTP | 3 | 49 | 47 | 54 -EQ | 1 | +OUTPUTMC | 1 | 7 | 6 +INPUTS | 17 | nLDS_FSB | nWE_FSB | nAS_FSB | ram/RAMEN | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay +INPUTMC | 6 | 4 | 2 | 7 | 16 | 7 | 6 | 7 | 12 | 0 | 10 | 2 | 13 +INPUTP | 11 | 49 | 47 | 54 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 +EXPORTS | 1 | 7 | 6 +EQ | 10 | !nRAMLWE = !nLDS_FSB & !nWE_FSB & !nAS_FSB & ram/RAMEN; + nRAMLWE_OBUF.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<22> & A_FSB<20> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 MACROCELL | 7 | 7 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 4 | nWE_FSB | nUDS_FSB | nAS_FSB | ram/RAMEN -INPUTMC | 1 | 7 | 13 -INPUTP | 3 | 47 | 56 | 54 -EQ | 1 | +OUTPUTMC | 1 | 7 | 6 +INPUTS | 17 | nWE_FSB | nUDS_FSB | nAS_FSB | ram/RAMEN | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<13> +INPUTMC | 6 | 4 | 2 | 7 | 16 | 2 | 13 | 7 | 6 | 7 | 12 | 0 | 10 +INPUTP | 11 | 47 | 56 | 54 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 18 +EXPORTS | 1 | 7 | 6 +EQ | 10 | !nRAMUWE = !nWE_FSB & !nUDS_FSB & !nAS_FSB & ram/RAMEN; + nRAMUWE_OBUF.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf MACROCELL | 4 | 1 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 2 -INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<16> | nWE_FSB | EXP19_.EXP -INPUTMC | 1 | 4 | 0 -INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 22 | 47 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RAMEN | ram/RS_FSM_FFd1 | nAS_FSB | ram/RefUrg | fsb/ASrf +INPUTMC | 7 | 2 | 13 | 4 | 6 | 7 | 8 | 4 | 2 | 7 | 10 | 0 | 1 | 0 | 10 +INPUTP | 5 | 36 | 30 | 29 | 28 | 54 EXPORTS | 1 | 4 | 2 -IMPORTS | 1 | 4 | 0 -EQ | 7 | +EQ | 6 | !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> -;Imported pterms FB5_1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay; - nROMCS_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> - # !A_FSB<23> & !A_FSB<22> & nWE_FSB + nROMCS_OBUF.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & ram/RAMEN + # nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf MACROCELL | 6 | 11 | C25MEN_OBUF ATTRIBUTES | 264962 | 0 @@ -1906,17 +1866,11 @@ INPUTS | 0 EQ | 1 | C20MEN = Vcc; -MACROCELL | 7 | 4 | nRAS_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 0 -EQ | 1 | - nRAS = Vcc; - MACROCELL | 2 | 1 | EXP10_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 0 INPUTS | 17 | A_FSB<22> | A_FSB<21> | iobs/Once | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | EXP11_.EXP -INPUTMC | 7 | 4 | 16 | 4 | 6 | 4 | 9 | 5 | 13 | 3 | 6 | 2 | 13 | 2 | 2 +INPUTMC | 7 | 7 | 16 | 7 | 6 | 7 | 12 | 5 | 13 | 0 | 10 | 2 | 13 | 2 | 2 INPUTP | 10 | 30 | 29 | 47 | 54 | 28 | 19 | 26 | 24 | 23 | 22 EXPORTS | 1 | 2 | 0 IMPORTS | 1 | 2 | 2 @@ -1951,7 +1905,7 @@ MACROCELL | 2 | 2 | EXP11_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 1 INPUTS | 16 | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> | nAS_FSB -INPUTMC | 6 | 4 | 16 | 2 | 13 | 4 | 6 | 4 | 9 | 3 | 6 | 5 | 13 +INPUTMC | 6 | 7 | 16 | 2 | 13 | 7 | 6 | 7 | 12 | 0 | 10 | 5 | 13 INPUTP | 10 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 54 EXPORTS | 1 | 2 | 1 EQ | 12 | @@ -1972,7 +1926,7 @@ MACROCELL | 2 | 3 | EXP12_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 4 INPUTS | 18 | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready1r | fsb/ASrf -INPUTMC | 2 | 2 | 12 | 3 | 6 +INPUTMC | 2 | 2 | 12 | 0 | 10 INPUTP | 16 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 EXPORTS | 1 | 2 | 4 EQ | 4 | @@ -1985,7 +1939,7 @@ MACROCELL | 2 | 4 | EXP13_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 5 INPUTS | 21 | A_FSB<22> | A_FSB<20> | fsb/Ready1r | fsb/VPA | iobs/IOReady | fsb/ASrf | A_FSB<23> | cs/nOverlay | fsb/Ready0r | nAS_FSB | ram/RAMReady | A_FSB<14> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | nADoutLE1 | A_FSB<13> | EXP12_.EXP -INPUTMC | 9 | 2 | 12 | 2 | 5 | 4 | 15 | 3 | 6 | 2 | 13 | 7 | 8 | 7 | 10 | 5 | 13 | 2 | 3 +INPUTMC | 9 | 2 | 12 | 2 | 5 | 7 | 3 | 0 | 10 | 2 | 13 | 4 | 7 | 4 | 3 | 5 | 13 | 2 | 3 INPUTP | 12 | 30 | 28 | 36 | 54 | 19 | 29 | 26 | 24 | 23 | 22 | 47 | 18 EXPORTS | 1 | 2 | 5 IMPORTS | 1 | 2 | 3 @@ -2014,7 +1968,7 @@ MACROCELL | 2 | 6 | EXP14_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 5 INPUTS | 24 | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | fsb/Ready1r | fsb/VPA | nWE_FSB | iobs/IOReady | fsb/ASrf | nADoutLE1 | A_FSB<13> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | nAS_FSB -INPUTMC | 6 | 2 | 13 | 2 | 12 | 2 | 5 | 4 | 15 | 3 | 6 | 5 | 13 +INPUTMC | 6 | 2 | 13 | 2 | 12 | 2 | 5 | 7 | 3 | 0 | 10 | 5 | 13 INPUTP | 18 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 12 | 11 | 21 | 17 | 15 | 13 | 36 | 30 | 54 EXPORTS | 1 | 2 | 5 EQ | 20 | @@ -2043,7 +1997,7 @@ MACROCELL | 2 | 7 | EXP15_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 8 INPUTS | 21 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<22> | A_FSB<20> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> -INPUTMC | 4 | 3 | 6 | 2 | 12 | 4 | 15 | 2 | 8 +INPUTMC | 4 | 0 | 10 | 2 | 12 | 7 | 3 | 2 | 8 INPUTP | 17 | 54 | 36 | 30 | 28 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 29 | 26 | 24 | 23 | 22 EXPORTS | 1 | 2 | 8 EQ | 13 | @@ -2065,7 +2019,7 @@ MACROCELL | 2 | 9 | EXP16_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 10 INPUTS | 9 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<19> | cs/nOverlay | nWE_FSB | nDTACK_FSB_OBUF.EXP -INPUTMC | 3 | 3 | 6 | 2 | 13 | 2 | 8 +INPUTMC | 3 | 0 | 10 | 2 | 13 | 2 | 8 INPUTP | 6 | 54 | 36 | 30 | 29 | 26 | 47 EXPORTS | 1 | 2 | 10 IMPORTS | 1 | 2 | 8 @@ -2095,7 +2049,7 @@ MACROCELL | 2 | 14 | EXP18_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 15 INPUTS | 14 | A_FSB<22> | A_FSB<21> | iobs/Once | nAS_FSB | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB -INPUTMC | 4 | 4 | 16 | 4 | 9 | 3 | 6 | 2 | 13 +INPUTMC | 4 | 7 | 16 | 7 | 12 | 0 | 10 | 2 | 13 INPUTP | 10 | 30 | 29 | 54 | 28 | 19 | 26 | 24 | 23 | 22 | 47 EXPORTS | 1 | 2 | 15 EQ | 11 | @@ -2113,34 +2067,39 @@ EQ | 11 | MACROCELL | 4 | 0 | EXP19_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 1 -INPUTS | 4 | A_FSB<23> | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 1 | 2 | 13 -INPUTP | 3 | 36 | 29 | 28 -EXPORTS | 1 | 4 | 1 +OUTPUTMC | 1 | 4 | 17 +INPUTS | 10 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RefReq | A_FSB<22> | nAS_FSB | fsb/ASrf | cs/nOverlay | ram/RAMEN +INPUTMC | 7 | 4 | 6 | 7 | 8 | 0 | 1 | 4 | 16 | 0 | 10 | 2 | 13 | 4 | 2 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 4 | 17 +EQ | 10 | + EXP19_.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !ram/RefReq + # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !ram/RefReq + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf + +MACROCELL | 7 | 0 | EXP20_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 1 +INPUTS | 1 | A_FSB<19> +INPUTP | 1 | 26 +EXPORTS | 1 | 7 | 1 EQ | 1 | - EXP19_.EXP = !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay + EXP20_.EXP = A_FSB<19> -MACROCELL | 4 | 3 | EXP20_ +MACROCELL | 7 | 17 | EXP21_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 2 -INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<20> | A_FSB<18> | A_FSB<17> | A_FSB<21> | A_FSB<14> | A_FSB<13> -INPUTP | 8 | 36 | 30 | 28 | 24 | 23 | 29 | 19 | 18 -EXPORTS | 1 | 4 | 2 -EQ | 5 | - EXP20_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> - -MACROCELL | 4 | 17 | EXP21_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 16 +OUTPUTMC | 1 | 7 | 16 INPUTS | 18 | A_FSB<13> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<14> | A_FSB<23> | A_FSB<22> | nAS_FSB | nADoutLE1 -INPUTMC | 6 | 4 | 16 | 2 | 13 | 4 | 6 | 4 | 9 | 3 | 6 | 5 | 13 +INPUTMC | 6 | 7 | 16 | 2 | 13 | 7 | 6 | 7 | 12 | 0 | 10 | 5 | 13 INPUTP | 12 | 18 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 36 | 30 | 54 -EXPORTS | 1 | 4 | 16 +EXPORTS | 1 | 7 | 16 EQ | 15 | EXP21_.EXP = A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & @@ -2158,57 +2117,41 @@ EQ | 15 | A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & !nWE_FSB & fsb/ASrf & nADoutLE1 -MACROCELL | 7 | 12 | EXP22_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 13 -INPUTS | 7 | ram/RS_FSM_FFd3 | ram/RAMEN | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | nAS_FSB | ram/RefUrg | fsb/ASrf -INPUTMC | 6 | 7 | 15 | 7 | 13 | 0 | 12 | 4 | 7 | 0 | 0 | 3 | 6 -INPUTP | 1 | 54 -EXPORTS | 1 | 7 | 13 -EQ | 7 | - EXP22_.EXP = ram/RS_FSM_FFd3 & ram/RAMEN - # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RAMEN - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & ram/RAMEN - # nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf - PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 4 | 2 | 3 | 2 | 7 | 6 | 7 | 2 | 6 PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 4 | 2 | 3 | 2 | 7 | 6 | 4 | 2 | 6 PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 4 | 2 | 3 | 2 | 7 | 4 | 11 | 2 | 6 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 16 | 4 | 15 | 4 | 7 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 4 | 5 | 2 | 16 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 4 | 3 | 4 | 9 | 4 | 17 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 14 | 4 | 15 | 4 | 10 | 2 | 6 | 2 | 4 | 2 | 7 | 2 | 8 | 4 | 5 | 2 | 16 | 2 | 13 | 2 | 2 | 2 | 3 | 4 | 3 | 4 | 7 | 4 | 17 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 16 | 7 | 15 | 4 | 8 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 7 | 5 | 2 | 16 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 7 | 3 | 7 | 7 | 7 | 17 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 13 | 7 | 15 | 4 | 10 | 2 | 6 | 2 | 4 | 2 | 7 | 2 | 8 | 7 | 3 | 2 | 16 | 2 | 13 | 2 | 2 | 2 | 3 | 7 | 7 | 7 | 17 PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 4 | 2 | 3 | 2 | 7 | 4 | 13 | 2 | 6 PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 4 | 2 | 3 | 2 | 7 | 6 | 1 | 2 | 6 PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 4 | 2 | 3 | 2 | 7 | 6 | 5 | 2 | 6 -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 32 | 4 | 16 | 2 | 13 | 2 | 0 | 7 | 8 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 8 | 4 | 17 | 4 | 3 | 7 | 14 | 4 | 6 | 7 | 13 | 4 | 2 | 2 | 15 | 7 | 10 | 6 | 7 | 3 | 5 | 4 | 1 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 11 | 2 | 17 | 4 | 0 | 4 | 8 | 7 | 1 | 7 | 9 | 7 | 11 | 7 | 16 | 7 | 17 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 32 | 4 | 16 | 2 | 13 | 2 | 0 | 7 | 8 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 8 | 4 | 17 | 4 | 5 | 7 | 14 | 4 | 6 | 7 | 13 | 4 | 2 | 2 | 14 | 7 | 10 | 6 | 7 | 3 | 5 | 4 | 1 | 2 | 1 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 11 | 4 | 3 | 4 | 8 | 4 | 15 | 7 | 1 | 7 | 9 | 7 | 16 | 7 | 17 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 26 | 4 | 16 | 2 | 13 | 4 | 1 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 8 | 4 | 6 | 4 | 0 | 2 | 14 | 3 | 5 | 6 | 8 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 11 | 2 | 16 | 2 | 17 | 4 | 3 | 4 | 5 | 4 | 7 | 4 | 15 | 4 | 17 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 24 | 4 | 16 | 2 | 13 | 4 | 1 | 2 | 12 | 2 | 5 | 2 | 7 | 2 | 8 | 4 | 5 | 4 | 0 | 2 | 14 | 6 | 10 | 3 | 5 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 16 | 2 | 17 | 4 | 3 | 4 | 7 | 4 | 15 | 4 | 17 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 19 | 4 | 15 | 4 | 17 | 2 | 12 | 2 | 4 | 2 | 9 | 2 | 8 | 4 | 5 | 4 | 1 | 2 | 14 | 6 | 10 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 7 | 2 | 13 | 2 | 16 | 4 | 7 | 7 | 2 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 18 | 4 | 15 | 6 | 7 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 4 | 5 | 2 | 16 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 11 | 2 | 13 | 4 | 3 | 4 | 7 | 4 | 17 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 18 | 4 | 15 | 6 | 4 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 4 | 5 | 2 | 16 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 11 | 2 | 13 | 4 | 3 | 4 | 7 | 4 | 17 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 18 | 4 | 15 | 4 | 14 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 4 | 5 | 4 | 1 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 16 | 4 | 7 | 4 | 17 -PIN | C16M | 4096 | 0 | N/A | 33 | 28 | 5 | 1 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 5 | 17 | 0 | 5 | 5 | 0 | 1 | 17 | 1 | 12 | 0 | 16 | 0 | 7 | 5 | 6 | 1 | 14 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 16 | 0 | 6 | 1 | 13 | 0 | 8 | 1 | 15 | 5 | 16 -PIN | FCLK | 16384 | 0 | N/A | 42 | 64 | 4 | 16 | 3 | 17 | 7 | 17 | 7 | 3 | 7 | 9 | 7 | 2 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 2 | 13 | 2 | 0 | 6 | 15 | 3 | 9 | 0 | 17 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 0 | 7 | 8 | 2 | 12 | 2 | 5 | 0 | 14 | 2 | 10 | 4 | 15 | 0 | 13 | 7 | 11 | 2 | 8 | 7 | 14 | 7 | 0 | 0 | 12 | 4 | 7 | 7 | 15 | 4 | 6 | 0 | 0 | 4 | 9 | 7 | 13 | 7 | 16 | 7 | 6 | 0 | 3 | 4 | 2 | 3 | 2 | 0 | 2 | 0 | 1 | 0 | 10 | 0 | 9 | 0 | 11 | 2 | 15 | 3 | 8 | 2 | 17 | 3 | 3 | 7 | 10 | 3 | 0 | 5 | 13 | 3 | 1 | 3 | 6 | 4 | 4 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 39 | 4 | 16 | 2 | 13 | 2 | 0 | 7 | 8 | 2 | 12 | 2 | 5 | 2 | 9 | 4 | 14 | 7 | 11 | 2 | 7 | 7 | 0 | 4 | 7 | 7 | 15 | 4 | 6 | 7 | 13 | 4 | 2 | 3 | 2 | 2 | 15 | 3 | 6 | 7 | 10 | 4 | 4 | 2 | 16 | 3 | 10 | 3 | 5 | 7 | 5 | 7 | 7 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 14 | 2 | 17 | 4 | 5 | 4 | 8 | 4 | 15 | 4 | 17 | 7 | 1 | 7 | 12 | 7 | 16 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 21 | 4 | 15 | 7 | 7 | 2 | 12 | 2 | 4 | 2 | 10 | 2 | 8 | 4 | 5 | 4 | 1 | 2 | 14 | 4 | 4 | 2 | 16 | 3 | 5 | 7 | 5 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 9 | 2 | 13 | 2 | 17 | 4 | 7 | 4 | 17 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 3 | 16 | 0 | 14 | 7 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 3 | 15 | 0 | 13 | 7 | 7 -PIN | C8M | 8256 | 0 | N/A | 35 | 7 | 5 | 2 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 14 | 5 | 16 | 0 | 4 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 4 | 5 | 17 | 0 | 8 | 0 | 7 | 5 | 16 -PIN | E | 64 | 0 | N/A | 37 | 2 | 0 | 4 | 0 | 10 -PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 9 -PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 0 | 6 | 0 | 5 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 2 | 1 | 13 | 1 | 12 +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 32 | 7 | 16 | 2 | 13 | 2 | 0 | 4 | 7 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 8 | 4 | 16 | 4 | 6 | 7 | 10 | 7 | 8 | 7 | 6 | 4 | 2 | 7 | 2 | 2 | 15 | 4 | 3 | 6 | 7 | 7 | 4 | 3 | 5 | 4 | 1 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 11 | 2 | 17 | 4 | 0 | 4 | 4 | 7 | 1 | 7 | 3 | 7 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 34 | 7 | 16 | 2 | 13 | 2 | 0 | 4 | 7 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 8 | 4 | 16 | 4 | 6 | 7 | 10 | 7 | 8 | 7 | 6 | 4 | 2 | 7 | 2 | 2 | 14 | 4 | 3 | 6 | 7 | 7 | 4 | 3 | 5 | 4 | 1 | 2 | 1 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 11 | 4 | 0 | 4 | 4 | 7 | 1 | 7 | 3 | 7 | 5 | 7 | 15 | 7 | 17 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 26 | 7 | 16 | 2 | 13 | 4 | 1 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 8 | 7 | 6 | 7 | 1 | 2 | 14 | 6 | 8 | 3 | 5 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 11 | 2 | 16 | 2 | 17 | 7 | 3 | 7 | 5 | 7 | 7 | 7 | 15 | 7 | 17 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 23 | 7 | 16 | 2 | 13 | 4 | 1 | 2 | 12 | 2 | 5 | 2 | 7 | 2 | 8 | 7 | 5 | 2 | 17 | 2 | 14 | 6 | 10 | 3 | 5 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 16 | 7 | 3 | 7 | 7 | 7 | 15 | 7 | 17 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 19 | 7 | 15 | 7 | 0 | 2 | 12 | 2 | 4 | 2 | 9 | 2 | 8 | 7 | 5 | 7 | 1 | 2 | 14 | 6 | 10 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 7 | 2 | 13 | 2 | 16 | 7 | 7 | 7 | 17 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 18 | 7 | 15 | 6 | 7 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 7 | 5 | 2 | 16 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 11 | 2 | 13 | 7 | 3 | 7 | 7 | 7 | 17 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 18 | 7 | 15 | 6 | 4 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 7 | 5 | 7 | 1 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 16 | 7 | 7 | 7 | 17 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 18 | 7 | 15 | 4 | 14 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 7 | 5 | 7 | 1 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 16 | 7 | 7 | 7 | 17 +PIN | C16M | 4096 | 0 | N/A | 33 | 28 | 5 | 1 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 5 | 17 | 0 | 6 | 5 | 0 | 0 | 4 | 1 | 13 | 0 | 16 | 0 | 8 | 5 | 6 | 1 | 15 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 17 | 0 | 7 | 1 | 14 | 0 | 9 | 1 | 16 | 5 | 16 +PIN | FCLK | 16384 | 0 | N/A | 42 | 65 | 7 | 16 | 3 | 17 | 3 | 0 | 3 | 8 | 3 | 15 | 3 | 16 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 2 | 13 | 2 | 0 | 6 | 15 | 3 | 6 | 4 | 9 | 7 | 9 | 0 | 17 | 3 | 14 | 6 | 0 | 4 | 7 | 2 | 12 | 2 | 5 | 0 | 14 | 2 | 10 | 7 | 3 | 0 | 13 | 7 | 11 | 2 | 8 | 7 | 14 | 4 | 17 | 4 | 6 | 7 | 10 | 7 | 8 | 0 | 1 | 7 | 6 | 4 | 2 | 7 | 12 | 3 | 2 | 3 | 13 | 0 | 3 | 7 | 2 | 4 | 12 | 4 | 16 | 0 | 2 | 0 | 12 | 0 | 11 | 7 | 13 | 2 | 15 | 3 | 3 | 2 | 17 | 7 | 15 | 4 | 3 | 4 | 15 | 0 | 0 | 5 | 13 | 3 | 1 | 0 | 10 | 4 | 4 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 39 | 7 | 16 | 2 | 13 | 2 | 0 | 4 | 7 | 2 | 12 | 2 | 5 | 2 | 9 | 7 | 3 | 7 | 11 | 2 | 7 | 4 | 17 | 4 | 6 | 7 | 10 | 7 | 8 | 7 | 6 | 4 | 2 | 7 | 2 | 4 | 12 | 2 | 15 | 0 | 10 | 4 | 3 | 4 | 5 | 2 | 16 | 3 | 10 | 7 | 4 | 3 | 5 | 7 | 5 | 7 | 7 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 14 | 2 | 17 | 4 | 0 | 4 | 1 | 7 | 9 | 7 | 15 | 7 | 17 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 19 | 7 | 15 | 7 | 7 | 2 | 12 | 2 | 4 | 2 | 10 | 2 | 8 | 2 | 17 | 7 | 1 | 2 | 14 | 4 | 5 | 2 | 16 | 3 | 5 | 7 | 5 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 9 | 2 | 13 | 7 | 17 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 7 | 9 | 0 | 14 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 17 | 0 | 13 | 7 | 7 +PIN | C8M | 8256 | 0 | N/A | 35 | 7 | 5 | 2 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 14 | 5 | 16 | 0 | 5 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 4 | 5 | 17 | 0 | 9 | 0 | 8 | 5 | 16 +PIN | E | 64 | 0 | N/A | 37 | 2 | 0 | 5 | 0 | 12 +PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 11 +PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 0 | 7 | 0 | 6 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 2 | 1 | 14 | 1 | 13 PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 13 PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 10 -PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 9 +PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 8 PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 4 | 11 -PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 1 | 4 | 13 +PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 1 | 4 | 14 PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 PIN | nAS_IOB | 536871040 | 0 | N/A | 135 PIN | nLDS_IOB | 536871040 | 0 | N/A | 130 @@ -2233,15 +2176,15 @@ PIN | nVPA_FSB | 536871040 | 0 | N/A | 147 PIN | nADoutLE0 | 536871040 | 0 | N/A | 139 PIN | nCAS | 536871040 | 0 | N/A | 59 PIN | nDinLE | 536871040 | 0 | N/A | 140 -PIN | nDinOE | 536871040 | 0 | N/A | 144 +PIN | nRAS | 536871040 | 0 | N/A | 103 PIN | RA<11> | 536871040 | 0 | N/A | 102 PIN | RA<10> | 536871040 | 0 | N/A | 90 PIN | nADoutLE1 | 536871040 | 0 | N/A | 136 PIN | nAoutOE | 536871040 | 0 | N/A | 141 +PIN | nDinOE | 536871040 | 0 | N/A | 144 PIN | nRAMLWE | 536871040 | 0 | N/A | 104 PIN | nRAMUWE | 536871040 | 0 | N/A | 105 PIN | nROMCS | 536871040 | 0 | N/A | 58 PIN | C25MEN | 536871040 | 0 | N/A | 95 PIN | C20MEN | 536871040 | 0 | N/A | 96 -PIN | nRAS | 536871040 | 0 | N/A | 103 -PIN | nRES | 536870976 | 0 | N/A | 145 | 3 | 2 | 13 | 1 | 15 | 1 | 14 +PIN | nRES | 536870976 | 0 | N/A | 145 | 3 | 2 | 13 | 1 | 16 | 1 | 15 diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index 51dacc4..be98f8d 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$4d:0=#Zl|/;6&?:183-c=/83:1<$.'0;294,773!;;<=4>01295567!890$0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(f8,1672=:;69>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5<5=2:036>45:288=95=3;KMTPR=IVLXN1<<:0<1?7253;?97?9=;3:1?7?63:80?=<43308764<;=80?8<43708727<<;1?8?5:539627=20;1>5<592:406>03:2<>>6891:51?2653>897::=;641?2153>297:7>;908<54<08804?<48408<34<0>8044?4939:47=>9;12>?56339:07=>=;12:?56749BH@6J?2KGI=C!D99BH@6J&M;37LBJ0L,G6a=FDL:F"IGKM9G%H?Q_T^34?DJB8D$Z56OCE1O-V@A23HFN<^94AMG3W+B?3HFN<^ K199BH@6T&M8<7LBJ0R,R=>GKM9Y%^HI;;@NF56=FFM30MC@@T@VF@<=FWOYI0<>1d:C\BVD;994%\LJ6;@]EWG:697n0MRH\B=32:+VFL01JSK]M<00=`>GXNZH7=?0!P@F:?DYA[K6:?3j4A^DPF9746'ZJH45N_GQA8429l2KTJ^L315<-TDB>3HUM_O2>5?f8EZ@TJ5;>2#^ND89B[CUE48<5h6OPFR@?538)XHN27LQISC>23;b/RB@<=FWOYI0<61d:C\BVD;914%\LJ6;@]EWG:617n0MRH\B=3::+VFL11JSK]M<0<`?DYA[K6:2#^ND89B[CUE4;:5h6OPFR@?658)XHN27LQISC>15;b>/RB@<=FWOYI0?<1d:C\BVD;:;4%\LJm;@]EWG:5;3;556OPFR@?668c3HUM_O2=3?,SEA>7$[MI64A^DPF919k2KTJ^L37?,SEA>GXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_M=?5N_GQA[4?XAK_M(O[I0068EZ@TJV;2SDLZF%@VB5(C9<1JSK]M_0;\MGSA,K_M<#J>149B[CUEW83TEO[I$CWE4+B59?1JSK]M_0;\MGSA,K_M<#KWP0`8EZ@TJV;2SDLZF%@VB5(BPYU[XR>>b:C\BVDX90UBNXH+BTD3*@^WWY^T=W@H^J)LZF1,F\UYW\V8:n6OPFR@\50MRH\B^02[LDRN-H^J= K149B[CUEW;;TEO[I$CWE4+B69<1JSK]M_33\MGSA,K_M<#J=159B[CUEW;;TEO[I$CWE4+Wc3HUM_OQ=2^KAQCb5MUG:8FPUXAGLD86M>6L:8G40J&YKOi6M>6L]JFP@(NJF@j6M>6L]JFP@(NJF@!;5L21OFJd=D:9GNB#^ND79@60KBFh1H>8CJN/RB@468CJN^MAQC"E]O:%H<=4C37NAKYHJ\L/NXH?.E327>E5=DOESBLZF%@VB5(C:880O?;BEO]LFP@#J\L;"\j4C37NAKYHJ\L/]i5L24OFJZIE]O$Oi6M=5LGM[JDRN'N:i6M=5LGM[JDRN'N9h6M=5LGM[JDRN'[87N6B7:A;I+VFL11H4@QFBTDf?F>JW@H^J"HLLJ/1?FC43JF@56M@MLKWP@B03JXNMYKK3:AWH<=Ddfi`_}kl8:FbpdUwmj?0Hhbny09F1>C)XHNj7HV_11]-A]Va3LR[==Q!EYR\TQY7n2OS\<>P.DZS[URX9o1NT]??_/G[TZVSW;l0IU^>0^,F\UYW\V9m7HV_11]-A]VXX]U?j6KWP02\*@^WWY^T9_/G[TZVSW;;i7HV_10]-A]VX|zcf~h`nmdf\j`rXi2OS\<i;DZS56Y)MQZT\YQ>f:G[T45X&LR[S]ZP2g9F\U74W'OS\R^[_2d8A]V6;V$NT]Q_T^6e?@^W9:U%IU^PPU]65g=BPY;8S#KWP^vpmhtbfhgnhR`jt^c8A]V6i;DZS51Y)MQZT\YQ>f:G[T42X&LR[S]ZP2g9F\U73W'OS\R^[_2d8A]V6C_X8>T"HV__uqjiwciidooSck{_`9F\U72W'OS\k5JXQ36[+C_XVZ_S=h4EYR21Z(BPYU[XR?i;DZS50Y)MQZT\YQ=f:G[T43X&LR[S]ZP3g9F\U72W'OS\R^[_53a?@^W9P.DZSb>C_X;:T"HV__QV\4c=BPY8;S#KWP^RW[4`=Q!EYR\TQY4n2OS\?>P.DZS[URX<8h0IU^=0^,F\UYs{`gyicobee]maqYf3LR[>C_X;;T"HV__QV\5c=BPY8:S#KWP^RW[7`_/G[TZrtadxnblcjd^lfpZgR JXQd8A]V5:V$NT]Q_T^2e?@^W:;U%IU^PPU]2b>C_X;8T"HV__QV\6c=BPY89S#KWP^RW[6`R JXQ]SPZ26j2OS\?OI8:1BB<:4IO330>OI98>0EC?=4:KM562OI9090EC<;;HL141=NF;;?7D@=259JJ7533@D9895FN377?LH5>=1BB?9;;HL1<1=NF;387D@<4:KM752OHIJ^%H?Q_T^74?LIFK]$Z56G@ABV-V@A13@EII_\7;HMAAWT)L01BCOK]R/F2=>OHJLXY"Ij;HMAAWT)L;U[XR?j;HMAAWT)L;U[XROHD9$Z46G@L1,QAB38:KLV@W)L;n0EB\JQ/F1[URX8m1BC_K^.E0\TQY6l2CD^H_!D3]SPZ4c3@EYI\ K2^RW[6bOHZL[%H?Q_T^44?LIUMX$Z56G@RDS-V@A23@EY[=94INPT4+B?3@EY[= K199JKWQ7&M8o7DA]W1,G6ZVSW9n0EB\X0/F1[URX9m1BC_Y?.E0\TQY5l2CD^Z>!D3]SPZ5c3@EY[= K2^RW[1bOHZ^:%]45FOSU3*WC@<2CDY=94INW3*FC13@E^<#J8;HMV4+B6?2CDY= K2b9JKP6)L;U[XR>l;HMV4+B5WY^T=;5FOT2-U==NG\:%^HI7;Hlw@`jfq:1GCN94LNEJGDJd3DkacXjrrkljf=JageyZh||inl1?K623G:/CHm4N1,AQCIB&F__86@?.E78J5(C9<1E<#J=4:L3*T1HB\^EYG>5@ND08KW52Zn~dak8:RlvqVf|a?0^L2?>89QE969&YKO:6\N<02=e>TF48:5"]OK8:PB847=87<0^L2>1?c8VD:697$[MI;4R@>2:<=UI5;5"]OK5:PB878>3[K7>3 _AE78VD:4601YM1=1.QCG1>TF4=427_O34?,SEA3245]A=7=*UGC=2XJ0;06;SC?2;(WIM?0^L28>89QE919&YKO96\N<9<:?WG;07$[MI;4R@>::<=UI535"]OK9:PB[5YHJ\Li7_OP0^MAQC(Ck2XJS=Q@BTD-@4ePOCWE*A4XX]U:n6\N_1]LFP@)Y01YMR?POCWEf>TFW8UDNXH!Db9QEZ7XGK_M"I?l;SC\5ZIE]O$O>RAMUG,G6ZVSW9;:7_OP2^MAQC(C:VZ_S1:PB[6YHJ\L%H?Q_T^225>TFW:UDNXH!D3]SPZ7e3[KT?RAMUG,R=>TFW=UDNXHm;SC\0ZIE]O$Oo6\N_5]LFP@)L8i0^LQ;_N@VB+B5l2XJS9Q@BTD-A]V6:2XJS9Q@BTD-A]VXX]U;=?5]A^6\KGSA&LR[S]ZP1008VDY3WFH^J#KWP^RW[7753[KT8RAMUG,F\UYW\V9:>6\N_5]LFP@)MQZT\YQ;1d9QEZ2XGK_M"HV__uqjiwciidooSck{_c9QEZ2XGK_M"\74R@]6[JDRNk1YMR;POCWE*AeSBLZF/F2g>TFW3[KT:RAMUG`8VDY1WFH^J#Jl;SC\2ZIE]O$O=n5]A^4\KGSA&M8:=6\N_7]LFP@)L;U[XR>>1:PB[3YHJ\L%H?Q_T^3g?WGX>VEIYK JXQ31?WGX>VEIYK JXQ]SPZ66:2XJS;Q@BTD-A]VXX]U:=?5]A^4\KGSA&LR[S]ZP2008VDY1WFH^J#KWP^RW[67b3[KT:RAMUG,F\UYs{`gyicobee]maqYe3[KT:RAMUG,R=>TFW>UDNXHm;SC\3ZIE]O$Oo6\N_6]LFP@)L8i0^LQ8_N@VB+B5981YMR9POCWE*A4XX]U;=<5]A^5\KGSA&M8T\YQ>b:PB[2YHJ\L%]45]A^:\KGSAj2XJS5Q@BTD-@f=UIV2TCO[I.E3`?WGX0VEIYK K2038VDY?WFH^J#J=_QV\447WFH^Jo5]A^;\KGSA&Mi0^LQ6_N@VB+B6k2XJS4Q@BTD-@7763[KT5RAMUG,G6ZVSW9;:7_OP9^MAQC(C:VZ_S"TP334%.Txloo,Kgce;"Uawungg*8"=';;YFNH4b3:+B6k2iex"K}<1<-@7d/Sg?fhs'Lx7<3 ]EF;8gkr(M{6:2o5lnu-Fv979&Mi0ocz Es>2:+B6k2iex"K}<0<-@7d>/Sg?fhs'Lx7=3 ]EF31?fhs'@DBX^QISL]EBa76<2iex"GAIUQ\BVKXNOn:"I?:;blw+LHN\ZUM_@QIFe3-@4723jd#D@FTR]EWHYANm;%H??9;blw+LHN\ZUM_@QIFe3-A]V6j2iex"GAIUQ\BVKXNOn:"HV__QV\44dei|&CEEY]PFRO\BCb6&LR[S]ZP20`8gkr(AGC__RH\M^DE`4(BPYU[XR==7:amp*OIA]YTJ^CPFGf2*@^WW}ybakaalgg[kcsW8>0ocz IOKWWZ@TEVLMh< ^179`jq)NF@^XSK]B_GDg5+TBO;90ocz IOKWWZ@TEVLMh2:amp*OIA]YTJ^CPFGf151=df}%BBDZ\_GQN[C@c:'N:96mat.KMMQUXNZGTJKj=.E321>ei|&CEEY]PFRO\BCb5&M8:m6mat.KMMQUXNZGTJKj=.E0\TQY79h1hby!FNHVP[CUJWOLo>#J=_QV\542/F154=df}%GXdcjr=2=*@^W9?1hby!CThofv969&LR[S]ZP0048gkr(D]cfi2?>/G[TZVSW8;=7n`{/MVji`t;87$NT]Q_T^022>ei|&F_e`k}<1<-A]VXX]U8>?5lnu-OPlkbz5:5"HV__uqjiwciidooSck{_g9`jq)K\`gn~1>1.P32?fhs'E^bah|30?,QAB7b3jd#AZfmdp?4;Ys{`gh~ho{ee]{kwYb3jd#AZfmdp?558692iex"B[ilgq8469&JO:<6mat.NWmhcu48:5"I?>;blw+IRnelx7==0!D032?fhs'E^bah|311<-@7773jd#AZfmdp?558)Y880ocz LUknaw:687$YIJ?i;blw+IRnelx7==0Ptrkngwcf|lnTtb|Pe:amp*JSadoy0>/AF55=df}%GXdcjr=32:+B692iex"B[ilgq8479&M;:=6mat.NWmhcu48;5"I<>0:amp*JSadoy04cov,HQojm{6:>3 K109`jq)K\`gn~1?=>/F254=df}%GXdcjr=31:+B5991hby!CThofv9756'[:>6mat.NWmhcu4885"_KH1g9`jq)K\`gn~1?=>^vpmheumh~nhRv`r^f8gkr(D]cfi2>>028gkr(D]cfi2>>/AFb>ei|&F_e`k}<0<-@462:+B6991hby!CThofv979&M8m7n`{/MVji`t;97$Z=<5lnu-OPlkbz5;5"_KH1d9`jq)K\`gn~1?1_uqjiftbi}ooSua}_e9`jq)K\`gn~1<1119`jq)K\`gn~1<1.BGe?fhs'E^bah|32?,G55=df}%GXdcjr=0=*A7682iex"B[ilgq878)L;l0ocz LUknaw:56'[:=6mat.NWmhcu4;4%^HI>e:amp*JSadoy0?0Ptrkngwcf|lnTtb|Pd:amp*JSadoy0>0>0:amp*JSadoy0>0!CDd8gkr(D]cfi2<>/F24>ei|&F_e`k}<2<-@4773jd#AZfmdp?7;(C:o1hby!CThofv959&X;:7n`{/MVji`t;;7$YIJ?j;blw+IRnelx7?3Q{sho`v`gsmmUscQk;blw+IRnelx783??;blw+IRnelx783 LEg9`jq)K\`gn~1:1.E33?fhs'E^bah|34?,G5467:+B5n2iex"B[ilgq818)Y8;0ocz LUknaw:36'XNK2<>4cov,HQojm{6>2#MJf:amp*JSadoy080!D028gkr(D]cfi2:>/F255=df}%GXdcjr=7=*A4a3jd#AZfmdp?1;(V981hby!CThofv939&[OL=h5lnu-OPlkbz5?5Sy}fmbpfeqccWqeySi5lnu-OPlkbz5<5==5lnu-OPlkbz5<5"NKi;blw+IRnelx7:3 K119`jq)K\`gn~181.E324>ei|&F_e`k}<7<-@7`5:+W692iex"B[ilgq838)ZLM:i6mat.NWmhcu4?4Tx~gbcsgbp`bXpfxTh6mat.NWmhcu4>4:<6mat.NWmhcu4>4%OHh4cov,HQojm{6<2#J>0:amp*JSadoy0:0!D033?fhs'E^bah|37?,G6c=df}%GXdcjr=5=*T763jd#AZfmdp?3;(UMN;n7n`{/MVji`t;?7UdclrdcwaaYg{Uo7n`{/MVji`t;07;;7n`{/MVji`t;07$HIk5lnu-OPlkbz525"I??;blw+IRnelx743 K1028gkr(D]cfi27>/F1b>ei|&F_e`k}<9<-U47;:+TBO8o0ocz LUknaw:?6V~xe`m}e`vf`Z~hzVn0ocz LUknaw:>68:0ocz LUknaw:>6'INj6mat.NWmhcu404%H<>4cov,HQojm{622#J>119`jq)K\`gn~171.E0e?fhs'E^bah|39?,R54=df}%GXdcjr=;=*WC@9l1hby!CThofv9?9W}yban|jaugg[}iuWj1hby!CThofvQEa3jd#AZfmdpWG+EBm2iex"B[ilgqPF(Cn2iex"B[ilgqPF(C9o1hby!CThofvQE)L;o0ocz LUknawRD&X;;7n`{/MVji`tSK'XNKn5lnu-Wmhcu494m7n`{/Uknaw:76'INi6mat.Vji`t;87$Oj6mat.Vji`t;87$O=k5lnu-Wmhcu494%H???;blw+Qojm{6;2#KWP338gkr(\`gn~1>1.DZS[qune{oem`kk_ogw[`=df}%_e`k}<1<-U462:+Ba3jd#Ygbes>2:+B6n2iex"Zfmdp?5;(C:8>0ocz Thofv979&M8T\YQ?159`jq)Sadoy0<0!D3]SPZ7682iex"Zfmdp?5;(BPY;>7n`{/Uknaw:66'OS\R^[_136?fhs']cfi2>>/G[TZVSW8;>7n`{/Uknaw:66'OS\R^[_302?fhs']cfi2>>/G[TZrtadxnblcjd^lfpZc2:+TBOj1hby![ilgq878a3jd#Ygbes>1:+EBm2iex"Zfmdp?6;(Cn2iex"Zfmdp?6;(C9o1hby![ilgq878)L;;?7n`{/Uknaw:56'N9S]ZP0068gkr(\`gn~1<1.E0\TQY69=1hby![ilgq878)L;U[XR<>4:amp*Rnelx7>3 K2^RW[6773jd#Ygbes>1:+C_X;;0ocz Thofv949&LR[Sy}fmsgmehccWgoSh5lnu-Wmhcu4;4%]<>4cov,Plkbz585"_KHb:amp*Rnelx_Oh5lnu-Wmhcu\J$HIi5lnu-Wmhcu\J$Oi6mat.Vji`tSK'N:i6mat.Vji`tSK'N9h6mat.Vji`tSK'[m7n`{/UknawRD&[OLm6mat.lJTI4uk2iex"`FPM0q*Abei|&dB\A<}.Pg8gkr(f@ZG> ]EF`8gv)iGoy`lwk;bq,jJpbzekr"Ik4cr-mKscudhs%H5isc-Qadb~8{$O>R^[_130?cue'[ojht>}.E0\TQY6n2lxn"\jae{3v+C_X8>0j~l Rdcg}5t)MQZT\YQ?159ewg)Umhnr< JXQ]SPZ7582lxn"\jae{3v+C_XV~xe`|jn`of`Zhb|Vn0j~l Rdcg}5t)Yo1mo!]e`fz4w(UMNh0j~l Rdcg}4tc3oyi#_kndx3q*Ac5isc-Qadb~9{$O>R^[_030?cue'[ojht?}.E0\TQY59:1mo!]e`fz5w(C:VZ_S>?<;gqa+Wcflp;y"Im7k}m/WRB*A4XX]U>j6h|b.TSE+B5WY^T:45isc-UTD(Vj2lxn"X_A/PFCg=ngkg$NH\]rgf8mjdj'KOY^h!Dd9jkgk(JLXY~k K1d9jkgk(JLXY~k K2e9jkgk(JLXY~k ^f:klfh)EM[Xyj#\JGc9jkgk(JLXY~j4in`n+GCUZ{x%Hh5foco,F@TUz{$O=h5foco,F@TUz{$O>i5foco,F@TUz{$Zj6g`bl-AAWTuz'XNKn5foco,@QGDC{ln7damm.FWEFMun'Nm7damm.FWEFMun'N:j6g`bl-GPDELzo$O>h5foco,@QGDC{l%]<>4in`n+ARFKBxm"_KHc:klfh)C\HI@~k4in`n+ARFKBxy"Ih4in`n+ARFKBxy"I?i;hmai*BSIJAy~#J=e:klfh)C\HI@~ ^119jkgk(L]KHG|!RDEa?liee&NdyyAJd:klfh)Cg|~DI#Jj;hmai*Bh}}EN"I?j;hmai*Bh}}EN"I<>3:klfh)Cg|~DI#J=_QV\445ohjd%N_1>1.E0\TQY5k2cdn`!JS=2=*Tcohjd%N_1?1c:klfh)B[5;5"Ij4in`n+@U;97$O=i5foco,AV:66'N9=?5foco,AV:66'N9S]ZP0008mjdj'LY7=3 K2^RW[4753`eia"K\<0<-@7YW\V8h7damm.GP848)Yl1bcoc ER>2:+TBOh1bcoc ER>1:f=ngkg$I^2=>/Fg?liee&OX0?0!D0f8mjdj'LY7>3 K2008mjdj'LY7>3 K2^RW[5753`eia"K\<3<-@7YW\V;:>6g`bl-FW949&M8T\YQ=139jkgk(MZ692#J=_QV\744ohjd%N_1=1.Ef8mjdj'LY7?3 K1e9jkgk(MZ682#J=139jkgk(MZ682#J=_QV\444/F2`>ohjd%N_1:1.E026>ohjd%N_1:1.E0\TQY79;1bcoc ER>7:+B5WY^T=<<4in`n+@U;<7$O>R^[_331?liee&OX090!D3]SPZ5d3`eia"K\<5<-U`=ngkg$I^2;>/PFC4d1bcoc Es;8mjdj'Lx%Hl5foco,Aw(C9h1bcoc Es,G6<=ngkg$I ^b:klfh)Bz'XNK55foco,Aw4f3`eia"K}2/Fa?liee&Oy>#J>b:klfh)Bz;$O>l5foco,Aw4)Yj1bcoc Es0-V@Ae3`eia"G@RDSq`>ohjd%BC_K^r/Ff?liee&CD^H_}.E3f?liee&CD^H_}.E0g?liee&CD^H_}.Pd8mjdj'@EYI\|!RDE25>ohjd%BC^QISL]EBa76;2cdn`!FOR]EWHYANm;%H<:4in`n+LITWOYFSKHk1/F251=ngkg$EB]PFRO\BCb6&M8:56g`bl-JKVYA[DUMJi?!D3]SPZ6612cdn`!FOR]EWHYANm;%H?Q_T^327>ohjd%BC^QISL]EBa7)Y8?0eblb/HMP[CUJWOLo=#\JG038mjdj'@EXSK]B_GDg645#J=_QV\44?<74in`n+LITWOYFSKHk2/F1[URX;830eblb/HMP[CUJWOLo>#J=_QV\045ohjd%BC^QISL]EBa4X|zcfokntdf\|jtX981bcoc INQ\BVKXNOn8=>5foco,MJUXNZGTJKj<.E37?liee&CD_RH\M^DE`6(C98>0eblb/HMP[CUJWOLo?#J=189jkgk(AFYTJ^CPFGf0*A4XX]U;=45foco,MJUXNZGTJKj<.E0\TQY6901bcoc INQ\BVKXNOn8"I7damm.KLWZ@TEVLMh> ]EFc8mjdj'[OX~km4in`n+WCTzo$Oh6g`bl-QAVta&M;o7damm.PFWw`)L;i0eblb/SGPvc(Vm2cdn`!]ERpe*WC@i2cdn`!]ERpqg>ohjd%YI^|}.Ef8mjdj'[OX~ K1e9jkgk(ZLYy~#J=c:klfh)UMZxy"\k4in`n+WCTz{$YIJo4in`n+SVFzoi0eblb/WRBvc(Cl2cdn`!YP@pe*A7c3`eia"X_Asd-@7eohjd%]\L|i.SGDe>ohjd%]\L|}c:klfh)QXHxy"Ij4in`n+SVFz{$O=i5foco,RUGuz'N9o6g`bl-UTDtu&Xo0eblb/WRBvw(UMNh0ebl|/Bnfew7c3`ei"Mce`p2*Acohjz%H`ho}1/G[TZrtadxnblcjd^lfpZbohjz%BCA?!Qe9jkgu(AFF:"_KHa:klfv)NG[]:o6g`br-JKWQ6&Mn0ebl|/HMQS4(C9m1bco} INPT5+B59;1bco} INPT5+B5WY^T<<<4in`p+LIU_8$O>R^[_031?lie{&CD^Z?!D3]SPZ46:2cdn~!FOSU2*A4XX]U8=?5focq,MJTP9'N9S]ZP4008mjdt'@EY[< K2^RW[0753`ei"G@RV3-@7YW\V7dams.KLV`gcq'OS\R^[_136?lie{&CD^hoky/G[TZVSW8;>7dams.KLV`gcq'OS\R^[_336?lie{&CD^hoky/G[TZVSW:;>7dams.KLV`gcq'OS\R^[_502?lie{&CD^hoky/G[TZrtadxnblcjd^lfpZcohjz%BCX?!CD`8mjdt'@E^=#Jl;hmaw*OH]8$O=n5focq,MJS6&M8i7dams.KLQ4(Vl2cdn~!FOT3-V@Af3`ei"B`ae3`?lie{&Fdmi?!De9jkgu(Dfko=#J>d:klfv)Kghn:"I<>2:klfv)Kghn:"Iohjz%Gclj>.E0\TQY39;1bco} Lncg5+B5WY^T9<<4in`p+Iifl8$O>R^[_7a8mjdt'Eejh< ^e:klfv)Kghn:"_KH9:klfv)Hfjoi7dams.Mmg`(Ck2cdn~!@nbg-@4e=<5focq,Kkeb&M8T\YQ9b:klfv)Hfjo%]i5focq,Kkeb&[OL=l5focq,KkebW}yban|jaugg[}iuW8:0ebl|/QQ\BVKXNOn:=?5focq,TVYA[DUMJi?!D018mjdt'YYTJ^CPFGf2*A76;2cdn~!_S^DPIZ@Al8$O><64in`p+UUXNZGTJKj>.E0\TQY7911bco} PR]EWHYANm;%H?Q_T^320>ohjz%[_RH\M^DE`4(BPY;27dams.RP[CUJWOLo=#KWP^RW[57>3`ei"^\_GQN[C@c9'OS\R^[_006?lie{&ZXSK]B_GDg5+C_XV~xe`|jn`of`Zhb|V;97dams.RP[CUJWOLo=#_>4:klfv)W[VLXARHId0,QAB773`ei"^\_GQN[C@c:880ebl|/QQ\BVKXNOn9"I?<;hmaw*VTWOYFSKHk2/F256=ngky$\^QISL]EBa4)L;;37dams.RP[CUJWOLo>#J=_QV\44>199jkgu(XZUM_@QIFe0-@7YW\V8:46g`br-SWZ@TEVLMh? K2^RW[67?3`ei"^\_GQN[C@c:'N9S]ZP40:8mjdt'YYTJ^CPFGf1*A4XX]U>=55focq,TVYA[DUMJiohjz%[_RH\M^DE`7Ys{`gh~ho{ee]{kwY>3gKOcxzCE1f8jDBh}}FN<#^NDd9mEAir|EO;SBLZF028jDBh}}FN.QCGa>hFLf@H?POCWE55=iIMe~xAK>_N@VB+B692dJHb{{LD3\KGSA&M;:=6`NDnwwH@7XGK_M"I<>6:lB@jssDL;TCO[I.E0\TQY79?1eMIaztMG2[JDRN'N9S]ZP1028jDBh}}FN=RAMUG,R57=iIMe~xAK>_N@VB+TBO>1eM^QISC`8jDUXNZH%\LJl;oCP[CUEW@H^J:5aAR]JKGd;o@FVWYA[KUDNXH!D032?kDBZ[UM_OQ@BTD-@7713gHN^_QISC]LFP@)L;U[XR>>6:lAAWTXNZHTCO[I.E0\TQY69?1eNH\]_GQA[JDRN'N9S]ZP2048jGCUZVLXNRAMUG,G6ZVSW:;97cLJRS]EWGYHJ\L%IU^=3:lAAWTXNZHTCO[I.DZS[qune{oem`kk_ogw[46hEM[XTEBLk;o@FVWYNGK$[MIk4nCGQVZOHJVCIYK94nCP\MJDe3gHYSDAM.QCGg>hEZVCDNRAMUGg8jGTXAFHTCO[I$Pg8jGTXAFHTCO[I.Ed8jGTXAFHTCO[I.E3e?kDUW@EISBLZF/F151=iJ[UBCOQ@BTD-@7YW\V::86`MR^KLFZIE]O$O>R^[_033?kDUW@EISBLZF/G[T43<;4nCP\MJDXGK_M"HV__QV\777hDIZUDNXH!D0a8jFGTWFH^J#J=d:l@EVYHJ\L%IU^>e:l@EVYHJ\L%IU^Ptrknv`hfelnTbhzPb:l@EVYHJ\L%]i5aC@Q\KGSA&[OLm6`KT@AH[CUEm2dOXLMD_GQA*UGCn2dOXLMD_GQA[JDRN8;0bIZNCJ]EWGYHJ\L%H<<4nEVBGNYA[KUDNXH!D031?kBSIJATJ^LPOCWE*A46?2dOXLMD_GQA[JDRN'N9S]ZP0058jARFKBUM_OQ@BTD-@7YW\V;:;6`KT@AH[CUEWFH^J#J=_QV\6417:lGPDELWOYISBLZF/F1[URX<890bIZNCJ]EWGYHJ\L%IU^=4:lGPDELWOYISBLZF/G[TZrtadxnblcjd^lfpZ763gN_MNEPFR@\KGSA&X;87cJ[ABI\BVDXGK_M"_KH129m@QGDCVLXNRAMUG,VMHghCagFNSBLZF/Ff?kBnfEOTCO[I.E3f?kBnfEOTCO[I.E0e?kBnfEOTCO[I.DZS51=iL`dGIRAMUG,F\UYW\V::86`KioNF[JDRN'OS\R^[_037?kBnfEOTCO[I.DZS[URX:8>0bIgaLD]LFP@)MQZT\YQ<219m@lhKMVEIYK JXQ]wwlkumgkfiiQaeu]g?kBnfEOTCO[I.Pd8jAoiDLUDNXH!RDE5?kBnfFOj7cJfnNG-TDBe3gNbbBKPOCWE`>hCagENSBLZF/Ff?kBnfFOTCO[I.E3f?kBnfFOTCO[I.E027>hCagENSBLZF/F1[URX8890bIgaOD]LFP@)L;U[XR?>3:lGmkIBWFH^J#J=_QV\6a=iL`dDIRAMUG,R3>hCg|~DIo5aDnwwK@(WIMi0bIaztNG\KGSAm2dOcxz@E^MAQC(Cn2dOcxz@E^MAQC(C9o1eHb{{OD]LFP@)L;o0bIaztNG\KGSA&X?0bD^C289mMUJ5&YKOm6`FPM0\MGSA02dGH^QISCa8jIBTWOYI"]OKd:lO@VYA[KUBNXH7;oNGWZOHJj1e@I]PIN@-TDBe3gFO_RG@Bnwwa>hKLZUBCOazt%MF50=iDMYTEBL`uu,AQCIB&F__h6`CDR]JKGir|'Nn7cBKS^KLFjss&M;n7cBKS^KLFjss&M8:?6`CDR]JKGir|'N9S]ZP0018jIBTW@EIcxz!D3]SPZ76;2dGH^QFOCmvp+B5WY^T>i5aLEQ\MJDh}}$Zj6`CDR]JKGir|'XNK<>4nMFP[LIEg|~%X_][3:lLA2=iGL$[MI64nNG\KGSAi2dDIRAMUG,Gf>hHMVEIYK K1c9mK@YHJ\L%H?m4nNG\KGSA&LR[=<5aOD]LFP@)MQZT\YQ?109mK@YHJ\L%IU^PPU]254=iGLUDNXH!EYR\TQY5981eCHQ@BTD-A]VXX]U8=<5aOD]LFP@)MQZT\YQ;1e9mK@YHJ\L%IU^Ptrknv`hfelnTbhzPa:lLAZIE]O$Z;6`]ALNTAg=iZHGG[H _AEa8jWGJD^OTCO[Ie:lQEHJPMVEIYK Kf:lQEHJPMVEIYK K1g9mVDKK_LUDNXH!D3g8jWGJD^OTCO[I.P58jWGJ]^Oi7c\NMTUF*UGCk2dYM@[XE^MAQCc1e^H]`uu;8jWCTg|~%Hl5aRDQlqq(C9h1e^H]`uu,G6<=iZLYdyy ^b:lQAVir|'XNK;5aRNO@Wd=iZFGH_#^NDc9mVJKD[VEIYKj4nSMNGVYHJ\L%Hh5aRNO@WZIE]O$O=h5aRNO@WZIE]O$O><=4nSMNGVYHJ\L%H?Q_T^227>hUGDIXSBLZF/F1[URX9o1e^BCLS^MAQC(BPY;?7c\@MBQ\KGSA&LR[S]ZP0068jWIJKZUDNXH!EYR\TQY69=1e^BCLS^MAQC(BPYU[XR<>4:lQKHETWFH^J#KWP^RW[6473gXDAN]POCWE*@^WW}ybakaalgg[kcsWm1e^BCLS^MAQC(V>2dYC@YJa:lQKHQB&YKOn6`]OLUF[JDRNm1e^BCXE^MAQC(Cm2dYC@YJ_N@VB+B6m2dYC@YJ_N@VB+B5n2dYC@YJ_N@VB+C_X8>0b_ABWD]LFP@)MQZT\YQ?159mVJKPMVEIYK JXQ]SPZ76<2dYC@YJ_N@VB+C_XVZ_S?hRLZUBCOazt/Ff?kSC[VCDNb{{.E3f?kSC[VCDNb{{.E027>hRLZUBCOazt/F1[URX8890bXJ\_HMAkpr)L;U[XR?>3:lV@VYNGKe~x#J=_QV\6a=i]MYTEBL`uu,Rb>hRLZUBCOazt/PFC46hQEHUBCOazt/Ff?kPJIVCDNb{{.E3f?kPJIVCDNb{{.E027>hQEHUBCOazt/F1[URX8890b[CN_HMAkpr)L;U[XR?k;oTNEZOHJf"\h4nWOB[LIEg|~%^HI>0:lUIDYNGKe~x#Z]SU3`?kPJIVCDNb{{_uqjiftbi}ooSua}_99mRUGXNZHh7cX_A^DPF+VFLm1eZ]OPFR@\KGSAn2d]\LQISC]LFP@)L8:0b[^N_GQA[JDRN'N:==5aVQC\BVDXGK_M"Itfe&HJOY|!RDE:?wgj'[KFICl4r`o,VDKBF'Nh7ob/SCNAK(C9j1ym`!]ALGM*A4692xja"\NMDL-@7YW\V::=6|nm.PBI@H)L;U[XR?>1:pbi*TFELD%H?Q_T^025>tfe&XJAH@!D3]SPZ5692xja"\NMDL-@7YW\V>o7ob/SCNAK(BPY;n7ob/SCNAK(BPYUdc}eocnaaYim}Ui7ob/SCNAK(Vl2xja"\NMDL-V@Ad3{kf#_OBRdcg}`=uid%YM@\jae{-@c=uid%YM@\jae{-@4`tfe&XJA_kndx,G6ZVSW=;?7ob/SCNV`gcq'N9S]ZP5068vdk(ZHGYiljv.E0\TQY1m2xja"\NMSgb`|(V991ym`!]ALPfea)ZLM27ob/SCPAId1:pbi*TF[LF%H?Q_T^225>tfe&XJ_HB!D3]SPZ7692xja"\NSDN-@7YW\V8:=6|nm.PBW@J)L;U[XR=>1:pbi*TF[LF%H?Q_T^625>tfe&XJ_HB!D3]SPZ3692xja"\NSDN-@7YW\V.SGDb>tfe&XXSK]B_GDg647tfe&XXSK]B_GDg6+B5WY^T<<94r`o,VVYA[DUMJi#KWP0:8vdk(ZZUM_@QIFe0-A]VXX]U;=55}al-QWZ@TEVLMh? JXQ]SPZ75<2xja"\\_GQN[C@c:'OS\Rz|ilpfjdkblVdnxR?>;scn+WUXNZGTJKj=.P30?wgj'[YTJ^CPFGf1*WC@n2xja"\\_GQN[C@c;8;0~lc RR]EWHYANm9%H<<4r`o,VVYA[DUMJi=!D031?wgj'[YTJ^CPFGf0*A46?2xja"\\_GQN[C@c;'N9S]ZP0058vdk(ZZUM_@QIFe1-@7YW\V;:;6|nm.PP[CUJWOLo?#J=_QV\6417:pbi*TTWOYFSKHk3/F1[URX<8=0~lc RR]EWHYANm9%H?Q_T^723>tfe&XXSK]B_GDg7+B5WY^T:5}al-QacBhfl$O>R^[_030?wgj'[omHb`j.E0\TQY5l2xja"\jfEmma+Wa3{kf#_kiDnlf*WC@i2xja"\jfSgrg>tfe&Xnj_k~.Ef8vdk(ZllYi| K1e9qeh)UmoXn}#J=c:pbi*Tbn[oz"\k4r`o,V``Umx$YIJk4r`o,V``UmxYrbn??;scn+WcaZl{Xucm!D038vdk(ZllYi|]vnb,G547;scn+Wc`]{mXucm!D333?wgj'[olYi\yoa-U444}Tll098i4?:017f5d128>?9?ua24595>h5=10=7)<:0;07a>{Tlm098i4?:017f5d128>?9?5k25c94?7=9rYoi7<;d;29562e8k<1=9::2:tW2d<7280:697l{Rff>72c290:?9l?b78201353_8>?74=r9hk1<6s+25595d><,8h26?:l;c07=?6=<>0?o=4;9byK610<,;>>6?:6;[a7>7}583;?6pg68;29 4dd21=0b5$0``>=1bc8:?>o093:1(f=bb8;3>h6jk0o76g78;29 4dd21=0b5$0``>=1bc80?>o0l3:1(3==1<7*>bb8;3>h6jk0<76gl5;29?l7413:17d:71;29?l3?83:17d:if;29?l32>3:17dj8:188m1ge2900eh=50;9j0ce=831b8io50;9j12b=831b;k4?:%3ag?>03g;in7?9;:k;e?6=,8hh6594n0`a>43<3`2h6=4+1ca9<2=i9kh1=954i9g94?"6jj03;6`>bc827>=n190;6)?mc;:4?k7ej3;976g62;29 4dd21=0b32900c8>=:188k03>2900c97m:188k1ef2900c9oj:188k4da290/=om51b18j4de2k10c10c51;294~"5=;0:n55G2548m4d?290/>8<51c:8 4g02=;0(7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22h1/95:5a:~yx=zj0=1<7?50;2x 73528h37E<;6:k2f=<72-8>>7?m8:&2e2<4k2.:nl4t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<03:1=7>50z&117<6j11C>984i0`;>5<#:<81=o64$0c4>43<,8hj6<;4;|`:b?6=:3:1N5h50;&117<6;o10c74d03_;847d=#=1>1m6sr}|9~f22=83;1<7>t$371>4d?3A8?:6g>b983>!42:3;i46*>a6827>"6jh0:?65rb8g94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:848b?!3?<3k0qpsr;|`46?6=93:12c:n54?:%066?7e02.:m:4>1:&2fd<6921vn4m50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484n;%7;0?gb99K610<,8k<68?4$0`b>07<3th2n7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66l5+5969e>{zut1vn;m50;394?6|,;?96o6j10;6)<:2;3a<>"6i>0?56*>b`87=>=zj0k1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0j7);74;c8yx{z3th357>51;294~"5=;0:n55G2548m4d?290/>8<51c:8 4g02;;0(7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22h1/95:5a:~yx=zj1<1<7?50;2x 73528h37E<;6:k2f=<72-8>>7?m8:&2e2<6l2.:nl4>d:9~fg>=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<050z&117<6j11C>984i0`;>5<#:<81=o64$0c4>4d<,8hj6N5h50;&117<6;o10c74d03_;847d=#=1>1m6sr}|9~f=4=83;1<7>t$371>4d?3A8?:6g>b983>!42:3;i46*>a682e>"6jh0:m65rbc394?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:848b?!3?<3k0qpsr;|`4a?6=93:12c:n54?:%066?7e02.:m:4>8:&2fd<6021vnl<50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484n;%7;0?gb99K610<,8k<6<94$0`b>41<3thj=7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66l5+5969e>{zut1vn:650;394?6|,;?96o6j10;6)<:2;3a<>"6i>0::6*>b`822>=zjh:1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0j7);74;c8yx{z3thh:7>51;294~"5=;0:n55G2548m4d?290/>8<51c:8 4g028o0(7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22?1/95:56:~yx=zjj21<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:J114=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;56:&6<1<13twvq6sm12c94?7=83:p(?;=:0`;?M43>2c:n54?:%066?7e02.:m:4=3:&2fd<5;21vn<=m:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;56:&6<1<13twvq6sm12a94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2B99<5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=>2.>4949;|~y>{e<181<7?50;2x 73528h37E<;6:k2f=<72-8>>7?m8:&2e2<502.:nl4=8:9~f1>429096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=i2.>494n;|~y>{e=1;1<7?50;2x 73528h37E<;6:k2f=<72-8>>7?m8:&2e2<5=2.:nl4=5:9~f0>529096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=i2.>494n;|~y>{e9k91<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0j7);74;c8yx{z3th><=4?:083>5}#:<81=o64H365?l7e03:1(?;=:0`;?!7f?38<7)?ma;04?>{e=9;1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0j7);74;c8yx{z3th>9:4?:083>5}#:<81=o64H365?l7e03:1(?;=:0`;?!7f?3827)?ma;0:?>{e=<21<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0j7);74;c8yx{z3tho47>51;294~"5=;0:n55G2548m4d?290/>8<51c:8 4g028l0(7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22h1/95:5a:~yx=zjmk1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:J114=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;56:&6<1<13twvq6sm4`a94?7=83:p(?;=:0`;?M43>2c:n54?:%066?7e02.:m:4;5:&2fd<3=21vn9ok:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;5a:&6<14<729q/>8<51c:8L7213`;i47>5$371>4d?3-;j;7<=;%3ae?4532wi=>950;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484n;%7;0?g4<729q/>8<51c:8L7213`;i47>5$371>4d?3-;j;7;;;%3ae?3332wi8kk50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484n;%7;0?g4<729q/>8<51c:8L7213`;i47>5$371>4d?3-;j;7:8;%3ae?2032wi8im50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484n;%7;0?g4<729q/>8<51c:8L7213`;i47>5$371>4d?3-;j;7:9;%3ae?2132wi9:h50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484n;%7;0?gb99K610<,8k<6884$0`b>00<3thi<7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66l5+5969e>{zut1vn5l50;394?6|,;?96o6j10;6)<:2;3a<>"6i>0>;6*>b`863>=zjkk1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0j7);74;c8yx{z3th3h7>51;294~"5=;0:n55G2548m4d?290/>8<51c:8 4g02<20(7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22h1/95:5a:~yx=zj1l1<7?50;2x 73528h37E<;6:k2f=<72-8>>7?m8:&2e2<212.:nl4:9:9~fge=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<093:1=7>50z&117<6j11C>984i0`;>5<#:<81=o64$0c4>4e<,8hj6N5h50;&117<6;o10c74d03_;847d=#=1>1m6sr}|9~f<5=83;1<7>t$371>4d?3A8?:6g>b983>!42:3;i46*>a6812>"6jh09:65rbcg94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:848b?!3?<3k0qpsr;|`:1?6=93:15<6290;w)<:2;3a<>N5!42:3nm7[<:4;3xH73128q]i?4={%3aa?ba3_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi85;50;394?6|,;?96i6j10;6)<:2;3a<>"6i>0?n6*>b`87f>=zj=2?6=4<:183!42:38?86F=479j56`=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=>h4V01;>7}#=1?146*:858;?x{zu2coj7>5$371>a`<^;??6b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd28:0;6<4?:1y'604=9k20D?:9;n3at$371>7233A8?:6g>3g83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6;o1]=>652z&6<08<5dg9U602=9rF99;4>{Wg1>7}#9ko1hk5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c76e?6=93:16<729q/>8<52568L7213`;8j7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;30b>P6;109w);75;:8 0>3211vqps4ied94?"5=;0oj6X=5582I42>3;pZh<52z&2f`8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn97l:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=18?5+1cc907=2n7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn9mm:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1>95+1cc961=hm7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn9oi:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=18>5+1cc906=ji7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?;5+1cc973=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?95+1cc971=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1??5+1cc977=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?=5+1cc975=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1>k5+1cc96c=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?<5+1cc974=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?>5+1cc976=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?85+1cc970=7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?:5+1cc972=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?45+1cc97<=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn9h9:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=19=5+1cc915=m97>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn8?>:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1>i5+1cc96a=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn8:m:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1>l5+1cc96d=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn898:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1985+1cc910=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn98i:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=18i5+1cc90a==i7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn9m>:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1>n5+1cc96f=h<7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn9jj:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=18h5+1cc90`=oh7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn9kl:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=19?5+1cc917=nn7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn8=;:182>5<7s-8>>7?m8:J103=,9k21<7*=5382f==#9h=19>5+1cc916=53;294~"5=;09895G2548m45a290/>8<512d8R73328qG>8851zTf6?4|,8hn6<=i;W30655+5969<>{zut1bhk4?:%066?ba3_8>87?tL375>4}Qm;09w)?me;fe?S74038p(86::09'1=2=92wvqp5`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e9j?1<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<4l2.:nl43g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{e9j81<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<402.:nl4<8:9~f4e629096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{e<>21<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<3k2.:nl4;c:9~f11029096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{e<081<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<3n2.:nl4;f:9~f1?629096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{e=8l1<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<4n2.:nl43g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{e=;<1<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<382.:nl4;0:9~f04229096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{e=:i1<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<5j2.:nl4=b:9~f05e29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{ekj0;6<4?:1y'604=9k20D?:9;n3a<,<2?655r}|8ygef290:6=4?{%066?7e02B98;5`1c:94?"5=;0:n55+1`597g=#9kk1?o54}ca:>5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+5979<>"20=037psr}:a17b=83;1<7>t$371>4d?3A8?:6a>b983>!42:3;i46*>a680a>"6jh08i65rb40`>5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+5979<>"20=037psr}:a13d=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2>00;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn88l:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm56794?5=83:p(?;=:36e?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3k0(86;:`9~yx{5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;>7=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f45b290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c076<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm57g94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn89?:184>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9<=0Z<=7:3y'1=3=k2.>494l;|~y>o6=h0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979g>"20=0h7psr}:k21g<72-8>>7?1=vB=5782Sc52;q/=ok514`8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2?80;63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494l;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:9l5Y12:96~"20<0h7);74;a8yx{z3`;>n7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0f=zutw0e<;k:18'604=9:l0Z?;;:0yO600=9r\n>743c3_;847f=#=1>1o6sr}|9j50c=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8k4V01;>7}#=1?1o6*:858`?x{zu2c:9k4?:%066?74n2\9994>{M062?7|^l81>v*>bd821c=Q9:21>v*:8482?!3?<3;0qpsr;h376?6=,;?96<=i;W060?7|D;?=66X>3981!3?=3;0(86;:09~yx{86=4+240956`<^;??60Z<=7:3y'1=3=92.>494>;|~y>o6<<0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>449U56>=:r.>484>;%7;0?72\:?54={%7;1?7<,<2?6<5r}|8m420290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:8;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a13`=8391<7>t$371>4d13A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f01329086=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?186*:8587?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=<2.>494;;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c6;3?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f1>?29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e<1l1<7850;2x 735289o7E<;6:&2255$371>45a3_8>87?tL375>4}Q9h<1>v*>bd827c=Q9:21>v*:8482?!3?<3;0qpsr;hfa>5<#:<81ho5Y24695~J5=?0:w[?n6;0x 4db2mh0Z<=7:3y'1=3=92.>494>;|~y>ock3:1(?;=:ea8R7332;qG>8851zT2e3<5s-;ii7jl;W306>5+59697>{zuE8>97:tV360>6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<0=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<1=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3ni7)=<0;7;1>{#=o21>6sY1cf96~Pb838p(86<:ea8 6572<2>7p*:f98f?x{z3`8?>7>5$371>7253_8>874}Q9h<1>v*>bd8107=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;h01a?6=,;?96?h5Y12:96~"20<0o7);74;f8yx{K:7p*:f98g?x{z3f;i;7>5$371>4d032wi85750;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn96n:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=3o7>53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;a8 0>32j1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=2i6=4<:183!42:3;i:6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{2<7>53;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:>;W30695+59690>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a152=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd28<0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8>j:185>5<7s-8>>7?3g83>!42:3;8j6X=5582I42>3;pZ494>;|~y>ocj3:1(?;=:e`8R73328qG>8851zT2e3<5s-;ii7jm;W306<5+59695>{zut1bhn4?:%066?bd3_8>874}Q9h<1>v*>bd8gg>P6;109w);75;18 0>32:1vqpB=5487S43;39pZh>52z&6<64>4>3g9'766==1?0q);i8;;8yS43;39pZh>52z&6<64>4>3g9'766==1>0q);i8;;8yS43;39pZh>52z&6<64>4kb:&075<20<1v(8h7:39~R4dc2;q]i=4={%7;7?bd3-98<7;75:'1c>=m2wvq6g=4383>!42:38?>6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?:=;%104?3?=2w/9k65d:~y>o5:l0;6)<:2;01a>P5==09wA<:6;3xR4g12;q/=ok523g8R45?2;q/95;5d:&6<174b3-98<7;75:'1c>=l2wvq6a>b683>!42:3;i;65rb424>5<4290;w)<:2;07b>N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2810;6<4?:1y'604=:<30D?:9;n3a3?6=,;?961<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd28j0;684?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{54;294~"5=;0:n;5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c73b?6=;3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22=1/95:54:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;8471=#=1>186sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi98l50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c76`?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f002290=6=4?{%066?74l2B98;5+1729b>o6;o0;6)<:2;30b>P5==0:wA<:6;3xR4g12;q/=ok512d8R45?2;q/95;51:&6<1<63twvq6gkb;29 7352mh0Z?;;:0yO600=9r\:m;4={%3aa?be3_;8474=#=1>1=6sr}|9j`f<72-8>>7jl;W060?4|D;?=63981!3?=390(86;:29~yxJ5=<0?w[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55978y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55968y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6o5<;0;6)<:2;076>P5==09wA<:6;3xR4g12;q/=ok52508R45?2;q/95;5d:&6<17253-98<7;75:'1c>=l2wvq6g=2d83>!42:389i6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?i6j>0;6)<:2;3a3>=zj3g9K607<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi9;?50;694?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`627<72=0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9K607<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?70<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c754?6=<3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:>;W30695+59690>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a010=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd3=:0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e<<81<7850;2x 735289o7E<;6:&2255$371>45a3_8>87?tL375>4}Q9h<1>v*>bd827c=Q9:21>v*:8482?!3?<3;0qpsr;hfa>5<#:<81ho5Y24695~J5=?0:w[?n6;0x 4db2mh0Z<=7:3y'1=3=92.>494>;|~y>ock3:1(?;=:ea8R7332;qG>8851zT2e3<5s-;ii7jl;W306>5+59697>{zuE8>97:tV360>6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<0=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<1=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3ni7)=<0;7;1>{#=o21>6sY1cf96~Pb838p(86<:ea8 6572<2>7p*:f98f?x{z3`8?>7>5$371>7253_8>874}Q9h<1>v*>bd8107=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;h01a?6=,;?96?h5Y12:96~"20<0o7);74;f8yx{K:7p*:f98g?x{z3f;i;7>5$371>4d032wi89950;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn9:7:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=?m7>53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{?n7>53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{?o7>54;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484l;%7;0?e50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=>2.>4949;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59792>"20=0=7psr}:k20f<72-8>>7?1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<15683>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1o6*:858`?x{zu2c:9l4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73<729q/>8<5689K610;6=4+240956`<^;??62wvqp5f15394?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5c:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`70c<72>0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8473=#=1>1:6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1:6*:8585?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=>2.>4949;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;5c:&6<15c83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`715<72>0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8473=#=1>1:6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1:6*:8585?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=>2.>4949;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;5c:&6<15c83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`70<<7210;6=u+24095g0<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59795>"20=0:7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0:7);74;38yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;38 0>3281vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>2281/95:51:~yx=n91=vB=5782Sc52;q/=ok514a8R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5;?0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979e>"20=0j7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th98=4?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j546;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm22;94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn?=m:186>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9?n4?:483>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0h7);74;a8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<04=zutw0qo<1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0f=zutw0e<;8:18'604=9:l0D?;>;W060?7|D;?=6;6X>3981!3?=3i0(86;:b9~yx{5<2290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a66g=83?1<7>t$371>4d13A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;8474=#=1>1=6sr}|9j501=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`172<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494>;|~y>{e:>i1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th94<4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo<79;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494n;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73<729q/>8<512f8L7213-;=<7h4i01e>5<#:<81=>h4V377>4}K:<<1=vX>a781!7em3;8j6X>3981!3?=3;0(86;:09~yx{P5==0:wA<:6;3xR4g12;q/=ok5dc9U56>=:r.>484>;%7;0?78:52zN113<6s_;j:7ae<^8936?u+59797>"20=087psrL376>1}Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?396s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?386s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:e`8 6572<2>7p*:f98:?xP6jm09w[k?:3y'1=5=lj1/?>>55978y!3a03o0qps4i361>5<#:<81>9<4V377>7}K:<<1=vX>a781!7em38?>6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=439'766==1?0q);i8;f8yx{P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5:l1/?>>55978y!3a03n0qps4o0`4>5<#:<81=o94;|`13`<72:0;6=u+240961`<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a62`=83;1<7>t$371>73>3A8?:6a>b683>!42:3;i;65rb3:3>5<6290;w)<:2;3a1>N510qo<72;292?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1h6*:858g?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=l2.>494k;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979`>"20=0o7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c0;7?6=>3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59793>"20=0<7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;5d:&6<14b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1h6*:858g?x{zu2c:9:4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9<=0Z<=7:3y'1=3=l2.>494k;|~y>o6=h0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484k;%7;0?b50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306i5+5969`>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22m1/95:5d:~yx=n9=i1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>742d3_;847a=#=1>1h6sr}|9j501=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1h6*:858g?x{zu2c:9l4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?b<,<2?6i5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;f8 0>32m1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397j4$4:7>a=zutw0e<;8:18'604=9:l0D?;>;W060?7|D;?=6;6X>3981!3?=3n0(86;:e9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn?69:186>5<7s-8>>7?m6:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397?4$4:7>4=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>:j50;694?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{h6=4+240956`<^;??6494>;|~y>{e:o;1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9j;4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo;6=4+240956`<^;??6494n;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73<729q/>8<512f8L7213-;=<7h4i01e>5<#:<81=>h4V377>4}K:<<1=vX>a781!7em3;8j6X>3981!3?=3;0(86;:09~yx{P5==0:wA<:6;3xR4g12;q/=ok5dc9U56>=:r.>484>;%7;0?78:52zN113<6s_;j:7ae<^8936?u+59797>"20=087psrL376>1}Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?396s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?386s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:e`8 6572<2>7p*:f98:?xP6jm09w[k?:3y'1=5=lj1/?>>55978y!3a03o0qps4i361>5<#:<81>9<4V377>7}K:<<1=vX>a781!7em38?>6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=439'766==1?0q);i8;f8yx{P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5:l1/?>>55978y!3a03n0qps4o0`4>5<#:<81=o94;|`1b6<72:0;6=u+240961`<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;l?6=4>:183!42:38>56F=479l5g1=83.99?4>b698yg4a=3:197>50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<15683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<04=zutw0qo1=vB=5782Sc52;q/=ok51528R45?2;q/95;5d:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0a=zutw0c74d03_;8474=#=1>1=6sr}|9~f7`529086=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>>=:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm31:94?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:9=>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{51;294~"5=;09945G2548k4d0290/>8<51c58?xd4890;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4880;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5no0;6>4?:1y'604=9k<0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e;991<7950;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?b<,<2?6i5r}|8m42d290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;f8 0>32m1vqps4i074>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397j4$4:7>a=zutw0e<;n:18'604=9:l0D?;>;W060?7|D;?=6m6X>3981!3?=3n0(86;:e9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>>;:184>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8<5Y12:96~"20<0o7);74;f8yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0{M062?7|^l81>v*>bd8212=Q9:21>v*:848g?!3?<3n0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3n0(86;:e9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>>::184>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8<5Y12:96~"20<0o7);74;f8yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0{M062?7|^l81>v*>bd8212=Q9:21>v*:848g?!3?<3n0qpsr;h36e?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9o5Y12:96~"20<0o7);74;f8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c132?6=<3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c126?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f672290=6=4?{%066?74l2B98;5+1729b>o6;o0;6)<:2;30b>P5==0:wA<:6;3xR4g12;q/=ok512d8R45?2;q/95;51:&6<1<63twvq6gkb;29 7352mh0Z?;;:0yO600=9r\:m;4={%3aa?be3_;8474=#=1>1=6sr}|9j`f<72-8>>7jl;W060?4|D;?=63981!3?=390(86;:29~yxJ5=<0?w[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55978y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55968y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6o5<;0;6)<:2;076>P5==09wA<:6;3xR4g12;q/=ok52508R45?2;q/95;5d:&6<17253-98<7;75:'1c>=l2wvq6g=2d83>!42:389i6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?i6j>0;6)<:2;3a3>=zj::i6=4<:183!42:38?j6F=479j516=83.99?4>3g9K607<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi?=k50;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?=h50;194?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm30394?2=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?=j50;794?6|,;?96o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306<5+59695>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8=>4?:283>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306:5+59693>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59793>"20=0<7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th85}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm29c94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`1=4<72:0;6=u+240961`<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306l5+5969e>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a6<6=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=12w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{e:1i1<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;2o6=4>:183!42:38>56F=479l5g1=83.99?4>b698yg4?m3:1=<4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0f=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;847f=#=1>1o6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2c:9n4?:%066?74n2\9994>{M062?7|^l81>v*>bd821f=Q9:21>v*:848`?!3?<3i0qpsr;h36`?6=,;?96<=i;W060?7|D;?=6h6X>3981!3?=3i0(86;:b9~yx{494l;|~y>o6<;0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>439U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<:;;W306<5+59695>{zut1b=9;50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9513<^8936?u+59795>"20=0:7psr}:k203<72-8>>7?:7?tVd096~"6jl0:8;5Y12:96~"20<0:7);74;38yx{z3`;?;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;373>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;2i6=4;:183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f7?529096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e:031<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;c8 0>32h1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;336=49:183!42:3;8h6F=479'536=n2c:?k4?:%066?74n2\9994>{M062?7|^8k=6?u+1cg956`<^8936?u+59795>"20=0:7psr}:kgf?6=,;?96il4V377>4}K:<<1=vX>a781!7em3ni7[?<8;0x 0>2281/95:51:~yx=nlj0;6)<:2;f`?S42<38p@?;9:0yU5d0=:r.:nh4kc:T27=<5s-?397=4$4:7>6=zutF9984;{W077?5|^l:1>v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=3v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=2v*:828gg>"4;90>485rVd396~"20:0on6*<3186<0=z,7}Qm909w);73;f`?!5483?396s+5g:9a>{zu2c98?4?:%066?43:2\9994={M062?7|^8k=6?u+1cg9614<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;>97)=<0;7;1>{#=o21h6sr}:k16`<72-8>>7<=e:T111<5sE8>:7?tV0c5>7}#9ko1>?k4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2e:n:4?:%066?7e?21vn?7;:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo<65;295?6=8r.99?4=589K610f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848`?!3?<3i0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3i0(86;:b9~yx{494l;|~y>o6=m0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5e9U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<;i;W306n5+5969g>{zut1b=9<50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9514<^8936?u+5979g>"20=0h7psr}:k206<72-8>>7?:7?tVd096~"6jl0:8>5Y12:96~"20<0:7);74;38yx{z3`;?87>5$371>45a3_8>87?tL375>4}Qm;09w)?me;370>P6;109w);75;38 0>3281vqps4i066>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>>7[?<8;0x 0>2281/95:51:~yx=n9=<1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51548R45?2;q/95;51:&6<1<63twvq6g>4683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<>1]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo<63;290?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn?o6:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;;8yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`1e0<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm2`494?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2`194?2=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>lo50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22h1/95:5a:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2c294?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:9=>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f7gc290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c0ba?6=;3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c0bf?6=<3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<04=zutw0qo5<4290;w)<:2;07b>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0j7);74;c8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c0a3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg4e<3:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5j<0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?961<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9n>4?:583>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5jh0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979e>"20=0j7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9o=4?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j546;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2cf94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn?lj:186>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9no4?:583>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5k;0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979e>"20=0j7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9o54?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j546;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2b794?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn?m9:185>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c0`7?6=<3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<04=zutw0qo5<4290;w)<:2;07b>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0j7);74;c8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c0g4?6=>3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg4dk3:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5km0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?96t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0h7);74;a8yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;a8 0>32j1vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2b`94?2=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>i<50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22h1/95:5a:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2e:94?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:9=>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f7b2290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c0g2?6=03:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=n91=vB=5782Sc52;q/=ok514c8R45?2;q/95;5c:&6<15c83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f7b4290?6=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a6`7=8391<7>t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397o4$4:7>d=zutw0c74d03_;8474=#=1>1=6sr}|9~f7c7290=6=4?{%066?74l2B98;5+1729b>o6;o0;6)<:2;30b>P5==0:wA<:6;3xR4g12;q/=ok512d8R45?2;q/95;51:&6<1<63twvq6gkb;29 7352mh0Z?;;:0yO600=9r\:m;4={%3aa?be3_;8474=#=1>1=6sr}|9j`f<72-8>>7jl;W060?4|D;?=63981!3?=390(86;:29~yxJ5=<0?w[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55978y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55968y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6o5<;0;6)<:2;076>P5==09wA<:6;3xR4g12;q/=ok52508R45?2;q/95;5d:&6<17253-98<7;75:'1c>=l2wvq6g=2d83>!42:389i6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?i6j>0;6)<:2;3a3>=zj;nh6=4<:183!42:38?j6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{51;294~"5=;09945G2548k4d0290/>8<51c58?xd5ll0;644?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0f=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;847f=#=1>1o6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2c:9n4?:%066?74n2\9994>{M062?7|^l81>v*>bd821f=Q9:21>v*:848`?!3?<3i0qpsr;h36`?6=,;?96<=i;W060?7|D;?=6h6X>3981!3?=3i0(86;:b9~yx{5<3290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn?k=:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2d;94?5=83:p(?;=:36e?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3k0(86;:`9~yx{5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;><=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f7c329086=4?{%066?43n2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<5=01C>984o0`4>5<#:<81=o94;|`1a3<728;1<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0h7);74;a8yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;a8 0>32j1vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22j1/95:5c:~yx=n91=vB=5782Sc52;q/=ok514a8R45?2;q/95;5c:&6<15e83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=m1]=>652z&6<0f=zutw0e<;i:18'604=9:l0Z?;;:0yO600=9r\n>743a3_;8474=#=1>1=6sr}|9j514=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9<4V01;>7}#=1?1=6*:8582?x{zu2c:8>4?:%066?74n2\9994>{M062?7|^l81>v*>bd8206=Q9:21>v*:8482?!3?<3;0qpsr;h370?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{>6=4+240956`<^;??6494>;|~y>o6<>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>469U56>=:r.>484>;%7;0?750z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c117?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f64>29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e;;l1<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;c8 0>32h1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:8n6=4<:183!42:3;i:6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo==4;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi??950;594?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8485?!3?<3<0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3<0(86;:79~yx{h6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73k2\:?54={%7;1?0<,<2?6;5r}|8m430290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;48 0>32?1vqps4i07b>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?39784$4:7>3=zutw0e<;m:18'604=9:l0D?;>;W060?7|D;?=6n6X>3981!3?=3i0(86;:b9~yx{5<0290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=>2.>4949;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4849;%7;0?0:7?tVd096~"6jl0:8n5Y12:96~"20<0=7);74;48yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0<13-?38784}|~?l72i3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397m4$4:7>f=zutw0e<;m:18'604=9:l0D?;>;W060?7|D;?=6n6X>3981!3?=3i0(86;:b9~yx{5<4290;w)<:2;3a2>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c11`?6=;3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22?1/95:56:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;8473=#=1>1:6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi??o50;32>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306:5+59693>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+59793>"20=0<7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0<7);74;58yx{z3`;>n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;58 0>32>1vqps4i07`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?h7[?<8;0x 0>22>1/95:57:~yx=n9743c3_;8472=#=1>1;6sr}|9j50c=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8k4V01;>7}#=1?1h6*:858g?x{zu2c:9k4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494k;|~y>o6<;0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9514<^8936?u+5979`>"20=0o7psr}:k206<72-8>>7?1=vB=5782Sc52;q/=ok51518R45?2;q/95;5d:&6<14583>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<=1]=>652z&6<0<63-?387?4}|~?l73=3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;5:T27=<5s-?397?4$4:7>4=zutw0e<:9:18'604=9:l0Z?;;:0yO600=9r\n>74213_;8474=#=1>1=6sr}|9j511=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=994V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`2=5<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg7>k3:1:7>50z&117<6;m1C>984$043>c=n9:l1<7*=53827c=Q:<>1=vB=5782S7f>38p(!42:3ni7[<:4;3xH73128q]=l852z&2f`:7?tV0c5>7}#9ko1hn5Y12:96~"20<087);74;18yx{K::3y'1=5=9:l0(>=?:4:6?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=9:l0(>=?:4:7?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=lk1/?>>55978y!3a0380q[?md;0xR`6=:r.>4>4kc:&075<20<1v(8h7:d9~yx=n:=81<7*=538107=Q:<>1>vB=5782S7f>38p(h5Y24696~J5=?0:w[?n6;0x 4db2;8n7[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<=e:&075<20<1v(8h7:e9~yx=h9k=1<7*=5382f2=53;294~"5=;098k5G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm18094?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn<7;:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn<7::180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn<79:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c3:3?6=;3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd6110;694?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`2=<<72<0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?0<,<2?6;5r}|8m42d290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm18c94?3=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<03=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{494>;|~y>{e9091<7650;2x 73528h=7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>2281/95:51:~yx=n9<=1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51458R45?2;q/95;51:&6<1<63twvq6g>5`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0<63-?387?4}|~?l72j3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:b:T27=<5s-?397?4$4:7>4=zutw0e<;l:18'604=9:l0Z?;;:0yO600=9r\n>743d3_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>4o50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22h1/95:5a:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2`294?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:9=>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f7?c290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c0:a?6=980;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3i0(86;:b9~yx{494l;|~y>o6=j0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<;j;W306n5+5969g>{zut1b=8h50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950`<^8936?u+5979g>"20=0h7psr}:k207<72-8>>7?:7?tVd096~"6jl0:8?5Y12:96~"20<0h7);74;a8yx{z3`;??7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;377>P6;109w);75;a8 0>32j1vqps4i067>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>?7[?<8;0x 0>2281/95:51:~yx=n9=?1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51578R45?2;q/95;51:&6<1<63twvq6g>4783>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?l73?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;7:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f7?e290?6=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a74e=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=12w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{e;821<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:;26=4>:183!42:38>56F=479l5g1=83.99?4>b698yg56i3:197>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:k20f<72-8>>7?1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<15683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<04=zutw0qo=>7;297?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`6`2<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg3cn3:1:7>50z&117<6;m1C>984$043>c=n9:l1<7*=53827c=Q:<>1=vB=5782S7f>38p(!42:3ni7[<:4;3xH73128q]=l852z&2f`:7?tV0c5>7}#9ko1hn5Y12:96~"20<087);74;18yx{K::3y'1=5=9:l0(>=?:4:6?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=9:l0(>=?:4:7?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=lk1/?>>55978y!3a0380q[?md;0xR`6=:r.>4>4kc:&075<20<1v(8h7:d9~yx=n:=81<7*=538107=Q:<>1>vB=5782S7f>38p(h5Y24696~J5=?0:w[?n6;0x 4db2;8n7[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<=e:&075<20<1v(8h7:e9~yx=h9k=1<7*=5382f2=53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th>h44?:083>5}#:<81>874H365?j7e?3:1(?;=:0`4?>{e=mh1<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494>;|~y>{e=mi1<7;50;2x 7352?30D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5ef94?3=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{494>;|~y>{e=mk1<7:50;2x 73528h=7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm16c94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`2<7<72?0;6=u+240956b<@;>=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<>3t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd6?j0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e9>n1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f41a29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0{M062?7|^l81>v*>bd8204=Q9:21>v*:8485?!3?<3<0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77d83>6<729q/>8<51c48L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm16`94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f4?c29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e9h?1<7850;2x 735289o7E<;6:&2255$371>45a3_8>87?tL375>4}Q9h<1>v*>bd827c=Q9:21>v*:8482?!3?<3;0qpsr;hfa>5<#:<81ho5Y24695~J5=?0:w[?n6;0x 4db2mh0Z<=7:3y'1=3=92.>494>;|~y>ock3:1(?;=:ea8R7332;qG>8851zT2e3<5s-;ii7jl;W306>5+59697>{zuE8>97:tV360>6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<0=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<1=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3ni7)=<0;7;1>{#=o2156sY1cf96~Pb838p(86<:ea8 6572<2>7p*:f98f?x{z3`8?>7>5$371>7253_8>874}Q9h<1>v*>bd8107=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;h01a?6=,;?96?h5Y12:96~"20<0o7);74;f8yx{K:7p*:f98g?x{z3f;i;7>5$371>4d032wi=4h50;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=7>53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=>2.>4949;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7a283>6<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a5d7=8391<7>t$371>4d13A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f4?b29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn?=::185>5<7s-8>>7?3g83>!42:3;8j6X=5582I42>3;pZ494>;|~y>ocj3:1(?;=:e`8R73328qG>8851zT2e3<5s-;ii7jm;W306<5+59695>{zut1bhn4?:%066?bd3_8>874}Q9h<1>v*>bd8gg>P6;109w);75;18 0>32:1vqpB=5487S43;39pZh>52z&6<64>4>3g9'766==1?0q);i8;;8yS43;39pZh>52z&6<64>4>3g9'766==1>0q);i8;;8yS43;39pZh>52z&6<64>4kb:&075<20<1v(8h7:89~R4dc2;q]i=4={%7;7?bd3-98<7;75:'1c>=m2wvq6g=4383>!42:38?>6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?:=;%104?3?=2w/9k65d:~y>o5:l0;6)<:2;01a>P5==09wA<:6;3xR4g12;q/=ok523g8R45?2;q/95;5d:&6<174b3-98<7;75:'1c>=l2wvq6a>b683>!42:3;i;65rb312>5<4290;w)<:2;07b>N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5;;0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?961<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0h7);74;a8yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c0e4?6=>3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg4bk3:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5mm0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?963A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{494l;|~y>o6=k0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5c9U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<;k;W306n5+5969g>{zut1b=8k50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950c<^8936?u+5979g>"20=0h7psr}:k21c<72-8>>7?:7?tVd096~"6jl0:9k5Y12:96~"20<0h7);74;a8yx{z3`;?>7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;376>P6;109w);75;a8 0>32j1vqps4i060>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>87[?<8;0x 0>22j1/95:5c:~yx=n9=>1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51568R45?2;q/95;5c:&6<14483>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<<1]=>652z&6<0<63-?387?4}|~?l73>3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;6:T27=<5s-?397?4$4:7>4=zutw0e<:8:18'604=9:l0Z?;;:0yO600=9r\n>74203_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>hl50;694?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{h6=4+240956`<^;??6494>;|~y>{e;:=1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8?i4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=;2;292?6=8r.99?4>3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;08yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e;:31<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f65e29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<13-?38784}|~?l7393:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??62wvqp5f15394?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:>;W306;5+59692>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5c:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`07d<72:0;6=u+24095g0<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a76c=8391<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0o7);74;f8yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo=74273_;847a=#=1>1h6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1h6*:858g?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0:n;5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th88>4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=;e;292?6=8r.99?4>3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;08yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e;=?1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f62029086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f62?29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<13-?38784}|~?l7393:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{54;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th88l4?:483>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306;5+59692>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59792>"20=0=7psr}:k20f<72-8>>7?1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<15683>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`00g<72<0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8473=#=1>1:6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1:6*:8585?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=k2.>494l;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th88n4?:0394?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39784$4:7>3=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8473=#=1>1:6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1:6*:8585?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8485?!3?<3<0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3<0(86;:79~yx{2wvqp5f14a94?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=92.>4949;|~y>o6=m0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950b<^8936?u+59792>"20=0=7psr}:k21`<72-8>>7?:7?tVd096~"6jl0:9h5Y12:96~"20<0h7);74;a8yx{z3`;>j7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=o1]=>652z&6<0{M062?7|^l81>v*>bd8207=Q9:21>v*:848`?!3?<3i0qpsr;h377?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>429U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<::;W306<5+59695>{zut1b=9850;&117<6;o1]>8:51zN113<6s_o96?u+1cg9510<^8936?u+59795>"20=0:7psr}:k202<72-8>>7?:7?tVd096~"6jl0:8:5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c172?6=?3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8482?!3?<3;0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3;0(86;:09~yx{494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c16f?6=>3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg5283:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4=80;6<4?:1y'604=:<30D?:9;n3a3?6=,;?96t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a702=8391<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a703=83<1<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?0<,<2?6;5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;48 0>32?1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397m4$4:7>f=zutw0e<;8:18'604=9:l0D?;>;W060?7|D;?=6;6X>3981!3?=3i0(86;:b9~yx{494>;|~y>{e;<<1<7850;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<^;??68851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=n91=vB=5782Sc52;q/=ok514c8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4=>0;6:4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22?1/95:56:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;56:&6<1<13twvq6g>4b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1:6*:8585?x{zu2c:9:4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9<=0Z<=7:3y'1=3=k2.>494l;|~y>o6=h0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979g>"20=0h7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c16N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0=7);74;48yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397m4$4:7>f=zutw0e<;n:18'604=9:l0D?;>;W060?7|D;?=6m6X>3981!3?=3i0(86;:b9~yx{494>;|~y>{e;<31<7950;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<^;??62wvqp5f15a94?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:l;W306;5+59692>{zut1b=8950;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=n9743f3_;847f=#=1>1o6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`017<7210;6=u+24095g0<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59795>"20=0:7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0:7);74;38yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;38 0>3281vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>2281/95:51:~yx=n91=vB=5782Sc52;q/=ok514a8R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd38o0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{:97>56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo:>1;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi8<=50;194?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8485?!3?<3<0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4849;%7;0?050z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e3:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=886=4<:183!42:38?j6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848b?!3?<3k0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{9>7>56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo:>7;297?6=8r.99?4=4g9K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a04>=83;1<7>t$371>73>3A8?:6a>b683>!42:3;i;65rb53b>5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?=n4?:283>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?=i4?:283>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?=h4?:283>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306;5+59692>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?=k4?:283>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f14729086=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7=<729q/>8<51c48L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;51:&6<1<63twvq6g>5683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0<63-?387?4}|~?l72i3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397?4$4:7>4=zutw0e<;m:18'604=9:l0Z?;;:0yO600=9r\n>743e3_;8474=#=1>1=6sr}|9j50e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8m4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`761<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg2503:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=9:6=4<:183!42:38?j6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848b?!3?<3k0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{8<7>56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo:=5;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi8?950;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi8?750;594?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39794$4:7>2=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8472=#=1>1;6sr}|9j51e=83.99?4>3g9K607<^;??6494k;|~y>o6=h0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979`>"20=0o7psr}:k21g<72-8>>7?1=vB=5782Sc52;q/=ok514`8R45?2;q/95;5d:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd3:h0;6:4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22>1/95:57:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;57:&6<1<03twvq6g>4b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1h6*:858g?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848g?!3?<3n0qpsr;h36e?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9o5Y12:96~"20<0o7);74;f8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c61f?6=980;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8472=#=1>1;6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8484?!3?<3=0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3=0(86;:69~yx{4948;|~y>o6=j0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:9i5Y12:96~"20<0<7);74;58yx{z3`;>i7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=l1]=>652z&6<0<03-?38794}|~?l72n3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd821c=Q9:21>v*:848g?!3?<3n0qpsr;h376?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>439U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:8>5Y12:96~"20<0o7);74;f8yx{z3`;?87>5$371>45a3_8>87?tL375>4}Qm;09w)?me;370>P6;109w);75;f8 0>32m1vqps4i066>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>>7[?<8;0x 0>2281/95:51:~yx=n9=<1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51548R45?2;q/95;51:&6<1<63twvq6g>4683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<>1]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo:=c;2954<729q/>8<5689K610;6=4+240956`<^;??64948;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;n;W306:5+59693>{zut1b=8l50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950d<^8936?u+59793>"20=0<7psr}:k21f<72-8>>7?:7?tVd096~"6jl0:9n5Y12:96~"20<0<7);74;58yx{z3`;>h7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=m1]=>652z&6<0<03-?38794}|~?l72m3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd821`=Q9:21>v*:848g?!3?<3n0qpsr;h36b?6=,;?96<=i;W060?7|D;?=6j6X>3981!3?=3n0(86;:e9~yx{96=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73:2\:?54={%7;1?b<,<2?6i5r}|8m424290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;377>P6;109w);75;f8 0>32m1vqps4i067>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>?7[?<8;0x 0>22m1/95:5d:~yx=n9=?1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51578R45?2;q/95;51:&6<1<63twvq6g>4783>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?l73?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;7:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f14c290:=7>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306:5+59693>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59793>"20=0<7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0<7);74;58yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;58 0>32>1vqps4i07g>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:d:T27=<5s-?39794$4:7>2=zutw0e<;j:18'604=9:l0D?;>;W060?7|D;?=6i6X>3981!3?=3=0(86;:69~yx{8<512d8L7363_8>87?tL375>4}Qm;09w)?me;376>P6;109w);75;f8 0>32m1vqps4i060>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;3:T27=<5s-?397j4$4:7>a=zutw0e<:;:18'604=9:l0Z?;;:0yO600=9r\n>74233_;847a=#=1>1h6sr}|9j513=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9;4V01;>7}#=1?1=6*:8582?x{zu2c:8;4?:%066?74n2\9994>{M062?7|^l81>v*>bd8203=Q9:21>v*:8482?!3?<3;0qpsr;h373?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<1290;w)<:2;3a2>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;38 0>3281vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>2281/95:51:~yx=n91=vB=5782Sc52;q/=ok514c8R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd3;;0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{847>56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo:<4;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi8>850;194?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8485?!3?<3<0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4849;%7;0?050z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?el3:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=k26=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi8l;50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22h1/95:5a:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm4`:94?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:96>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f1?a290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c6b5?6=<3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`7e7<72>0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9K607<^;??6494l;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;5c:&6<15c83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`7e6<7210;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<^;??68851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0h7);74;a8yx{z3`;>n7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f1g329036=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{494l;|~y>o6=k0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950d<^8936?u+5979g>"20=0h7psr}:k21f<72-8>>7?:7?tVd096~"6jl0:9n5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c6b4?6==3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8482?!3?<3;0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3;0(86;:09~yx{5<0290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8484?!3?<3=0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0a=zutw0e<;m:18'604=9:l0D?;>;W060?7|D;?=6n6X>3981!3?=3n0(86;:e9~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a0a?=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd3l<0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{o47>56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo:ld;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi8i>50;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi8i?50;494?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39784$4:7>3=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8473=#=1>1:6sr}|9j51e=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;8;W306n5+5969g>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?h?4?:683>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39784$4:7>3=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;847f=#=1>1o6sr}|9j501=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;n;W306n5+5969g>{zut1b=8l50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950d<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?h>4?:0394?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39784$4:7>3=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8473=#=1>1:6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1:6*:8585?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8485?!3?<3<0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3<0(86;:79~yx{2wvqp5f14a94?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=92.>4949;|~y>o6=m0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950b<^8936?u+59792>"20=0=7psr}:k21`<72-8>>7?:7?tVd096~"6jl0:9h5Y12:96~"20<0h7);74;a8yx{z3`;>j7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=o1]=>652z&6<0{M062?7|^l81>v*>bd8207=Q9:21>v*:848`?!3?<3i0qpsr;h377?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>429U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<::;W306<5+59695>{zut1b=9850;&117<6;o1]>8:51zN113<6s_o96?u+1cg9510<^8936?u+59795>"20=0:7psr}:k202<72-8>>7?:7?tVd096~"6jl0:8:5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c6g0?6=980;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8473=#=1>1:6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1:6*:8585?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8485?!3?<3<0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3<0(86;:79~yx{2wvqp5f14`94?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=92.>4949;|~y>o6=j0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>4849;%7;0?0:7?tVd096~"6jl0:9i5Y12:96~"20<0=7);74;48yx{z3`;>i7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36a>P6;109w);75;a8 0>32j1vqps4i07e>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:f:T27=<5s-?397m4$4:7>f=zutw0e<:=:18'604=9:l0D?;>;W060?7|D;?=66X>3981!3?=3i0(86;:b9~yx{86=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73;2\:?54={%7;1?e<,<2?6n5r}|8m423290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:;;W306n5+5969g>{zut1b=9;50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9513<^8936?u+59795>"20=0:7psr}:k203<72-8>>7?:7?tVd096~"6jl0:8;5Y12:96~"20<0:7);74;38yx{z3`;?;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;373>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=im6=49:183!42:3;i:6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?70<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?1<,<2?6:5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;58 0>32>1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39794$4:7>2=zutw0e<;8:18'604=9:l0D?;>;W060?7|D;?=6;6X>3981!3?=3=0(86;:69~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a0g6=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd3j>0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{ij7>53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979e>"20=0j7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?nh4?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j54=;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm4c194?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn9l::180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn9l9:187>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<04=zutw0qo:m4;297?6=8r.99?4>b79K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?72<729q/>8<5689K610;6=4+240956`<^;??68851zTf6?4|,8hn6<:>;W306i5+5969`>{zut1b=9m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22m1/95:5d:~yx=n9<=1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74303_;847a=#=1>1h6sr}|9j50g=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?72<729q/>8<5689K610;6=4+240956`<^;??68851zTf6?4|,8hn6<:>;W306i5+5969`>{zut1b=9m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22m1/95:5d:~yx=n9<=1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74303_;847a=#=1>1h6sr}|9j50g=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?72<729q/>8<5689K610;6=4+240956`<^;??68851zTf6?4|,8hn6<:>;W306i5+5969`>{zut1b=9m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22m1/95:5d:~yx=n9<=1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74303_;847a=#=1>1h6sr}|9j50g=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;m;W306i5+5969`>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a0gd=83=1<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0o7);74;f8yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0{M062?7|^l81>v*>bd820f=Q9:21>v*:848g?!3?<3n0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3`;>n7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<04=zutw0qo:mc;291?6=8r.99?4>b79K610;6=4+240956`<^;??6494>;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8o9:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5c094?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:96>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{51;294~"5=;09945G2548k4d0290/>8<51c58?xd2ih0;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2ik0;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2ij0;6>4?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39784$4:7>3=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi9lj50;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi9lk50;194?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e50z&117<6j?1C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6g>4b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?l72?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397?4$4:7>4=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;8474=#=1>1=6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1=6*:8582?x{zu2c:9n4?:%066?74n2\9994>{M062?7|^l81>v*>bd821f=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8ln:185>5<7s-8>>7?3g83>!42:3;8j6X=5582I42>3;pZ494>;|~y>ocj3:1(?;=:e`8R73328qG>8851zT2e3<5s-;ii7jm;W306<5+59695>{zut1bhn4?:%066?bd3_8>874}Q9h<1>v*>bd8gg>P6;109w);75;18 0>32:1vqpB=5487S43;39pZh>52z&6<64>4>3g9'766==1?0q);i8;;8yS43;39pZh>52z&6<64>4>3g9'766==1>0q);i8;;8yS43;39pZh>52z&6<64>4kb:&075<20<1v(8h7:39~R4dc2;q]i=4={%7;7?bd3-98<7;75:'1c>=m2wvq6g=4383>!42:38?>6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?:=;%104?3?=2w/9k65d:~y>o5:l0;6)<:2;01a>P5==09wA<:6;3xR4g12;q/=ok523g8R45?2;q/95;5d:&6<174b3-98<7;75:'1c>=l2wvq6a>b683>!42:3;i;65rb4`7>5<4290;w)<:2;07b>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c7a1?6=93:16<729q/>8<5689K610;6=4+240956`<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a1g0=8391<7>t$371>4d13A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f0de29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e=j91<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th>o54?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j54=;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5cf94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn8li:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8m?:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8m>:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8m=:186>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5cg94?3=83:p(?;=:0`5?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{h6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?747=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;58 0>32>1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22>1/95:57:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;57:&6<1<03twvq6g>5683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0<03-?38794}|~?l72i3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?39794$4:7>2=zutw0e<;m:18'604=9:l0Z?;;:0yO600=9r\n>743e3_;8472=#=1>1;6sr}|9j50e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8m4V01;>7}#=1?1;6*:8584?x{zu2c:9i4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=94948;|~y>o6=l0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950c<^8936?u+5979`>"20=0o7psr}:k21c<72-8>>7?:7?tVd096~"6jl0:9k5Y12:96~"20<0o7);74;f8yx{z3`;?>7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<;1]=>652z&6<0{M062?7|^l81>v*>bd8206=Q9:21>v*:848g?!3?<3n0qpsr;h370?6=,;?96<=i;W060?7|D;?=63981!3?=3n0(86;:e9~yx{>6=4+240956`<^;??6494>;|~y>o6<>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>469U56>=:r.>484>;%7;0?701C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22>1/95:57:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;57:&6<1<03twvq6g>4b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<03-?38794}|~?l72?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?39794$4:7>2=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;8472=#=1>1;6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1;6*:8584?x{zu2c:9n4?:%066?74n2\9994>{M062?7|^l81>v*>bd821f=Q9:21>v*:8484?!3?<3=0qpsr;h36`?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5e9U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:9h5Y12:96~"20<0o7);74;f8yx{z3`;>j7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36b>P6;109w);75;f8 0>32m1vqps4i061>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;2:T27=<5s-?397j4$4:7>a=zutw0e<:<:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3n0(86;:e9~yx{?6=4+240956`<^;??6494>;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>479U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8m9:180>5<7s-8>>7?m6:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=kc;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;>7=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f6bc29086=4?{%066?43n2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<5=01C>984o0`4>5<#:<81=o94;|`0a5<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0a4<72=0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?e<,<2?6n5r}|8m42d290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a7`4=83<1<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0h7);74;a8yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>4849;%7;0?08<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>ji:187>5<7s-8>>7?m6:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f6e?29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e;jl1<7850;2x 735289o7E<;6:&2255$371>45a3_8>87?tL375>4}Q9h<1>v*>bd827c=Q9:21>v*:8482?!3?<3;0qpsr;hfa>5<#:<81ho5Y24695~J5=?0:w[?n6;0x 4db2mh0Z<=7:3y'1=3=92.>494>;|~y>ock3:1(?;=:ea8R7332;qG>8851zT2e3<5s-;ii7jl;W306>5+59697>{zuE8>97:tV360>6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<0=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<1=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3ni7)=<0;7;1>{#=o21>6sY1cf96~Pb838p(86<:ea8 6572<2>7p*:f98f?x{z3`8?>7>5$371>7253_8>874}Q9h<1>v*>bd8107=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;h01a?6=,;?96?h5Y12:96~"20<0o7);74;f8yx{K:7p*:f98g?x{z3f;i;7>5$371>4d032wi?n750;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>mn:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<51c48L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm3e294?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0`g<72:0;6=u+240961`<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306l5+5969e>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a7ag=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=:2w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{e;m;1<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:n96=4>:183!42:38>56F=479l5g1=83.99?4>b698yg5c<3:187>50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4l<0;6;4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<03=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?i850;594?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8485?!3?<3<0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3i0(86;:b9~yx{494>;|~y>{e;m=1<7950;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494l;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>4849;%7;0?08<512d8R73328qG>8851zTf6?4|,8hn6<;m;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a7a>=83=1<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0=7);74;48yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;a8 0>32j1vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm3e194?0=83:p(?;=:0`5?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{h6=4+240956`<^;??6494>;|~y>o6=h0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484>;%7;0?77>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848b?!3?<3k0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo;la;297?6=8r.99?4=4g9K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a1fd=83;1<7>t$371>73>3A8?:6a>b683>!42:3;i;65rb4ag>5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<3290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{h6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73k2\:?54={%7;1?e<,<2?6n5r}|8k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8j?:186>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f0b6290>6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{494>;|~y>{e=m81<7;50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?e<,<2?6n5r}|8m42d290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5e194?1=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484l;%7;0?e8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;a8 0>32j1vqps4i07a>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:b:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f0ed29036=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306<5+59695>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59795>"20=0:7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0:7);74;38yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=<;6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi8;j50;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn98l:185>5<7s-8>>7?3g83>!42:3;8j6X=5582I42>3;pZ494>;|~y>ocj3:1(?;=:e`8R73328qG>8851zT2e3<5s-;ii7jm;W306<5+59695>{zut1bhn4?:%066?bd3_8>874}Q9h<1>v*>bd8gg>P6;109w);75;18 0>32:1vqpB=5487S43;39pZh>52z&6<64>4>3g9'766==1?0q);i8;;8yS43;39pZh>52z&6<64>4>3g9'766==1>0q);i8;;8yS43;39pZh>52z&6<64>4kb:&075<20<1v(8h7:39~R4dc2;q]i=4={%7;7?bd3-98<7;75:'1c>=m2wvq6g=4383>!42:38?>6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?:=;%104?3?=2w/9k65d:~y>o5:l0;6)<:2;01a>P5==09wA<:6;3xR4g12;q/=ok523g8R45?2;q/95;5d:&6<174b3-98<7;75:'1c>=l2wvq6a>b683>!42:3;i;65rb542>5<4290;w)<:2;07b>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c656?6=93:16<729q/>8<5689K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<5689K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<5689K610;6=4+240956`<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?71<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;a8 0>32j1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f10?290=6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<13-?38784}|~?l7393:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8485?!3?<3<0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:9:5Y12:96~"20<0h7);74;a8yx{z3`;>m7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<04=zutw0qo:99;292?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;56:&6<1<13twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:9l5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c65e?6=?3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0=7);74;48yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0<13-?38784}|~?l72?3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848`?!3?<3i0qpsr;h36e?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:9o5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c657?6=03:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8482?!3?<3;0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3;0(86;:09~yx{494>;|~y>o6=j0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>484>;%7;0?77>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj{M062?7|^8k=6?u+1cg956`<^8936?u+59795>"20=0:7psr}:kgf?6=,;?96il4V377>4}K:<<1=vX>a781!7em3ni7[?<8;0x 0>2281/95:51:~yx=nlj0;6)<:2;f`?S42<38p@?;9:0yU5d0=:r.:nh4kc:T27=<5s-?397=4$4:7>6=zutF9984;{W077?5|^l:1>v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=3v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=2v*:828gg>"4;90>485rVd396~"20:0on6*<3186<0=z,7}Qm909w);73;f`?!5483?396s+5g:9a>{zu2c98?4?:%066?43:2\9994={M062?7|^8k=6?u+1cg9614<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;>97)=<0;7;1>{#=o21h6sr}:k16`<72-8>>7<=e:T111<5sE8>:7?tV0c5>7}#9ko1>?k4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2e:n:4?:%066?7e?21vn8kk:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo;je;295?6=8r.99?4=589K610ik4?:283>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm36f94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0<3<72:0;6=u+240961`<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306l5+5969e>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a7=3=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=:2w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{e;>o1<7=50;2x 7352;>m7E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=8f;295?6=8r.99?4=589K6105}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd40;0;6:4?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{h6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73k2\:?54={%7;1?e<,<2?6n5r}|8m430290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;a8 0>32j1vqps4i07b>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397m4$4:7>f=zutw0e<;m:18'604=9:l0Z?;;:0yO600=9r\n>743e3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?5=50;594?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:8n5Y12:96~"20<0h7);74;a8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0{M062?7|^l81>v*>bd821d=Q9:21>v*:848`?!3?<3i0qpsr;h36f?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5c9U56>=:r.>484l;%7;0?e50z&117<6j?1C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6g>4b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=77;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;>7=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f6>?29086=4?{%066?43n2B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8444?:083>5}#:<81>874H365?j7e?3:1(?;=:0`4?>{e;1h1<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494>;|~y>{e;1i1<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c1;`?6=;3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd40h0;694?:1y'604=9k<0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c1:e?6=>3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg5>93:1?7>50z&117<5984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?4<50;394?6|,;?96?;6;I072>i6j>0;6)<:2;3a3>=zj:3?6=4<:183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0=0<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?e<,<2?6n5r}|8k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>79:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c1:3?6=<3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:336=4;:183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0:n;5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0:7);74;38yx{z3`;>;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;38 0>3281vqps4i07b>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?j7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm44694?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`71d<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg22n3:1:7>50z&117<6;m1C>984$043>c=n9:l1<7*=53827c=Q:<>1=vB=5782S7f>38p(!42:3ni7[<:4;3xH73128q]=l852z&2f`:7?tV0c5>7}#9ko1hn5Y12:96~"20<087);74;18yx{K::3y'1=5=9:l0(>=?:4:6?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=9:l0(>=?:4:7?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=lk1/?>>55978y!3a0380q[?md;0xR`6=:r.>4>4kc:&075<20<1v(8h7:d9~yx=n:=81<7*=538107=Q:<>1>vB=5782S7f>38p(h5Y24696~J5=?0:w[?n6;0x 4db2;8n7[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<=e:&075<20<1v(8h7:e9~yx=h9k=1<7*=5382f2=>97>53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?9;4?:083>5}#:<81>874H365?j7e?3:1(?;=:0`4?>{e<<21<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494>;|~y>{e<<31<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494>;|~y>{e<<=1<7=50;2x 73528h=7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=?i6=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo::c;297?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;5d:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo::d;297?6=8r.99?4>b79K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c7:1?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f0??290=6=4?{%066?74l2B98;5+1729b>o6;o0;6)<:2;30b>P5==0:wA<:6;3xR4g12;q/=ok512d8R45?2;q/95;51:&6<1<63twvq6gkb;29 7352mh0Z?;;:0yO600=9r\:m;4={%3aa?be3_;8474=#=1>1=6sr}|9j`f<72-8>>7jl;W060?4|D;?=63981!3?=390(86;:29~yxJ5=<0?w[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55978y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55968y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6o5<;0;6)<:2;076>P5==09wA<:6;3xR4g12;q/=ok52508R45?2;q/95;5d:&6<17253-98<7;75:'1c>=l2wvq6g=2d83>!42:389i6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?i6j>0;6)<:2;3a3>=zj<2o6=4<:183!42:38?j6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{51;294~"5=;09945G2548k4d0290/>8<51c58?xd2190;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2180;684?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9K607<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?70<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;a8 0>32j1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397m4$4:7>f=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi94=50;594?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8485?!3?<3<0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4849;%7;0?0:7?tVd096~"6jl0:8n5Y12:96~"20<0=7);74;48yx{z3`;>;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;a8 0>32j1vqps4i07b>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397m4$4:7>f=zutw0e<;m:18'604=9:l0Z?;;:0yO600=9r\n>743e3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi94:50;594?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8485?!3?<3<0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4849;%7;0?08<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306n5+5969g>{zut1b=8o50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?j7[?<8;0x 0>22j1/95:5c:~yx=n91=vB=5782Sc52;q/=ok514`8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd20o0;6;4?:1y'604=9k<0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn879:186>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979`>"20=0o7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;5d:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0{M062?7|^l81>v*>bd8212=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>o;:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo=n3;292?6=8r.99?4>3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;08yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0=a<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm38d94?2=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?l>50;794?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{55;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>7j:187>5<7s-8>>7?m6:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f6g229096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e;hl1<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;c8 0>32h1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:kn6=49:183!42:3;8h6F=479'536=n2c:?k4?:%066?74n2\9994>{M062?7|^8k=6?u+1cg956`<^8936?u+59795>"20=0:7psr}:kgf?6=,;?96il4V377>4}K:<<1=vX>a781!7em3ni7[?<8;0x 0>2281/95:51:~yx=nlj0;6)<:2;f`?S42<38p@?;9:0yU5d0=:r.:nh4kc:T27=<5s-?397=4$4:7>6=zutF9984;{W077?5|^l:1>v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=3v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=2v*:828gg>"4;90>485rVd396~"20:0on6*<3186<0=z,7}Qm909w);73;f`?!5483?396s+5g:9a>{zu2c98?4?:%066?43:2\9994={M062?7|^8k=6?u+1cg9614<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;>97)=<0;7;1>{#=o21h6sr}:k16`<72-8>>7<=e:T111<5sE8>:7?tV0c5>7}#9ko1>?k4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2e:n:4?:%066?7e?21vn>o9:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=n7;295?6=8r.99?4=589K6105}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4ih0;6;4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0f=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?ll50;494?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848`?!3?<3i0qpsr;h36e?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484l;%7;0?e50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:8n5Y12:96~"20<0h7);74;a8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f6g?290>6=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a630=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5>h0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{7>53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979e>"20=0j7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9;<4?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j54=;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm27:94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn?86:18;>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=n9743f3_;847f=#=1>1o6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2c:9n4?:%066?74n2\9994>{M062?7|^l81>v*>bd821f=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{57;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=l2.>494k;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979`>"20=0o7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?72<729q/>8<5689K610;6=4+240956`<^;??68851zTf6?4|,8hn6<:>;W306i5+5969`>{zut1b=9m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22m1/95:5d:~yx=n9<=1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74303_;847a=#=1>1h6sr}|9j50g=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;m;W306i5+5969`>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a63b=8331<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8484?!3?<3=0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3`;>n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;f8 0>32m1vqps4i07`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:c:T27=<5s-?397j4$4:7>a=zutw0e<;k:18'604=9:l0D?;>;W060?7|D;?=6h6X>3981!3?=3n0(86;:e9~yx{5<>290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59793>"20=0<7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0{M062?7|^l81>v*>bd821d=Q9:21>v*:848g?!3?<3n0qpsr;h36f?6=,;?96<=i;W060?7|D;?=6n6X>3981!3?=3n0(86;:e9~yx{8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36`>P6;109w);75;f8 0>32m1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{494>;|~y>{e:>91<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9;o4?:283>5}#:<81>9h4H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847d=#=1>1m6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>:o50;494?6|,;?96<=k;I072>"6>90m7d?652z&6<0<63-?387?4}|~?lbe290/>8<5dc9U602=9rF99;4>{W3b2?4|,8hn6il4V01;>7}#=1?1=6*:8582?x{zu2coo7>5$371>ae<^;??6?uC24495~P6i?09w)?me;f`?S74038p(86::29'1=2=;2wvqA<:5;6xR7242:q]i=4={%7;7?bd3-98<7;75:Ua4<5s-?3?7?ae<,:9;686:;|&6b=97[<:4;0xH73128q]=l852z&2f`<5<;1]=>652z&6<07}#=191>9<4$213>0>23t.>j54k;|~?l45m3:1(?;=:30f?S42<38p@?;9:0yU5d0=:r.:nh4=2d9U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+591967c<,:9;686:;|&6b=2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<6290;w)<:2;06=>N510qo<87;293?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3i0(86;:b9~yx{494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?72<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0h7);74;a8yx{z3`;>m7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0{M062?7|^l81>v*>bd821g=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0:n;5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?<44?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo:?e;292?6=8r.99?4>3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;08yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`74g<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm41a94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm3b094?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0g2<72?0;6=u+240956b<@;>=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<53t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd4k:0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e;j>1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f6e229086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f15>29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e<=?1<7850;2x 735289o7E<;6:&2255$371>45a3_8>87?tL375>4}Q9h<1>v*>bd827c=Q9:21>v*:8482?!3?<3;0qpsr;hfa>5<#:<81ho5Y24695~J5=?0:w[?n6;0x 4db2mh0Z<=7:3y'1=3=92.>494>;|~y>ock3:1(?;=:ea8R7332;qG>8851zT2e3<5s-;ii7jl;W306>5+59697>{zuE8>97:tV360>6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<0=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<1=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3ni7)=<0;7;1>{#=o21>6sY1cf96~Pb838p(86<:ea8 6572<2>7p*:f98f?x{z3`8?>7>5$371>7253_8>874}Q9h<1>v*>bd8107=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;h01a?6=,;?96?h5Y12:96~"20<0o7);74;f8yx{K:7p*:f98g?x{z3f;i;7>5$371>4d032wi8>o50;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c60f?6=93:16<729q/>8<5689K610;6=4+240956`<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;a8 0>32j1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=9m6=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=>;6=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=>:6=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0=7);74;48yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo:;2;297?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9K607<^;??6494>;|~y>{e<=91<7:50;2x 7352?30D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4849;%7;0?0:7?tVd096~"6jl0:8<5Y12:96~"20<0=7);74;48yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<04=zutw0qo:b79K610;6=4+240956`<^;??6494>;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;n;W306<5+59695>{zut1b=8l50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950d<^8936?u+59795>"20=0:7psr}:k21f<72-8>>7?:7?tVd096~"6jl0:9n5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c355?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f40d290=6=4?{%066?74l2B98;5+1729b>o6;o0;6)<:2;30b>P5==0:wA<:6;3xR4g12;q/=ok512d8R45?2;q/95;51:&6<1<63twvq6gkb;29 7352mh0Z?;;:0yO600=9r\:m;4={%3aa?be3_;8474=#=1>1=6sr}|9j`f<72-8>>7jl;W060?4|D;?=63981!3?=390(86;:29~yxJ5=<0?w[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55978y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55968y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6o5<;0;6)<:2;076>P5==09wA<:6;3xR4g12;q/=ok52508R45?2;q/95;5d:&6<17253-98<7;75:'1c>=l2wvq6g=2d83>!42:389i6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?i6j>0;6)<:2;3a3>=zj8<96=4<:183!42:38?j6F=479j516=83.99?4>3g9K607<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76283>4<729q/>8<524;8L7213f;i;7>5$371>4d032wi=;;50;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=;850;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<3290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:k20f<72-8>>7?1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd6>10;684?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<13-?38784}|~?l72?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f40>290=6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;8473=#=1>1:6sr}|9j501=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1o6*:858`?x{zu2c:9l4?:%066?74n2\9994>{M062?7|^l81>v*>bd821d=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3<0(86;:79~yx{494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76583>2<729q/>8<51c48L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;51:&6<1<63twvq6g>5683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0<63-?387?4}|~?l72i3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397?4$4:7>4=zutw0e<;m:18'604=9:l0Z?;;:0yO600=9r\n>743e3_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=;j50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77883>6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22h1/95:5a:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm16:94?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:96>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f40a290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c345?6=;3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c346?6==3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{58;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{2wvqp5f14c94?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=92.>4949;|~y>o6=k0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5c9U56>=:r.>484l;%7;0?e8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn<9;:18;>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+59792>"20=0=7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;56:&6<1<13twvq6g>5c83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2c:9n4?:%066?74n2\9994>{M062?7|^l81>v*>bd821f=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{59;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{2wvqp5f14c94?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=92.>4949;|~y>o6=k0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5c9U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<;k;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a520=8331<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0=7);74;48yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;48 0>32?1vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22j1/95:5c:~yx=n91=vB=5782Sc52;q/=ok514a8R45?2;q/95;5c:&6<15e83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=m1]=>652z&6<04=zutw0qo?80;293?6=8r.99?4>b79K610;6=4+240956`<^;??6494>;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;n;W306<5+59695>{zut1b=8l50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950d<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8n;4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=mb;292?6=8r.99?4>3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;08yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0f=<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm3c;94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22?1/95:56:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm59494?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`6=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<53t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd20>0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn867:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c7f1?6=>3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg3b93:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2m;0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?96t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0h7);74;a8yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;a8 0>32j1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi9hl50;494?6|,;?96<=k;I072>"6>90m7d?652z&6<0<63-?387?4}|~?lbe290/>8<5dc9U602=9rF99;4>{W3b2?4|,8hn6il4V01;>7}#=1?1=6*:8582?x{zu2coo7>5$371>ae<^;??6?uC24495~P6i?09w)?me;f`?S74038p(86::29'1=2=;2wvqA<:5;6xR7242:q]i=4={%7;7?bd3-98<7;75:Ua4<5s-?3?7?ae<,:9;686:;|&6b=97[<:4;0xH73128q]=l852z&2f`<5<;1]=>652z&6<07}#=191>9<4$213>0>23t.>j54k;|~?l45m3:1(?;=:30f?S42<38p@?;9:0yU5d0=:r.:nh4=2d9U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+591967c<,:9;686:;|&6b=2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<6290;w)<:2;06=>N510qo;j9;297?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo<:a;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;>7=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f73e29086=4?{%066?43n2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<5=01C>984o0`4>5<#:<81=o94;|`11a<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8473=#=1>1:6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1:6*:8585?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`05a<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg55:3:1:7>50z&117<6;m1C>984$043>c=n9:l1<7*=53827c=Q:<>1=vB=5782S7f>38p(!42:3ni7[<:4;3xH73128q]=l852z&2f`:7?tV0c5>7}#9ko1hn5Y12:96~"20<087);74;18yx{K::3y'1=5=9:l0(>=?:4:6?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=9:l0(>=?:4:7?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=lk1/?>>55978y!3a0380q[?md;0xR`6=:r.>4>4kc:&075<20<1v(8h7:d9~yx=n:=81<7*=538107=Q:<>1>vB=5782S7f>38p(h5Y24696~J5=?0:w[?n6;0x 4db2;8n7[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<=e:&075<20<1v(8h7:e9~yx=h9k=1<7*=5382f2=53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8=k4?:083>5}#:<81>874H365?j7e?3:1(?;=:0`4?>{e;;:1<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<^;??62wvqp5`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e;?21<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8:i4?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j54=;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm37c94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn>8m:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;56:&6<1<13twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4>l0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo=9f;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi?:?50;194?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8485?!3?<3<0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4849;%7;0?07>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:h>6=49:183!42:3;8h6F=479'536=n2c:?k4?:%066?74n2\9994>{M062?7|^8k=6?u+1cg956`<^8936?u+59795>"20=0:7psr}:kgf?6=,;?96il4V377>4}K:<<1=vX>a781!7em3ni7[?<8;0x 0>2281/95:51:~yx=nlj0;6)<:2;f`?S42<38p@?;9:0yU5d0=:r.:nh4kc:T27=<5s-?397=4$4:7>6=zutF9984;{W077?5|^l:1>v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=3v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=2v*:828gg>"4;90>485rVd396~"20:0on6*<3186<0=z,7}Qm909w);73;f`?!5483?396s+5g:9a>{zu2c98?4?:%066?43:2\9994={M062?7|^8k=6?u+1cg9614<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;>97)=<0;7;1>{#=o21h6sr}:k16`<72-8>>7<=e:T111<5sE8>:7?tV0c5>7}#9ko1>?k4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2e:n:4?:%066?7e?21vn>l>:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=m2;295?6=8r.99?4=589K6104?:683>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;a8 0>32j1vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm3ca94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0g4<72?0;6=u+240956b<@;>=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<53t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd4jm0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e;ko1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f6da29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f6`629096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e;o<1<7850;2x 735289o7E<;6:&2255$371>45a3_8>87?tL375>4}Q9h<1>v*>bd827c=Q9:21>v*:8482?!3?<3;0qpsr;hfa>5<#:<81ho5Y24695~J5=?0:w[?n6;0x 4db2mh0Z<=7:3y'1=3=92.>494>;|~y>ock3:1(?;=:ea8R7332;qG>8851zT2e3<5s-;ii7jl;W306>5+59697>{zuE8>97:tV360>6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<0=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<1=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3ni7)=<0;7;1>{#=o21>6sY1cf96~Pb838p(86<:ea8 6572<2>7p*:f98f?x{z3`8?>7>5$371>7253_8>874}Q9h<1>v*>bd8107=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;h01a?6=,;?96?h5Y12:96~"20<0o7);74;f8yx{K:7p*:f98g?x{z3f;i;7>5$371>4d032wi?k<50;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>h<:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=53;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??62wvqp5f15394?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:>;W306;5+59692>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a7c1=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4nj0;6;4?:1y'604=9:n0D?:9;%354?`P6;109w);75;38 0>3281vqps4ie`94?"5=;0on6X=5582I42>3;pZ652z&6<0<63-?387?4}|~?lbd290/>8<5db9U602=:rF99;4>{W3b2?4|,8hn6im4V01;>7}#=1?1?6*:8580?x{zD;?>69uY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>4289m7)=<0;7;1>{#=o2156sY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>4289m7)=<0;7;0>{#=o2156sY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>42mh0(>=?:4:6?x"2n1097pX>be81Sc72;q/95=5db9'766==1?0q);i8;g8yx{96=4+2409614<^;??6?uC24495~P6i?09w)?me;076>P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5<;1/?>>55978y!3a03n0qps4i30f>5<#:<81>?k4V377>7}K:<<1=vX>a781!7em389i6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=2d9'766==1?0q);i8;f8yx{5}#:<81>9h4H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?k750;394?6|,;?96?;6;I072>i6j>0;6)<:2;3a3>=zj:lj6=4<:183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;56:&6<1<13twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1:6*:8585?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`6e?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f37=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=:2w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{e=k0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8m50;394?6|,;?96?;6;I072>i6j>0;6)<:2;3a3>=zj3981!3?=3i0(86;:b9~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?e<,<2?6n5r}|8k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8h50;694?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:8n5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c7g>5<4290;w)<:2;3a2>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c41>5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a22<72?0;6=u+240956b<@;>=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<53t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd1;3:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd1<3:1=7>50z&117<5=01C>984o0`4>5<#:<81=o94;|`51?6=;3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd60:0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo?74;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?78483>4<729q/>8<524;8L7213f;i;7>5$371>4d032wi=5950;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=5650;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=5750;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm19a94?3=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39784$4:7>3=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn<6k:186>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4849;%7;0?0:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f4>129036=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306<5+59695>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59795>"20=0:7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0:7);74;38yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;<;6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>;;50;494?6|,;?96<=k;I072>"6>90m7d?652z&6<0<63-?387?4}|~?lbe290/>8<5dc9U602=9rF99;4>{W3b2?4|,8hn6il4V01;>7}#=1?1=6*:8582?x{zu2coo7>5$371>ae<^;??6?uC24495~P6i?09w)?me;f`?S74038p(86::29'1=2=;2wvqA<:5;6xR7242:q]i=4={%7;7?bd3-98<7;75:Ua4<5s-?3?7?ae<,:9;686:;|&6b=97[<:4;0xH73128q]=l852z&2f`<5<;1]=>652z&6<07}#=191>9<4$213>0>23t.>j54k;|~?l45m3:1(?;=:30f?S42<38p@?;9:0yU5d0=:r.:nh4=2d9U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+591967c<,:9;686:;|&6b=2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<6290;w)<:2;06=>N510qo<93;297?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo=<1;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;>7=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f65529086=4?{%066?43n2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<5=01C>984o0`4>5<#:<81=o94;|`071<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?0<,<2?6;5r}|8k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>;l:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm37394?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:96>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f63b290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c16b?6=;3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22?1/95:56:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;8473=#=1>1:6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?;<50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73<729q/>8<512f8L7213-;=<7h4i01e>5<#:<81=>h4V377>4}K:<<1=vX>a781!7em3;8j6X>3981!3?=3;0(86;:09~yx{P5==0:wA<:6;3xR4g12;q/=ok5dc9U56>=:r.>484>;%7;0?78:52zN113<6s_;j:7ae<^8936?u+59797>"20=087psrL376>1}Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?396s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?386s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:e`8 6572<2>7p*:f981?xP6jm09w[k?:3y'1=5=lj1/?>>55978y!3a03o0qps4i361>5<#:<81>9<4V377>7}K:<<1=vX>a781!7em38?>6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=439'766==1?0q);i8;f8yx{P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5:l1/?>>55978y!3a03n0qps4o0`4>5<#:<81=o94;|`026<72:0;6=u+240961`<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a732=83;1<7>t$371>73>3A8?:6a>b683>!42:3;i;65rb246>5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=>2.>4949;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59792>"20=0=7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8;94?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=8c;292?6=8r.99?4>3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;08yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`033<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm36:94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f61>29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22j1/95:5c:~yx=n9<=1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74303_;847f=#=1>1o6sr}|9j50g=83.99?4>3g9K607<^;??6494>;|~y>{e;>=1<7:50;2x 73528h=7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm3d794?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0ad<72?0;6=u+240956b<@;>=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<53t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd4m?0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e;l=1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f6c?29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=>2.>4949;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c1e4?6=>3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg5bk3:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4mm0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?96t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0=7);74;48yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<13-?38784}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=id;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a05>=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=:2w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{e;oo1<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:lm6=4>:183!42:38>56F=479l5g1=83.99?4>b698yg2783:187>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:k20f<72-8>>7?1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd38;0;684?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397j4$4:7>a=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3n0(86;:e9~yx{h6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73k2\:?54={%7;1?b<,<2?6i5r}|8m430290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;f8 0>32m1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=:86=49:183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=?2.>4948;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979`>"20=0o7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c630?6=03:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59793>"20=0<7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;57:&6<1<03twvq6g>4b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1;6*:8584?x{zu2c:9:4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9<=0Z<=7:3y'1=3=l2.>494k;|~y>o6=h0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979`>"20=0o7psr}:k21g<72-8>>7?1=vB=5782Sc52;q/=ok514`8R45?2;q/95;5d:&6<15b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=j1]=>652z&6<04=zutw0qo:?5;291=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=l2.>494k;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3`;>n7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0a=zutw0c74d03_;8474=#=1>1=6sr}|9~f161290>6=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a163=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2;h0;6;4?:1y'604=9:n0D?:9;%354?`P6;109w);75;38 0>3281vqps4ie`94?"5=;0on6X=5582I42>3;pZ652z&6<0<63-?387?4}|~?lbd290/>8<5db9U602=:rF99;4>{W3b2?4|,8hn6im4V01;>7}#=1?1?6*:8580?x{zD;?>69uY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>4289m7)=<0;7;1>{#=o2156sY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>4289m7)=<0;7;0>{#=o2156sY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>42mh0(>=?:4:6?x"2n1097pX>be81Sc72;q/95=5db9'766==1?0q);i8;g8yx{96=4+2409614<^;??6?uC24495~P6i?09w)?me;076>P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5<;1/?>>55978y!3a03n0qps4i30f>5<#:<81>?k4V377>7}K:<<1=vX>a781!7em389i6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=2d9'766==1?0q);i8;f8yx{?;4?:283>5}#:<81>9h4H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi9>950;394?6|,;?96?;6;I072>i6j>0;6)<:2;3a3>=zj<936=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0h7);74;a8yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo;69;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;>7=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f0?f29086=4?{%066?43n2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?7j3:1=7>50z&117<5=01C>984o0`4>5<#:<81=o94;|`6=a<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`6=`<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`6=c<72<0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th>m=4?:683>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306;5+59692>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>742d3_;847f=#=1>1o6sr}|9j501=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;n;W306n5+5969g>{zut1b=8l50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5`394?1=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484l;%7;0?e8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;a8 0>32j1vqps4i07a>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:b:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f0g5290<6=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5c:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1o6*:858`?x{zu2c:9o4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7<<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?0<,<2?6;5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;48 0>32?1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397m4$4:7>f=zutw0e<;8:18'604=9:l0D?;>;W060?7|D;?=6;6X>3981!3?=3i0(86;:b9~yx{8<512d8R73328qG>8851zTf6?4|,8hn6<;m;W306n5+5969g>{zut1b=8m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?h7[?<8;0x 0>22j1/95:5c:~yx=n9743c3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi94m50;:94?6|,;?96o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306<5+59695>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+59795>"20=0:7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0:7);74;38yx{z3`;>n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;38 0>3281vqps4i07`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?h7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5g094?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`6b2<72?0;6=u+240956b<@;>=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<53t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd2n:0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e=o>1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f0`229086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f4b?29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e9mo1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0>7);74;78yx{z3th:h44?:283>5}#:<81>9h4H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=io50;394?6|,;?96?;6;I072>i6j>0;6)<:2;3a3>=zj8nh6=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0=7);74;48yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo?kd;297?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<13-?38784}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo?kb;297?6=8r.99?4>b79K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7dg83>7<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c3f1?6=:3:1N5h50;&117<6;o10c74d03_;8470=#=1>196sr}|9~f4c729086=4?{%066?43n2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<5=01C>984o0`4>5<#:<81=o94;|`2a6<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8473=#=1>1:6sr}|9j517=83.99?4>3g9K607<^;??6494>;|~y>{e9l>1<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??62wvqp5`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e9l81<7=50;2x 73528h=7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8o=6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=hm50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7ed83>7<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;78 0>32<1vqps4}c3f3?6=;3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`2a=<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm1dc94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f4ce29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<03=zutw0c74d03_;8474=#=1>1=6sr}|9~f4c>29086=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=l2.>494k;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?17>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8l>6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?196*:8586?x{zu2wi=k>50;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7f583>6<729q/>8<5689K610;6=4+240956`<^;??62.>4949;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7f383>6<729q/>8<51c48L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm1g494?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`2bd<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg4793:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22<1/95:55:~yx=zj8l<6=4<:183!42:38?j6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{51;294~"5=;09945G2548k4d0290/>8<51c58?xd6n00;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd6nk0;684?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22m1/95:5d:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5d:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0a=zutw0c74d03_;8474=#=1>1=6sr}|9~f4`d29026=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=?2.>4948;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59793>"20=0<7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2\9994>{M062?7|^l81>v*>bd821g=Q9:21>v*:848g?!3?<3n0qpsr;h36g?6=,;?96<=i;W060?7|D;?=6o6X>3981!3?=3n0(86;:e9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59793>"20=0<7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;57:&6<1<03twvq6g>4b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1;6*:8584?x{zu2c:9:4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9<=0Z<=7:3y'1=3=l2.>494k;|~y>o6=h0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979`>"20=0o7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0o7);74;f8yx{z3`;>o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=j1]=>652z&6<0a=zutw0c74d03_;8474=#=1>1=6sr}|9~f4`b29026=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=?2.>4948;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9:5Y12:96~"20<0o7);74;f8yx{z3`;>m7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0a=zutw0e<;l:18'604=9:l0Z?;;:0yO600=9r\n>743d3_;847a=#=1>1h6sr}|9j50b=83.99?4>3g9K607<^;??6494>;|~y>{e9ol1<7750;2x 7352?30D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8<5Y12:96~"20<0<7);74;58yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;f8 0>32m1vqps4i074>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397j4$4:7>a=zutw0e<;n:18'604=9:l0D?;>;W060?7|D;?=6m6X>3981!3?=3n0(86;:e9~yx{8851zTf6?4|,8hn6<;l;W306i5+5969`>{zut1b=8j50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950b<^8936?u+5979`>"20=0o7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9<=4?:783>5}#:<81=o84H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1=6*:8582?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8482?!3?<3;0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3;0(86;:09~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a65>=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<23-?387;4}|~?xd58:0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e:9>1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f76129086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<13-?38784}|~?l7393:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8485?!3?<3<0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0:n;5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9<44?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59791>"20=0>7psr}:a65g=8391<7>t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f76e290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c03`?6=;3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c03a?6=;3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd58j0;6>4?:1y'604=9k<0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e:8:1<7:50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?b<,<2?6i5r}|8m42d290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306i5+5969`>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a647=83=1<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?b<,<2?6i5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;f8 0>32m1vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22m1/95:5d:~yx=n9<=1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2\9994>{M062?7|^l81>v*>bd821g=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{7>58;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??6494k;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979`>"20=0o7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0{M062?7|^l81>v*>bd821g=Q9:21>v*:848g?!3?<3n0qpsr;h36g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>484k;%7;0?b50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=l2.>494k;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0a=zutw0e<;m:18'604=9:l0D?;>;W060?7|D;?=6n6X>3981!3?=3n0(86;:e9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn??;:186>5<7s-8>>7?m6:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397?4$4:7>4=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi><850;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;78 0>32<1vqps4}c023?6=;3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`15=<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm20c94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm20`94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f77>29086=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?77>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;8=6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?196*:8586?x{zu2wi>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn??i:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8485?!3?<3<0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{7>53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8485?!3?<3<0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<5689K610;6=4+240956`<^;??62wvqp5f15394?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a673=83<1<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?0<,<2?6;5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;48 0>32?1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39784$4:7>3=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;847f=#=1>1o6sr}|9j50g=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`165<72?0;6=u+24095g0<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59795>"20=0:7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0:7);74;38yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;8<6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>?j50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484:;%7;0?36<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm23;94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn?5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4849;%7;0?08<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn?5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4849;%7;0?0:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c01e?6=;3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`7b2<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg2aj3:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22<1/95:55:~yx=zj=l36=4<:183!42:38?j6F=479j516=83.99?4>3g9K607<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi8ko50;194?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a140=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd29m0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3?0(86;:49~yx{53;294~"5=;098k5G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm50694?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn8?::180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8?8:184>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?18<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;f8 0>32m1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22m1/95:5d:~yx=n9743f3_;847a=#=1>1h6sr}|9j50d=83.99?4>3g9K607<^;??6494>;|~y>{e=821<7950;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{:6=4+240956`<^;??68851zTf6?4|,8hn6<:l;W306:5+59693>{zut1b=8950;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22m1/95:5d:~yx=n9743f3_;847a=#=1>1h6sr}|9j50d=83.99?4>3g9K607<^;??6494>;|~y>{e=831<7950;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{:6=4+240956`<^;??68851zTf6?4|,8hn6<:l;W306i5+5969`>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979`>"20=0o7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;5d:&6<15c83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1h6*:858g?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`65d<728;1<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?1<,<2?6:5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306:5+59693>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59793>"20=0<7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0<7);74;58yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;58 0>32>1vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514a8R45?2;q/95;57:&6<1<03twvq6g>5e83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8j4V01;>7}#=1?1;6*:8584?x{zu2c:9h4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=94948;|~y>o6=o0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950`<^8936?u+5979`>"20=0o7psr}:k207<72-8>>7?1=vB=5782Sc52;q/=ok51508R45?2;q/95;5d:&6<14283>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9=4V01;>7}#=1?1h6*:858g?x{zu2c:894?:%066?74n2\9994>{M062?7|^l81>v*>bd8201=Q9:21>v*:848g?!3?<3n0qpsr;h371?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{=6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?747=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;58 0>32>1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22>1/95:57:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;57:&6<1<03twvq6g>5683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0<03-?38794}|~?l72i3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?39794$4:7>2=zutw0e<;m:18'604=9:l0Z?;;:0yO600=9r\n>743e3_;8472=#=1>1;6sr}|9j50e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8m4V01;>7}#=1?1;6*:8584?x{zu2c:9i4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=94948;|~y>o6=l0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950c<^8936?u+59793>"20=0<7psr}:k21c<72-8>>7?1=vB=5782Sc52;q/=ok514d8R45?2;q/95;5d:&6<14383>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9<4V01;>7}#=1?1h6*:858g?x{zu2c:8>4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=90Z<=7:3y'1=3=l2.>494k;|~y>o6<=0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>459U56>=:r.>484k;%7;0?b8<512d8R73328qG>8851zTf6?4|,8hn6<:9;W306<5+59695>{zut1b=9950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9511<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th>=n4?:783>5}#:<81=o84H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1=6*:8582?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8482?!3?<3;0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3;0(86;:09~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a106=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2=<0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3?0(86;:49~yx{53;294~"5=;098k5G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm55g94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn8:i:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;56:&6<1<13twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2=80;63981!3?=3=0(86;:69~yx{:6=4+240956`<^;??64948;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;m;W306:5+59693>{zut1b=8m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950e<^8936?u+59793>"20=0<7psr}:k21a<72-8>>7?1=vB=5782Sc52;q/=ok514f8R45?2;q/95;57:&6<1<03twvq6g>5d83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8k4V01;>7}#=1?1h6*:858g?x{zu2c:9k4?:%066?74n2\9994>{M062?7|^l81>v*>bd821c=Q9:21>v*:848g?!3?<3n0qpsr;h376?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>439U56>=:r.>484k;%7;0?b8<512d8R73328qG>8851zTf6?4|,8hn6<:;;W306<5+59695>{zut1b=9;50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9513<^8936?u+59795>"20=0:7psr}:k203<72-8>>7?:7?tVd096~"6jl0:8;5Y12:96~"20<0:7);74;38yx{z3`;?;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;373>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj1;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8484?!3?<3=0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{4948;|~y>o6=k0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5c9U56>=:r.>4848;%7;0?18<512d8L7363_8>87?tL375>4}Qm;09w)?me;36`>P6;109w);75;58 0>32>1vqps4i07f>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:e:T27=<5s-?39794$4:7>2=zutw0e<;i:18'604=9:l0D?;>;W060?7|D;?=6j6X>3981!3?=3n0(86;:e9~yx{96=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73:2\:?54={%7;1?b<,<2?6i5r}|8m424290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:<;W306i5+5969`>{zut1b=9:50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9512<^8936?u+59795>"20=0:7psr}:k200<72-8>>7?:7?tVd096~"6jl0:885Y12:96~"20<0:7);74;38yx{z3`;?:7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;372>P6;109w);75;38 0>3281vqps4i064>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28><7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm54194?76290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8484?!3?<3=0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{h6=4+240956`<^;??64948;|~y>o6=h0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;l;W306:5+59693>{zut1b=8j50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?o7[?<8;0x 0>22>1/95:57:~yx=n9743b3_;847a=#=1>1h6sr}|9j50`=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8h4V01;>7}#=1?1h6*:858g?x{zu2c:8?4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=80Z<=7:3y'1=3=l2.>494k;|~y>o6<:0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>429U56>=:r.>484k;%7;0?b8<512d8R73328qG>8851zTf6?4|,8hn6<::;W306<5+59695>{zut1b=9850;&117<6;o1]>8:51zN113<6s_o96?u+1cg9510<^8936?u+59795>"20=0:7psr}:k202<72-8>>7?:7?tVd096~"6jl0:8:5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c760?6=<3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn89l:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;55:&6<1<23twvq6sm56;94?5=83:p(?;=:36e?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<5=01C>984o0`4>5<#:<81=o94;|`63g<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<^;??6494>;|~y>{e<>:1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?;94?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo:86;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<4290;w)<:2;07b>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c646?6=93:16<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;a8 0>32j1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj==>6=49:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0o7);74;f8yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;f8 0>32m1vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22>1/95:57:~yx=n9<=1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<04=zutw0qo:l2;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a0f?=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=:2w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{em7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=i?6=4>:183!42:38>56F=479l5g1=83.99?4>b698yg2d=3:1?7>50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm4b594?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<03-?38794}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39794$4:7>2=zutw0c74d03_;8474=#=1>1=6sr}|9~f1ba29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?il4?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j54=;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm4d394?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn9k=:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn9k;:18:>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484k;%7;0?b8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306i5+5969`>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+59793>"20=0<7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0<7);74;58yx{z3`;>n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;f8 0>32m1vqps4i07`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?h7[?<8;0x 0>22m1/95:5d:~yx=n91=vB=5782Sc52;q/=ok514f8R45?2;q/95;5d:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd3m<0;644?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22m1/95:5d:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5d:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<02=zutw0e<;n:18'604=9:l0D?;>;W060?7|D;?=6m6X>3981!3?=3=0(86;:69~yx{8<512d8R73328qG>8851zTf6?4|,8hn6<;l;W306i5+5969`>{zut1b=8j50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950b<^8936?u+5979`>"20=0o7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?i;4?:883>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306i5+5969`>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979`>"20=0o7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;58 0>32>1vqps4i07b>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?39794$4:7>2=zutw0e<;m:18'604=9:l0D?;>;W060?7|D;?=6n6X>3981!3?=3n0(86;:e9~yx{494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7<<729q/>8<5689K610;6=4+240956`<^;??6494k;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484k;%7;0?b8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;58 0>32>1vqps4i07a>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:b:T27=<5s-?397j4$4:7>a=zutw0e<;l:18'604=9:l0Z?;;:0yO600=9r\n>743d3_;847a=#=1>1h6sr}|9j50b=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8j4V01;>7}#=1?1h6*:858g?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`7a=<72<0;6=u+24095g0<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59795>"20=0:7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c6f`?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f1`329096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3==2.>494:;|~y>{em7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=om6=4>:183!42:38>56F=479l5g1=83.99?4>b698yg2a93:187>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=>2.>4949;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59792>"20=0=7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0=7);74;48yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c6e6?6==3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0=7);74;48yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0<13-?38784}|~?l72?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?39784$4:7>3=zutw0c74d03_;8474=#=1>1=6sr}|9~f1`4290>6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<13-?38784}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39784$4:7>3=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3<0(86;:79~yx{2wvqp5`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm1``94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`2ef<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg7fl3:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8h:6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?196*:8586?x{zu2wi=lk50;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=51;294~"5=;09945G2548k4d0290/>8<51c58?xd6j;0;6>4?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397:4$4:7>1=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3>0(86;:59~yx{5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`bN5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~fd`=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<23-?387;4}|~?xdf=3:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xdf>3:1=7>50z&117<5=01C>984o0`4>5<#:<81=o94;|`b3?6=;3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}cc:>5<1290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8484?!3?<3=0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0{M062?7|^l81>v*>bd821d=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0{M062?7|^l81>v*>bd820f=Q9:21>v*:848g?!3?<3n0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}cca>5<1290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8484?!3?<3=0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0{M062?7|^l81>v*>bd821d=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=?2.>4948;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979`>"20=0o7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;5d:&6<15c83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0{M062?7|^l81>v*>bd821f=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848g?!3?<3n0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3`;>n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;f8 0>32m1vqps4i07`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:c:T27=<5s-?397j4$4:7>a=zutw0c74d03_;8474=#=1>1=6sr}|9~fdc=83<1<7>t$371>4d13A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;8474=#=1>1=6sr}|9j501=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1=6*:8582?x{zu2c:9l4?:%066?74n2\9994>{M062?7|^l81>v*>bd821d=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2win:4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397;4$4:7>0=zutw0qol;:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qol::182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0=7);74;48yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;48 0>32?1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj==26=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi:54?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo:70;292?6=8r.99?4>3e9K610<,8<;6<>4i01e>5<#:<81=>h4V377>4}K:<<1=vX>a781!7em3;8j6X>3981!3?=3;0(86;:09~yx{P5==0:wA<:6;3xR4g12;q/=ok5dc9U56>=:r.>484>;%7;0?78:52zN113<6s_;j:7ae<^8936?u+59797>"20=087psrL376>1}Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?396s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?386s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:e`8 6572<2>7p*:f981?xP6jm09w[k?:3y'1=5=lj1/?>>55978y!3a03o0qps4i361>5<#:<81>9<4V377>7}K:<<1=vX>a781!7em38?>6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=439'766==1?0q);i8;f8yx{P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5:l1/?>>55978y!3a03n0qps4o0`4>5<#:<81=o94;|`73d<72:0;6=u+240961`<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj==i6=4>:183!42:38>56F=479l5g1=83.99?4>b698yg20l3:1?7>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm46a94?5=83:p(?;=:0`5?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:ag7<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg2>i3:1:7>50z&117<6;m1C>984$043>46P6;109w);75;38 0>3281vqps4ie`94?"5=;0on6X=5582I42>3;pZ652z&6<0<63-?387?4}|~?lbd290/>8<5db9U602=:rF99;4>{W3b2?4|,8hn6im4V01;>7}#=1?1?6*:8580?x{zD;?>69uY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>4289m7)=<0;7;1>{#=o2156sY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>4289m7)=<0;7;0>{#=o2156sY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>42mh0(>=?:4:6?x"2n1097pX>be81Sc72;q/95=5db9'766==1?0q);i8;g8yx{96=4+2409614<^;??6?uC24495~P6i?09w)?me;076>P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5<;1/?>>55978y!3a03n0qps4i30f>5<#:<81>?k4V377>7}K:<<1=vX>a781!7em389i6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=2d9'766==1?0q);i8;f8yx{5}#:<81>9h4H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<6290;w)<:2;06=>N510qo:67;290?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?71<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?5;4?:283>5}#:<81=o84H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi9?>50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;78 0>32<1vqps4}c715?6=;3:1;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e=;81<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f044290>6=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=>2.>4949;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59792>"20=0=7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c713?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f04e29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3==2.>494:;|~y>{e=;21<7=50;2x 7352;>m7E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo;=9;295?6=8r.99?4=589K610>l4?:483>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39784$4:7>3=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3<0(86;:79~yx{494>;|~y>{e=:n1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th>8>4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo;;9;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<4290;w)<:2;07b>N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2;o0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?96t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a114=83?1<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0=7);74;48yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;48 0>32?1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39784$4:7>3=zutw0e<;8:18'604=9:l0D?;>;W060?7|D;?=6;6X>3981!3?=3<0(86;:79~yx{5<4290;w)<:2;3a2>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c770?6=<3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22>1/95:57:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;8472=#=1>1;6sr}|9j51e=83.99?4>3g9K607<^;??6494>;|~y>{e==?1<7:50;2x 7352?30D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8<5Y12:96~"20<0<7);74;58yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0<03-?38794}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo;;6;290?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;8472=#=1>1;6sr}|9j517=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:l;W306:5+59693>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a111=83>1<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39794$4:7>2=zutw0c74d03_;8474=#=1>1=6sr}|9~f02?290>6=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a`7<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8ygb129096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3==2.>494:;|~y>{el:0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{el=0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?96=7b?m7;29 73528h<76smce83>7<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}cf2>5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59791>"20=0>7psr}:ag`<72:0;6=u+240961`<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:agc<7280;6=u+240960?<@;>=7b?m7;29 73528h<76smd183>4<729q/>8<51c78L7213f;i;7>5$371>4d032wi9?k50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;78 0>32<1vqps4}c71b?6=;3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`675<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm52394?7=83:p(?;=:0`6?M43>2e:n:4?:%066?7e?21vnh;50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?71=vB=5782Sc52;q/=ok51528R45?2;q/95;5b:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qok8:18:>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?18<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;58 0>32>1vqps4i074>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?39794$4:7>2=zutw0e<;n:18'604=9:l0D?;>;W060?7|D;?=6m6X>3981!3?=3n0(86;:e9~yx{8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;f8 0>32m1vqps4i07g>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?o7[?<8;0x 0>22m1/95:5d:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sme983><<729q/>8<5689K610;6=4+240956`<^;??64948;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59793>"20=0<7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0{M062?7|^l81>v*>bd821g=Q9:21>v*:848g?!3?<3n0qpsr;h36g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>484k;%7;0?b8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vnh750;;94?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39794$4:7>2=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8472=#=1>1;6sr}|9j51e=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;8;W306:5+59693>{zut1b=8o50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?j7[?<8;0x 0>22m1/95:5d:~yx=n9743e3_;847a=#=1>1h6sr}|9j50e=83.99?4>3g9K607<^;??6494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?71=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8484?!3?<3=0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;f8 0>32m1vqps4i07`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:c:T27=<5s-?397j4$4:7>a=zutw0e<;k:18'604=9:l0Z?;;:0yO600=9r\n>743c3_;847a=#=1>1h6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wiio4?:0394?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39794$4:7>2=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8472=#=1>1;6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1;6*:8584?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8484?!3?<3=0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3=0(86;:69~yx{4948;|~y>o6=m0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950b<^8936?u+59793>"20=0<7psr}:k21`<72-8>>7?1=vB=5782Sc52;q/=ok514g8R45?2;q/95;57:&6<1<03twvq6g>5g83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8h4V01;>7}#=1?1h6*:858g?x{zu2c:8?4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=80Z<=7:3y'1=3=l2.>494k;|~y>o6<:0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9515<^8936?u+5979`>"20=0o7psr}:k201<72-8>>7?:7?tVd096~"6jl0:895Y12:96~"20<0o7);74;f8yx{z3`;?97>5$371>45a3_8>87?tL375>4}Qm;09w)?me;371>P6;109w);75;f8 0>32m1vqps4i065>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>=7[?<8;0x 0>2281/95:51:~yx=n9==1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51558R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xdbk3:1;7>50z&117<6j?1C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6g>4b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?l72?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397?4$4:7>4=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;8474=#=1>1=6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`f`?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f`c=83;:6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<03-?38794}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39794$4:7>2=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;8472=#=1>1;6sr}|9j501=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1;6*:8584?x{zu2c:9l4?:%066?74n2\9994>{M062?7|^l81>v*>bd821d=Q9:21>v*:8484?!3?<3=0qpsr;h36f?6=,;?96<=i;W060?7|D;?=6n6X>3981!3?=3=0(86;:69~yx{8851zTf6?4|,8hn6<;k;W306:5+59693>{zut1b=8k50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?n7[?<8;0x 0>22m1/95:5d:~yx=n91=vB=5782Sc52;q/=ok514d8R45?2;q/95;5d:&6<14383>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9<4V01;>7}#=1?1h6*:858g?x{zu2c:8>4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=90Z<=7:3y'1=3=l2.>494k;|~y>o6<=0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>459U56>=:r.>484k;%7;0?b8<512d8R73328qG>8851zTf6?4|,8hn6<:9;W306<5+59695>{zut1b=9950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9511<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3thnj7>51083>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306:5+59693>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59793>"20=0<7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0<7);74;58yx{z3`;>;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;58 0>32>1vqps4i07b>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?j7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514`8R45?2;q/95;57:&6<1<03twvq6g>5b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=j1]=>652z&6<0<03-?38794}|~?l72l3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd821a=Q9:21>v*:8484?!3?<3=0qpsr;h36a?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5d9U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:9k5Y12:96~"20<0o7);74;f8yx{z3`;?>7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<;1]=>652z&6<0{M062?7|^l81>v*>bd8206=Q9:21>v*:848g?!3?<3n0qpsr;h370?6=,;?96<=i;W060?7|D;?=63981!3?=3n0(86;:e9~yx{>6=4+240956`<^;??6494>;|~y>o6<>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>469U56>=:r.>484>;%7;0?750z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306:5+59693>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59793>"20=0<7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0<7);74;58yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;58 0>32>1vqps4i07g>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:d:T27=<5s-?39794$4:7>2=zutw0e<;j:18'604=9:l0D?;>;W060?7|D;?=6i6X>3981!3?=3n0(86;:e9~yx{8851zTf6?4|,8hn6<:=;W306i5+5969`>{zut1b=9=50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>87[?<8;0x 0>22m1/95:5d:~yx=n9=>1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51568R45?2;q/95;5d:&6<14483>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<<1]=>652z&6<03:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;6:T27=<5s-?397?4$4:7>4=zutw0e<:8:18'604=9:l0Z?;;:0yO600=9r\n>74203_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wij<4?:583>5}#:<81=o84H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`e6?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~fc5=838:6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<03-?38794}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39794$4:7>2=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;8472=#=1>1;6sr}|9j501=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1;6*:8584?x{zu2c:9l4?:%066?74n2\9994>{M062?7|^l81>v*>bd821d=Q9:21>v*:8484?!3?<3=0qpsr;h36f?6=,;?96<=i;W060?7|D;?=6n6X>3981!3?=3=0(86;:69~yx{4948;|~y>o6=l0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5d9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<:=;W306:5+59693>{zut1b=9=50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9515<^8936?u+59793>"20=0<7psr}:k201<72-8>>7?:7?tVd096~"6jl0:895Y12:96~"20<0<7);74;58yx{z3`;?97>5$371>45a3_8>87?tL375>4}Qm;09w)?me;371>P6;109w);75;58 0>32>1vqps4i065>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>=7[?<8;0x 0>22>1/95:57:~yx=n9==1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51558R45?2;q/95;57:&6<1<03twvq6g>4983>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<11]=>652z&6<0a=zutw0e<:n:18'604=9:l0Z?;;:0yO600=9r\n>742f3_;8474=#=1>1=6sr}|9j51d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9l4V01;>7}#=1?1=6*:8582?x{zu2c:8i4?:%066?74n2\9994>{M062?7|^l81>v*>bd820a=Q9:21>v*:8482?!3?<3;0qpsr;h37a?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{m6=4+240956`<^;??6494>;|~y>o6=80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>509U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;<;W306<5+59695>{zut1b=8:50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9502<^8936?u+59795>"20=0:7psr}:k210<72-8>>7?:7?tVd096~"6jl0:985Y12:96~"20<0:7);74;38yx{z3`;>:7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;362>P6;109w);75;38 0>3281vqps4i07;>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?37[?<8;0x 0>2281/95:51:~yx=n9<31<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok514;8R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xda<3:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zjo?1<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3h0(86;:c9~yx{:6=4+240956`<^;??6494>;|~y>{en?0;6:4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22>1/95:57:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;57:&6<1<03twvq6g>4b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0{M062?7|^l81>v*>bd8212=Q9:21>v*:848g?!3?<3n0qpsr;h36e?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484k;%7;0?b8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vnk950;:94?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8484?!3?<3=0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8n5Y12:96~"20<0<7);74;58yx{z3`;>;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;f8 0>32m1vqps4i07b>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397j4$4:7>a=zutw0e<;m:18'604=9:l0D?;>;W060?7|D;?=6n6X>3981!3?=3n0(86;:e9~yx{494>;|~y>{en10;654?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39794$4:7>2=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3=0(86;:69~yx{h6=4+240956`<^;??6494k;|~y>o6=h0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979`>"20=0o7psr}:k21g<72-8>>7?1=vB=5782Sc52;q/=ok514`8R45?2;q/95;5d:&6<15b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=j1]=>652z&6<04=zutw0qoh6:1825?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39794$4:7>2=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;8472=#=1>1;6sr}|9j50g=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1;6*:8584?x{zu2c:9o4?:%066?74n2\9994>{M062?7|^l81>v*>bd821g=Q9:21>v*:8484?!3?<3=0qpsr;h36g?6=,;?96<=i;W060?7|D;?=6o6X>3981!3?=3=0(86;:69~yx{8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36a>P6;109w);75;58 0>32>1vqps4i07e>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?m7[?<8;0x 0>22m1/95:5d:~yx=n9=81<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74253_;847a=#=1>1h6sr}|9j515=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:;;W306i5+5969`>{zut1b=9;50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9513<^8936?u+5979`>"20=0o7psr}:k203<72-8>>7?:7?tVd096~"6jl0:8;5Y12:96~"20<0:7);74;38yx{z3`;?;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;373>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zjok1<7?>:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;58 0>32>1vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22>1/95:57:~yx=n9<=1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51458R45?2;q/95;57:&6<1<03twvq6g>5`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0<03-?38794}|~?l72j3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:b:T27=<5s-?39794$4:7>2=zutw0e<;l:18'604=9:l0Z?;;:0yO600=9r\n>743d3_;8472=#=1>1;6sr}|9j50b=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;j;W306:5+59693>{zut1b=8h50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950`<^8936?u+5979`>"20=0o7psr}:k207<72-8>>7?1=vB=5782Sc52;q/=ok51508R45?2;q/95;5d:&6<14283>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9=4V01;>7}#=1?1h6*:858g?x{zu2c:894?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=>0Z<=7:3y'1=3=l2.>494k;|~y>o6<<0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>449U56>=:r.>484k;%7;0?b2\:?54={%7;1?7<,<2?6<5r}|8m420290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:8;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:abg<72>0;6=u+24095g0<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59795>"20=0:7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0:7);74;38yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;38 0>3281vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6smfb83>7<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}cdg>5<693:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59793>"20=0<7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0<7);74;58yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;58 0>32>1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514c8R45?2;q/95;57:&6<1<03twvq6g>5c83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0<03-?38794}|~?l72k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:c:T27=<5s-?39794$4:7>2=zutw0e<;k:18'604=9:l0D?;>;W060?7|D;?=6h6X>3981!3?=3=0(86;:69~yx{494k;|~y>o6<;0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9514<^8936?u+5979`>"20=0o7psr}:k206<72-8>>7?1=vB=5782Sc52;q/=ok51518R45?2;q/95;5d:&6<14583>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9:4V01;>7}#=1?1h6*:858g?x{zu2c:884?:%066?74n2\9994>{M062?7|^l81>v*>bd8200=Q9:21>v*:848g?!3?<3n0qpsr;h372?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{<6=4+240956`<^;??6494>;|~y>{enl0;63981!3?=3=0(86;:69~yx{:6=4+240956`<^;??64948;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;m;W306:5+59693>{zut1b=8m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950e<^8936?u+59793>"20=0<7psr}:k21a<72-8>>7?1=vB=5782Sc52;q/=ok514f8R45?2;q/95;57:&6<1<03twvq6g>5d83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=l1]=>652z&6<0a=zutw0e<:=:18'604=9:l0D?;>;W060?7|D;?=66X>3981!3?=3n0(86;:e9~yx{86=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73;2\:?54={%7;1?b<,<2?6i5r}|8m423290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;370>P6;109w);75;f8 0>32m1vqps4i066>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>>7[?<8;0x 0>22m1/95:5d:~yx=n9=<1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51548R45?2;q/95;51:&6<1<63twvq6g>4683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<>1]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qohi:1815?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39794$4:7>2=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;8472=#=1>1;6sr}|9j50g=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1;6*:8584?x{zu2c:9o4?:%066?74n2\9994>{M062?7|^l81>v*>bd821g=Q9:21>v*:8484?!3?<3=0qpsr;h36g?6=,;?96<=i;W060?7|D;?=6o6X>3981!3?=3=0(86;:69~yx{4948;|~y>o6=o0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5g9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<:<;W306:5+59693>{zut1b=9:50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9512<^8936?u+59793>"20=0<7psr}:k200<72-8>>7?:7?tVd096~"6jl0:885Y12:96~"20<0<7);74;58yx{z3`;?:7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;372>P6;109w);75;58 0>32>1vqps4i064>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28><7[?<8;0x 0>22>1/95:57:~yx=n9=21<7*=53827c=O:<;0Z?;;:0yO600=9r\n>742?3_;8472=#=1>1;6sr}|9j51?=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=974V01;>7}#=1?1h6*:858g?x{zu2c:8l4?:%066?74n2\9994>{M062?7|^l81>v*>bd820d=Q9:21>v*:8482?!3?<3;0qpsr;h37f?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{o6=4+240956`<^;??6494>;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4g9U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;>;W306<5+59695>{zut1b=8<50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9504<^8936?u+59795>"20=0:7psr}:k216<72-8>>7?:7?tVd096~"6jl0:9>5Y12:96~"20<0:7);74;38yx{z3`;>87>5$371>45a3_8>87?tL375>4}Qm;09w)?me;360>P6;109w);75;38 0>3281vqps4i076>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?>7[?<8;0x 0>2281/95:51:~yx=n9<<1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51448R45?2;q/95;51:&6<1<63twvq6g>5983>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=11]=>652z&6<0<63-?387?4}|~?l7213:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:9:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f4672909=7>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306:5+59693>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59793>"20=0<7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0<7);74;58yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;58 0>32>1vqps4i07g>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?o7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514g8R45?2;q/95;57:&6<1<03twvq6g>5g83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=o1]=>652z&6<0<03-?38794}|~?l73:3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;2:T27=<5s-?39794$4:7>2=zutw0e<:<:18'604=9:l0Z?;;:0yO600=9r\n>74243_;8472=#=1>1;6sr}|9j512=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9:4V01;>7}#=1?1;6*:8584?x{zu2c:884?:%066?74n2\9994>{M062?7|^l81>v*>bd8200=Q9:21>v*:8484?!3?<3=0qpsr;h372?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{<6=4+240956`<^;??68851zTf6?4|,8hn6<:7;W306:5+59693>{zut1b=9750;&117<6;o1]>8:51zN113<6s_o96?u+1cg951?<^8936?u+5979`>"20=0o7psr}:k20d<72-8>>7?:7?tVd096~"6jl0:8l5Y12:96~"20<0:7);74;38yx{z3`;?n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37f>P6;109w);75;38 0>3281vqps4i06g>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>o7[?<8;0x 0>2281/95:51:~yx=n9=o1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515g8R45?2;q/95;51:&6<1<63twvq6g>4g83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?l7283:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:0:T27=<5s-?397?4$4:7>4=zutw0e<;>:18'604=9:l0Z?;;:0yO600=9r\n>74363_;8474=#=1>1=6sr}|9j504=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8<4V01;>7}#=1?1=6*:8582?x{zu2c:9>4?:%066?74n2\9994>{M062?7|^l81>v*>bd8216=Q9:21>v*:8482?!3?<3;0qpsr;h360?6=,;?96<=i;W060?7|D;?=686X>3981!3?=3;0(86;:09~yx{6=4+240956`<^;??6494>;|~y>o6=10;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>599U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn<>>:1815?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39794$4:7>2=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;8472=#=1>1;6sr}|9j50g=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1;6*:8584?x{zu2c:9o4?:%066?74n2\9994>{M062?7|^l81>v*>bd821g=Q9:21>v*:8484?!3?<3=0qpsr;h36g?6=,;?96<=i;W060?7|D;?=6o6X>3981!3?=3=0(86;:69~yx{4948;|~y>o6=o0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5g9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<:<;W306:5+59693>{zut1b=9:50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9512<^8936?u+59793>"20=0<7psr}:k200<72-8>>7?:7?tVd096~"6jl0:885Y12:96~"20<0<7);74;58yx{z3`;?:7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;372>P6;109w);75;58 0>32>1vqps4i064>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28><7[?<8;0x 0>22>1/95:57:~yx=n9=21<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515:8R45?2;q/95;5d:&6<14883>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<01]=>652z&6<04=zutw0e<:m:18'604=9:l0Z?;;:0yO600=9r\n>742e3_;8474=#=1>1=6sr}|9j51b=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9j4V01;>7}#=1?1=6*:8582?x{zu2c:8h4?:%066?74n2\9994>{M062?7|^l81>v*>bd820`=Q9:21>v*:8482?!3?<3;0qpsr;h37b?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{494>;|~y>o6=;0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>539U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;;;W306<5+59695>{zut1b=8;50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9503<^8936?u+59795>"20=0:7psr}:k213<72-8>>7?:7?tVd096~"6jl0:9;5Y12:96~"20<0:7);74;38yx{z3`;>47>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36<>P6;109w);75;38 0>3281vqps4i07:>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?27[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm11094?0=83:p(?;=:0`5?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{h6=4+240956`<^;??6494>;|~y>o6=h0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484>;%7;0?77>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8:?6=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo??5;291?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1h6*:858g?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=l2.>494k;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b3:1:7>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0a=zutw0c74d03_;8474=#=1>1=6sr}|9~f4602909=7>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306:5+59693>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59793>"20=0<7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0<7);74;58yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;58 0>32>1vqps4i07g>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?o7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514g8R45?2;q/95;57:&6<1<03twvq6g>5g83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=o1]=>652z&6<0<03-?38794}|~?l73:3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;2:T27=<5s-?39794$4:7>2=zutw0e<:<:18'604=9:l0Z?;;:0yO600=9r\n>74243_;8472=#=1>1;6sr}|9j512=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9:4V01;>7}#=1?1;6*:8584?x{zu2c:884?:%066?74n2\9994>{M062?7|^l81>v*>bd8200=Q9:21>v*:8484?!3?<3=0qpsr;h372?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{<6=4+240956`<^;??6494k;|~y>o6<00;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>489U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<:m;W306<5+59695>{zut1b=9j50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951b<^8936?u+59795>"20=0:7psr}:k20`<72-8>>7?:7?tVd096~"6jl0:8h5Y12:96~"20<0:7);74;38yx{z3`;?j7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37b>P6;109w);75;38 0>3281vqps4i073>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?;7[?<8;0x 0>2281/95:51:~yx=n9<;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51438R45?2;q/95;51:&6<1<63twvq6g>5383>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=;1]=>652z&6<0<63-?387?4}|~?l72;3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:3:T27=<5s-?397?4$4:7>4=zutw0e<;;:18'604=9:l0Z?;;:0yO600=9r\n>74333_;8474=#=1>1=6sr}|9j503=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8;4V01;>7}#=1?1=6*:8582?x{zu2c:9;4?:%066?74n2\9994>{M062?7|^l81>v*>bd8213=Q9:21>v*:8482?!3?<3;0qpsr;h3646X>3981!3?=3;0(86;:09~yx{494>;|~y>{e9921<7<>:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;58 0>32>1vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22>1/95:57:~yx=n9<=1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51458R45?2;q/95;57:&6<1<03twvq6g>5`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0<03-?38794}|~?l72j3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:b:T27=<5s-?39794$4:7>2=zutw0e<;l:18'604=9:l0Z?;;:0yO600=9r\n>743d3_;8472=#=1>1;6sr}|9j50b=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8j4V01;>7}#=1?1;6*:8584?x{zu2c:9h4?:%066?74n2\9994>{M062?7|^l81>v*>bd821`=Q9:21>v*:8484?!3?<3=0qpsr;h36b?6=,;?96<=i;W060?7|D;?=6j6X>3981!3?=3=0(86;:69~yx{96=4+240956`<^;??64948;|~y>o6<=0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>459U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<:9;W306:5+59693>{zut1b=9950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9511<^8936?u+59793>"20=0<7psr}:k20=<72-8>>7?:7?tVd096~"6jl0:855Y12:96~"20<0o7);74;f8yx{z3`;?57>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37=>P6;109w);75;38 0>3281vqps4i06b>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>j7[?<8;0x 0>2281/95:51:~yx=n9=h1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515`8R45?2;q/95;51:&6<1<63twvq6g>4e83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?l73m3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;e:T27=<5s-?397?4$4:7>4=zutw0e<:i:18'604=9:l0Z?;;:0yO600=9r\n>742a3_;8474=#=1>1=6sr}|9j506=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8>4V01;>7}#=1?1=6*:8582?x{zu2c:9<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8214=Q9:21>v*:8482?!3?<3;0qpsr;h366?6=,;?96<=i;W060?7|D;?=6>6X>3981!3?=3;0(86;:09~yx{0Z<=7:3y'1=3=92.>494>;|~y>o6=<0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>549U56>=:r.>484>;%7;0?72\:?54={%7;1?7<,<2?6<5r}|8m43?290/>8<512d8R73328qG>8851zTf6?4|,8hn6<;7;W306<5+59695>{zut1b=8750;&117<6;o1]>8:51zN113<6s_o96?u+1cg950?<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th:<44?:783>5}#:<81=o84H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1=6*:8582?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8482?!3?<3;0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3;0(86;:09~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a55d=8391<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?d<,<2?6o5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306o5+5969f>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a55e=8391<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?1<,<2?6:5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;f8 0>32m1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8:o6=4;:183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=?2.>4948;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?70d83>1<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?1<,<2?6:5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;58 0>32>1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39794$4:7>2=zutw0c74d03_;8474=#=1>1=6sr}|9~f46a290?6=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=?2.>4948;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>4848;%7;0?150z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306:5+59693>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22>1/95:57:~yx=n9=i1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>742d3_;847a=#=1>1h6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306<5+59695>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+59795>"20=0:7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0:7);74;38yx{z3`;>n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8;96=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=<=50;694?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8484?!3?<3=0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8n5Y12:96~"20<0<7);74;58yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c320?6=<3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22>1/95:57:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;8472=#=1>1;6sr}|9j51e=83.99?4>3g9K607<^;??6494>;|~y>{e98?1<7:50;2x 7352?30D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8<5Y12:96~"20<0<7);74;58yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0<03-?38794}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo?>6;290?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;8472=#=1>1;6sr}|9j517=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:l;W306:5+59693>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a541=83>1<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8484?!3?<3=0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0:n;5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0:7);74;38yx{z3`;>;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;38 0>3281vqps4i07b>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?j7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm10;94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`25d<72?0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8472=#=1>1;6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=?2.>4948;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979`>"20=0o7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;5d:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd69k0;6;4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22>1/95:57:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;57:&6<1<03twvq6g>4b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1h6*:858g?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848g?!3?<3n0qpsr;h36e?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484k;%7;0?b50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8n5Y12:96~"20<0<7);74;58yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0{M062?7|^l81>v*>bd821d=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8484?!3?<3=0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484k;%7;0?b8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;f8 0>32m1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8;n6=4>1;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8484?!3?<3=0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{4948;|~y>o6=k0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5c9U56>=:r.>4848;%7;0?18<512d8L7363_8>87?tL375>4}Qm;09w)?me;36`>P6;109w);75;58 0>32>1vqps4i07f>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:e:T27=<5s-?39794$4:7>2=zutw0e<;i:18'604=9:l0D?;>;W060?7|D;?=6j6X>3981!3?=3n0(86;:e9~yx{96=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73:2\:?54={%7;1?b<,<2?6i5r}|8m424290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:<;W306i5+5969`>{zut1b=9:50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9512<^8936?u+59795>"20=0:7psr}:k200<72-8>>7?:7?tVd096~"6jl0:885Y12:96~"20<0:7);74;38yx{z3`;?:7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;372>P6;109w);75;38 0>3281vqps4i064>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28><7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm10d94?0=83:p(?;=:0`5?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{h6=4+240956`<^;??6494>;|~y>o6=h0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484>;%7;0?77>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj88:6=4::183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=?2.>4948;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979`>"20=0o7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th:>?4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo?=3;290?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;8472=#=1>1;6sr}|9j517=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:l;W306:5+59693>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a572=83>1<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8484?!3?<3=0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{54;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:>;W306:5+59693>{zut1b=9m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22>1/95:57:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm13494?2=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<03-?38794}|~?l7393:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8484?!3?<3=0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>4848;%7;0?150z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306:5+59693>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22>1/95:57:~yx=n9=i1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>742d3_;8472=#=1>1;6sr}|9j501=83.99?4>3g9K607<^;??6494>;|~y>{e9;21<7850;2x 73528h=7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>2281/95:51:~yx=n9<=1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51458R45?2;q/95;51:&6<1<63twvq6g>5`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo?=9;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<693:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59793>"20=0<7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0<7);74;58yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;58 0>32>1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514c8R45?2;q/95;57:&6<1<03twvq6g>5c83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0<03-?38794}|~?l72k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:c:T27=<5s-?39794$4:7>2=zutw0e<;k:18'604=9:l0D?;>;W060?7|D;?=6h6X>3981!3?=3=0(86;:69~yx{8<512d8R73328qG>8851zTf6?4|,8hn6<;i;W306i5+5969`>{zut1b=9<50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>97[?<8;0x 0>22m1/95:5d:~yx=n9=91<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74243_;847a=#=1>1h6sr}|9j512=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9:4V01;>7}#=1?1h6*:858g?x{zu2c:884?:%066?74n2\9994>{M062?7|^l81>v*>bd8200=Q9:21>v*:8482?!3?<3;0qpsr;h372?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{<6=4+240956`<^;??6494>;|~y>{e9;h1<7?>:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8484?!3?<3=0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3=0(86;:69~yx{4948;|~y>o6=j0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;j;W306:5+59693>{zut1b=8h50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?m7[?<8;0x 0>22>1/95:57:~yx=n9=81<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74253_;8472=#=1>1;6sr}|9j515=83.99?4>3g9K607<^;??60Z<=7:3y'1=3=l2.>494k;|~y>o6<<0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>449U56>=:r.>484k;%7;0?b2\:?54={%7;1?7<,<2?6<5r}|8m420290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:8;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a57e=83;:6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<03-?38794}|~?l7393:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8484?!3?<3=0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;n;W306:5+59693>{zut1b=8l50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950d<^8936?u+59793>"20=0<7psr}:k21f<72-8>>7?:7?tVd096~"6jl0:9n5Y12:96~"20<0<7);74;58yx{z3`;>h7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36`>P6;109w);75;58 0>32>1vqps4i07f>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?n7[?<8;0x 0>22>1/95:57:~yx=n9743a3_;8472=#=1>1;6sr}|9j514=83.99?4>3g9K607<^;??6494k;|~y>o6<=0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>459U56>=:r.>484k;%7;0?b8<512d8R73328qG>8851zTf6?4|,8hn6<:9;W306<5+59695>{zut1b=9950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9511<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th:>i4?:0394?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39794$4:7>2=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3=0(86;:69~yx{h6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73k2\:?54={%7;1?1<,<2?6:5r}|8m430290/>8<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306:5+59693>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59793>"20=0<7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0<7);74;58yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;58 0>32>1vqps4i07g>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?o7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514g8R45?2;q/95;57:&6<1<03twvq6g>5g83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8h4V01;>7}#=1?1;6*:8584?x{zu2c:8?4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=80Z<=7:3y'1=3=?2.>4948;|~y>o6<:0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9515<^8936?u+5979`>"20=0o7psr}:k201<72-8>>7?:7?tVd096~"6jl0:895Y12:96~"20<0o7);74;f8yx{z3`;?97>5$371>45a3_8>87?tL375>4}Qm;09w)?me;371>P6;109w);75;f8 0>32m1vqps4i065>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>=7[?<8;0x 0>2281/95:51:~yx=n9==1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51558R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd6:l0;63981!3?=3=0(86;:69~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?1<,<2?6:5r}|8m42d290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;58 0>32>1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514c8R45?2;q/95;57:&6<1<03twvq6g>5c83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0<03-?38794}|~?l72k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:c:T27=<5s-?39794$4:7>2=zutw0e<;k:18'604=9:l0Z?;;:0yO600=9r\n>743c3_;8472=#=1>1;6sr}|9j50c=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8k4V01;>7}#=1?1;6*:8584?x{zu2c:9k4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=94948;|~y>o6<;0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9514<^8936?u+5979`>"20=0o7psr}:k206<72-8>>7?:7?tVd096~"6jl0:8>5Y12:96~"20<0o7);74;f8yx{z3`;?87>5$371>45a3_8>87?tL375>4}Qm;09w)?me;370>P6;109w);75;f8 0>32m1vqps4i066>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>>7[?<8;0x 0>22m1/95:5d:~yx=n9=<1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51548R45?2;q/95;51:&6<1<63twvq6g>4683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<>1]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo?=f;292?6=8r.99?4>b79K610;6=4+240956`<^;??6494>;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;n;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a566=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd6;80;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22m1/95:5d:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5d:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd6;;0;694?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22m1/95:5d:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;847a=#=1>1h6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1h6*:858g?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`276<72=0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3n0(86;:e9~yx{:6=4+240956`<^;??6494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73583>2<729q/>8<5689K610;6=4+240956`<^;??68851zTf6?4|,8hn6<:>;W306i5+5969`>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979`>"20=0o7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2\9994>{M062?7|^l81>v*>bd821g=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{57;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=l2.>494k;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979`>"20=0o7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73783>3<729q/>8<51c48L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;51:&6<1<63twvq6g>5683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0<63-?387?4}|~?l72i3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~w<>=839p14751c:8Z<><5j;1=>h4}ra2>5419>557=9=:01<>8:063?87703;?<6s|9783>6}:1>0:n55Q979>g5<6;o1vn>50;:x9f6=9k=01??n:063?8`428>:70hi:062?87783;?=63>008204=:99=1=9?4=02;>4263ty<97>53z?42?7e02T<9636f;30b>{t1o0;65u29g82f2=::9<1=9>4=g1951e<5ol1=9m4=023>42d34;;=7?;c:?242<6b69>71e=9=:0194=4a7>42734>>n7?;0:?65d<6<91698?515289`d=9=:01hk515289c5=9<=01k7515289cb=9=:01kh51458946728?<70??1;363>;68>0:9:5211:9501<58;n6<:?;<313?73827:>o4>419>57e=9=:0q~9>:18081528h37S9>;<;g>45a3ty2h7>519y>=a<6j>16??o51528914c28>;70:k4;374>;3l?0:8<525b79516<58l86<:?;<72f?73827>9?4>419>105=9=:01hh515289c6=9=:01k=514c89cg=9=:01kk515289c`=9?:07b?87793;>m63>06821d=:9921=8o4=004>42634;9m7?;0:?26a<6<916=?k51528yv0a2908w09?:0`;?[0a343h6<=i;|q:g?6=0r72o7?m7:?2ad<6<916j>4>5c9>bc<6=k16==>514`8946628?i70??7;36f>;6810:9o5rs7f94?5|5?o1=o64^7f89;a;3;>o63if;36g>;6890:9n52113950e<58:<6<;l;<332f<6j11U:o529`827c=z{0k1<76t=8c95g1<58nh6<:?;43c34lm6<;k;<334?72l27:<<4>5e9>551=97:07g?xu?03:1?v379;3a<>X?027i57?;70:;e;374>;50;0:8=523119516<5:8<6<:?;<11419>76e=9=:01>:6:063?852=3;?<63<578205=:4=5f1>42734>o:7?;c:?7f=<6<9168;651528910>28>;70;63;374>;21=0:8=5227`9516<5;4>419>5=e=9=:01<6k:063?827;3;?<63;058205=:<9?1=9>4=4c0>42734;mo7?;0:?2ba<6<916=kk5152894`a28>;70<=1;374>;5:<0:8<524g39516<5h31=9>4=`a9516<5hn1=9>4=461>42734??87?;0:?600<6<91699851528902028>;70h<:07f?8`028>;70h7:063?8`a28?n70??0;36a>;6880:9h521179516<58:<6<;j;<33419>55c=9=:01<>i:063?87683;?<63>128205=:98>1=9>4=036>42734;::7?;0:?252<6<916=??51528944428>;70?=4;374>;6:<0:8=521349516<588<6<:l;<31f?73927:>n4>409>57b=9=;01<X?=27i47?;70::0;374>;50:0:8=523169516<5::>6<:?;<113?739278>54>409>5=l:062?853i3;?<63<4c8205=:;<=1=9>4=27;>427349>57?;0:?76<<6<9168?o5152891b628>;70:k2;375>;3l?0:9:524c;9516<5=hj6<:?;<65e?73827>5>4>409>1<2=9=;01?8k:062?841m3;?=63;428204=:=h91=9?4=0d`>42634;mh7?;1:?2b`<6<816=kh51538974528>;70<=5;37g>;29>0:8=5250:9516<5<;26<:?;<6e6?73827?j>4>419>ed<6<916mn4>409>ea<6<81699<51538902328>:70;;5;375>;24=d:9516<5l31=9>4=dc9516<5o91=8h4=g49516<5o=1=9?4=g:9517<5ol1=8h4=023>43a34;;=7?:f:?243<6<916==9514d8946?28?m70??d;375>;68l0:8<5211d9517<58;;6<:>;<327?73927:=94>409>543=9=;011c8205=:98i1=9>4=03g>42734;9?7?;1:?261<6<816=?;51538944028?<70?=b;37g>;6:j0:8n5213f951e<588n6<:l;|q;7?6=;r7387?m8:\;7>;e:3;8j6s|b383>6d|5k81=o94=56e>4263499;7?;c:?06=<6:70=;c;375>;4=>0:8<5234:9517<5=826<:>;<61f?73927?>n4>409>07b=9=;019j>:062?82c;3;?=63;d58204=:=j>1=9?4=4a6>42634>=m7?;1:?746<6<8169<951538907f28>:70;>b;375>;2=80:8<525409517<5;<6e6?73927i:7?;0:?a2?73927>8?4>4b9>112=9=i01h9515389`>=9=;01hl515389`c=9=;01hh515389c6=9=;01k=515089c?=9=;01ko515389cb=9=;01kk515389c`=9=801<>?:061?87793;?>63>068207=:9921=9<4=02g>42d34;:;7?;1:?25d<6<816=:70?=1;375>;6:?0:8<5213c9517<588i6<;8;<31g?72?27:>i4>569>57c=9<=0q~6>:1808>528h37S6>;<`2>45a3tyi=7>53`y>f4<6j>1688>51538964028?<70==8;363>;4:h0:8n5235`9517<5:>h6<:l;<16=?73927?>l4>409>07d=9=i0191=9m4=4a7>42d34?h97?;c:?746<6?l51528907?28>:70;>9;375>;29h0:8n5250`951e<59>4>4b9>0c5=9=;018:=:074?8c>28>:70kn:062?8ce28>h70kj:06`?8ca28>h70h?:06`?8`428>870h9:062?8`>28>h70hn:06`?8`c28>h70hj:06`?8`a28>870??0;377>;6880:8>521149517<58:<6<:<;<334>4b9>541=9=i011d820f=:9;;1=9m4=000>42d34;9:7?;c:?26d<6;6:l0:9l5rs6f94?5|5>o1=o64^6f89d5=9:l0q~o<:181<~;f;3;i;63<128205=:;891=9?4=20b>430349?o7?:7:?76g<6=>168?m51458914c28?<70:k3;363>;3l=0:9:525b69501<56<;8;<01g?73827>=l4>569>14d=9<=018;>:074?832:3;>;63:528212=:==?1=9m4=d`9501<5lo1=894=dd9501<5o:1=894=g19512<5o31=894=gc9501<5on1=894=gg9501<5ol1=9:4=023>42334;;=7?;4:?242<6<=16==651568946b28>h70?>e;363>;6:h0:9:5213`950d<588h6<;m;<31`?72j27:>h4>5c9~w2d=839p1:m51c:8Z2d<5h81=>h4}rc1>5<51r7j>7?m7:?06d<6=h16?9m514c8914e28?j70:=c;36e>;3:m0:9l524e1950g<5=n?6<;n;<7`0?72i27>o84>5`9>677=9=;01?<=:062?845;3;?<63=258205=:=8k1=8o4=43a>43f34?>=7?:a:?617<6=h1698=514c89`d=9514c89c5=9=?01k7514c89cg=9>70??1;371>;68>0:885211:9513<58;?6<:l;<32a?72i27:>94>4b9>57g=9o63>2e821f=:9;o1=8m4}r5:>5<4s4=j6h6<;m;<61f?72j27?>n4>5c9>07b=9n63:c5821g=:=j?1=8l4=33a>42734?:m7?:b:?65g<6=k1698?514`8903528?i70;:3;36f>;bj3;>n63je;36f>;bn3;>n63i0;36f>;a;3;?:63i9;36f>;ai3;>n63id;36f>;am3;>n63if;372>;6890:8;521139510<58:<6<:9;<3327:=84>4b9>54c=9n63>2c821a=:9;i1=8j4=00g>43c34;9i7?:d:p32<72:q6;54>b99]32=:i90:?k5rs`294?41s4k;65b9>07d=9o63;d2821f=:1=8m4=4a7>43d34?h97?:c:?14`<6<9169;2=;0:9n52541950e<5<>=6<:l;43d34on6<;l;43d34l;6<;l;42034l26<;l;43d34lo6<;l;43d34lm6<:8;<334?73?27:<<4>469>551=9==01<>7:064?876>3;?o63>1d821f=:9;k1=8m4=00a>43b34;9o7?:e:?26a<6=l16=?k514g8yve2290?w0m9:0`;?[e234i<6<=i;45a3tyh;7>515y>g2<6j>169:=5db9>7`2=lj16?nh5db9>7ag=lj16?5;5db9>7=`=lj16?4o5db9>7d5=lj16?lk5db9>53e=lj16=:65db9>725=lj16?o;5db9>7f7=lj16?km5db9>24b69>0=`=lj169=k5db9>133=lj16?n95db9>73b=lj16?k85db9>737=lj16?ho5db9>0`g=lj1v<=6:187874i3;i46P>389>56d=9:l01<=l:01e?xu6;k0;6>ht=01a>4d034>>>7jl;<074?bd348347jl;<0e=?bd349;47jl;<121?bd3482<7jl;<0:7jl;<3b1?bd348897jl;<0e4?bd349?>7jl;<17a?bd349>n7jl;<621?bd34>9>7jl;<604?bd34>847jl;<6bo47jl;<6aa?bd34?i>7jl;<7ae?bd34?h47jl;<7g1?bd34>=o7jl;<7e5?bd34>>j7jl;<7:;i7jl;<671?bd34?3n7jl;<7f1?bd34?nn7jl;<06b?bd3499>7jl;<44>ae<582m6im4=346>ae<5=:36im4=41b>ae<56im4=4d4>ae<5=2;6im4=5;b>ae53z?27f<6j>16?>85db9>0f?=lj1v96>:18082?:3;i46P;809>0=5=9:l0q~:73;2906}:<191=o94=56`>42734>?h7?;1:?70c<6515a8964028?j70?6a;375>;4;k0:8=5235:9516<5:?>6<:>;<163?73k278944>4b9>07d=94=4a1>42734?o<7?;0:?72=<6<8168;o515a890?428>h70<9c;374>;5>m0:8n524539516<5<226<:?;<3;g?739278?94>419>762=9=;019>;:062?83f83;?<63>fb820f=:9on1=9m4=333>427348:>7?;0:?65=<6;2=;0:9i5256`9516<5=i<6<:?;<6e5?73927?j?4>4b9>0c5=9=i01ll515289de=9=i018<<:063?835i3;?<63j7;37g>;b13;?o63jb;36`>;bn3;>h63i7;37g>;a13;>h63ia;36`>;an3;?463>01820==:99>1=9>4=02`>42734;:m7?;c:?25f<6;6;=0:8=52127951653z?6<4<6j11U95>4=4:1>45a3ty>4?4?:3gx90>528h<70==a;36`>;4n4>5e9>07b=9h63:c5821a=:=j?1=8j4=527>42d34>;97?;1:?65d<6=l169:70;:1;36`>;2=;0:9h52541950b<5=i<6<:>;<6e5?73k27?j?4>569>0c5=9<=018<<:062?835i3;?=63:46820f=:m>0:9:52e9820f=:m00:9:52e`820f=:mk0:9h52ed821a=:mo0:9h52f1821a=:n00:9h52f`821`=:nm0:9i52fd821a=:99l1=9m4=03f>43b34;9m7?:d:?26g<6<;16=?m514d8944c28>970?=e;36b>{t=:91<7:t=0`0>45a34?887?m8:\676=:=:91=o94}r3a7?6=?r7:n>4>b69>77b=9=:01>4=2gf>4263ty?jk4?:2y>156=9k20R9hi;<735?74n2wx9=?50;7x906628h<70?8f;375>;39:0:8=524019517<5<886<:l;|q613<72:q698951c:8Z03134?>47?=83?p18;7:0`4?87f:3;?=63;378205=:<:<1=9?4=40b>42d3tyo;7>54z?g;ci3;8j6s|d883>44|5m31=o94=2g1>430349o97?:7:?0`3<6=>16?i95145896b?28?<70?98;37g>;6>00:8n5217c951e<58=86<;8;<340?72?27:;84>569>520=9<=0199::06`?82b<3;>;63;e48212=:4303tyom7>52z?ge?7e?278no4kc:p0dd=839p19ol:0`;?[2fj27?mi4>3g9~w1gc290ow0:nd;3a3>;6?:0:9l52166950g<58=>6<;n;<342?72i2789k4>419>70`=9=;01>8::063?851=3;?=63;e5821d=:43f34>n;7?:a:pa6<72:q6i94>b99]a6=:9:=1=>h4}r303?6==r7:?:4>b69>7g?=9=:01>l6:062?842l3;?<63=5e8204=z{=lh6=4<{<6e`?7e02T?jn524gg956`mi7>53z?7b`<6j>16??>51528964728>:7p};d`83>6}:409>727=9=:01>9>:062?xu2?m0;6>u256g95g>{t=>l1<7;t=45e>4d0349m87?;0:?0b1<6<816?ko5152896`f28>:7p}8f;297~;?83;i46P8f:?a4?74n2wxn=4?:3y>f5<6j>16=ij51538yv>f2908w06m:0`;?[>f34hj6<=i;|qae?6=:r7im7?m7:?2a1<6<81v5m50;1x9=b=9k20R5m4=c`956`4d034;nn7?;1:p<`<72:q64k4>b99]<`=:jj0:?k5rsca94?4|5ki1=o94=0d7>4263ty2<7>53z?:5?7e02T2<63md;30b>{tjm0;6?u2be82f2=:<5<4s43861<7=t=8795g>01oh512d8yvda2909w0li:0`4?87bl3;?=6s|57594?5|5<<36;2>>0:n:5rs44a>5<5s4?=;7?1v88n:181831?3nm70;9a;3a3>{t<1>1<7=t=5:6>4d?3W>3863;8582f2=z{=2=6=4={<6;0?74n27?4;4>b69~w1>02909w0:74;fe?82??3;i;6s|51094?5|5<:86;28;0:n:5rs427>5<5s4?;>7?1v8>::181837:3nm70;?5;3a3>{t=<31<7=t=47b>4d?3W?>563:5882f2=z{9o4>b69~w03d2909w0;:9;fe?832k3;i;6s|48`94?5|5=3h6;31k0:n:5rs5;g>5<5s4>2n7?1v9mn:18082dj3;i46P;c`9>0fg=9k=0q~:lc;296~;3kh0:?k524ba95g1ji7>53z?7ec<6j11U8lk4=5cf>4d03ty?n<4?:3y>0dc=9:l019l>:0`4?xu6jo0;6>u21b295g>{t9m21<745a34;o47?m7:p5f0=839p127:o;4>b69~w4ba2909w0?l6;30b>;6lo0:n:5rs0a;>5<4s4;h57?m8:\2g==:9j21=o94}r3f2?6=:r7:o54>3g9>5`0=9k=0q~?la;297~;6kk0:n55Q1bc894ef28h<7p}>eg83>7}:9jk1=>h4=0ge>4d03ty:on4?:2y>5fb=9k20R{t9jo1<7=t=0ae>4d?3W;hi63>cd82f2=z{;:96=4={<3`a?74n279b69~w4b72908w0?k1;3a<>X6l916=i>51c58yv4713:1>v3>d1827c=::931=o94}r3g6?6=;r7:h>4>b99]5a4<58n96d583>6}:9m?1=o64^0f7?87c<3;i;6s|20f94?4|58n?6<=i;<02`?7e?2wx=i850;1x94b028h37S?k6:?2`3<6j>1v?<8:18187c>3;8j63=2682f2=z{=l>6=4<{<6e2?7e02T?j8524g795g1m;7>52z?7b0<6;o168k951c58yv3683:1?v3:1082f==Y=8:018??:0`4?xu29;0;6?u2502956`<5<;96;2??0:n:5rs45;>5<5s4?<:7?1v98j:180821n3;i46P;6d9>03c=9k=0q~:80;296~;3>l0:?k5246295g1h<7>53z?7g4<6j11U8n>4=5a3>4d03ty?o?4?:3y>0f6=9:l019m=:0`4?xu3lm0;6>u24eg95g>{t45a34>oj7?m7:p0`d=839p19kl:0`;?[2bj27?io4>b69~w1cc2909w0:jb;30b>;3mm0:n:5rs0ca>5<5s4?8?7?1v{t9j>1<7=t=0a6>4d?3W;h863>c582f2=z{h>1<745a34k?67}:<>=1=>h4=55:>4d03ty?5<4?:2y>0<4=9k20R97>;<6:5?7e?2wx84=50;0x91?6289m70:63;3a3>{t=8o1<7=t=43e>4d?3W?:i63:1d82f2=z{<8;6=4={<72a?74n27>>=4>b69~w0422908w0;=6;3a<>X2:<169?;51c58yv35?3:1>v3:24827c=:=;=1=o94}r70f?6=;r7>?n4>b99]16d<5<9i6l512d8905c28h<7p}lb;297~;dk3;i46Plb:?`f?7e?2wxh?4?:3y>gg<6;o16h?4>b69~wf?=839p1no51c:8Zf?<5j31=o94}rag>5<5s4i26<=i;4d03ty>>n4?:2y>17b=9k20R8{t=>81<7:t=44a>45a34?=57?:1=9>4=452>427349i?7?;0:p13e=838p188n:01e?831k3;i;6s|56694?4|5<;70;9d;3a3>{t=>?1<74d034?;2?:098?52561967c<5=2m6?:=;<6;b?45m27>15c=:;o0188::361?831=389i63;538107=:<<81>?k4=363>725348?<7<=e:?1<=<5<;16>56523g897`>2;>970;481098?5231:967c<5:;>6?:=;<121?45m2795=4=439>6<6=:;o01?77:361?84>0389i63=a98107=::h21>?k4=3`3>725348i<7<=e:?1f=<5<;16>o6523g897e72;>970;5k1098?522b:967c<5;n;6?:=;<0g4?45m279h54=439>6a>=:;o01?k?:361?84b8389i63=e98107=::l21>?k4=20f>4263499o7<;2:?2=f<5<;16=4m523g897g72;>970;49j098?5230a967c<55=4=:;o01?k4=3d3>725348m<7<=e:?007<5<;16?9<523g8962b2;>970=;e;01a>;4=k098?5234`967c<5=;>6?:=;<621?45m27?>?4=439>074=:;o019=?:361?8248389i63;398107=:<:21>?k4=5c;>72534>j47<=e:?7`=<5<;168i6523g891db2;>970:me;01a>;2j;098?525c0967c<5o54=439>1f>=:;o01>k;:361?85b<389i63?k4=2fb>725349om7<=e:?6`0<5<;169i;523g8910d2;>970:9c;01a>;2n8098?525g3967c<5:2>6?:=;<1;1?45m2784k4=439>7=`=:;o01>7n:361?85>i389i63;5g8107=:<?k4=4;;>72534?247<=e:?0e6<5<;16?l=523g896gb2;>970=ne;01a>;5?8098?52263967c<5;=j6?:=;<04e?45m27?05c=:;o01>m8:361?85d?389i63;448107=:<=?1>?k4=04`>72534;=o7<=e:?23=<5<;16=:6523g896de2;>970=mb;01a>;20k098?5259`967c<56?:=;<7f1?45m27>io4=439>1`d=:;o01?;i:361?842n389i63<238107=:;;81>?k4=24g>725349=h7<=e:?036<5<;16?:=523g896d22;>970=m5;01a>;4k8098?523b3967c<5:l=6?:=;<1e2?45m278jn4=439>7ce=:;o01;?52508937=:;o01;952508931=:;o01<6i:361?87?n389i63=648107=::??1>?k4=215>7253498:7<=e:?024<5<;16?;?523g896002;>970=97;01a>;4?j098?5236a967c<5:oj6?:=;<1fe?45m278j=4=439>7c6=:;o019>7:361?8270389i63:3`8107=:=:k1>?k4=4c6>72534?j97<=e:?6b2<5<;169k9523g891e>2;>970:l9;01a>;3mh098?524dc967c<5=2;6?:=;<6;4?45m27?5l4=439>036?70;81;371>;2?80:8;525639511<5=2m6il4=42f>ad<5<<>6il4=571>ad<5;2n6<:=;<0;a?73;2794h4>459>6=c=9=?01?6j:065?84?m3;?;63=978206=::0<1=9:4=3;5>4223482:7?;6:?1=3<6<>16>h8514d897c128>970;5m?0:89522d49513<5;o=6<:9;<0f2?73?278>n4kb:?06d<6<=16??o51578964f28>=70==a;373>;61j0on63=9d8201=::0o1=9;4=3;f>4213482i7?;7:?6`c449>6`c=9=<01?kj:064?853:3ni70=;e;fa?853k3;?863<4b8200=:;=i1=984=26`>420349>n7jm;<616?be34>8<7jm;<61f?73=27?>o4>479>07d=9==01942134>9h7?;7:?7e=<70:k4;371>;3l=0:8;524e69511<5=hn6il4=4`1>ad<5ad<527>o94>469>1f3=9=?018m::065?83d=3;?;63;4ko0on63;2l<0on63;6b8gf>;2n80on63<848gf>;40o0on63<9`8gf>;3=o0on63:998gf>;4i:0on63;5?80on63=7`8gf>;38l0on63;3<<0on63>6b8gf>;6?10on63;20k0on63:e48gf>;2mk0on63=5g8gf>;4:;0on63<6e8gf>;4?:0on63;4k80on63;4nj0on6391;fa?8002mh01<6i:e`897022mh01>=9:e`896062mh01>88:e`8961d2mh01>kn:e`896`72mh019>7:e`8905f2mh018o::e`890`02mh018?n:066?836i3;?:63:1`8202=:=8h1=9;4=43a>42134?:n7?;7:?614<6<=1698?51578903628>=70;:1;373>;2=;0:89525409513<59>4>459>105=9=?018;<:065?832;3;?;63;c88gf>;3mh0on63;818gf>;31h0on63jb;372>;bj3;?;63je;372>;bm3;?;63jf;372>;bn3;?;63i0;372>;a83;?;63i3;37e>;a;3;?n63i3;37`>;a;3;?i63i3;37b>;a;3;><63i3;365>;a;3;>>63i3;367>;a;3;>863i3;361>;a;3;>:63i3;36<>;a;3;>563i9;372>;a13;?;63ia;372>;ai3;?;63id;372>;al3;?;63ie;372>;am3;?;63if;37e>;an3;?n63if;37`>;an3;?i63if;37b>;an3;><63if;365>;an3;>>63if;367>;an3;>863if;361>;an3;>:63if;36<>;an3;>563>01820d=:99:1=9l4=023>42c34;;<7?;e:?245<651428946728?:70??0;366>;6890:9>521129502<58:;6<;:;<334?72>27:<=4>599>556=9<301<>>:06b?87793;?n63>00820a=:99;1=9k4=022>42a34;;=7?:0:?244<6=816==?51408946628?870??1;360>;6880:98521139500<58::6<;7;<335?72127:<:4>489>551=9=k01<>8:06a?877?3;?h63>06820`=:99=1=9h4=024>43734;;;7?:1:?242<6=;16==951418946028??70??7;361>;68>0:9;52115950><58:<6<;6;<334`9>55>=9=h01<>7:06g?87703;?i63>09820c=:9921=8>4=02;>43634;;47?:2:?24=<6=:16==651468946?28?>70??8;362>;6810:955211:950?<58;n6<:;;<32a?73=27:=h4>479>54c=9==01<2`8202=:9;h1=984=00a>42034;9o7?;6:?26f<6<>16=?j51548944c28><70?=e;372>;6:l0:8:5rs44f>5<5s4?=h7?;0:?62`<6j>1v88i:181831l3;?=63:6g82f2=z{:=o6=4>0z?635<6<8169:?51538961c28h<70=71;374>;40;0:8=523919516<5:2i6<:?;<1;g?738278594>419>7<1=9=:01>o?:063?85f93;?<634=2c`>427349i?7?;1:p7=1=83lp189?:06`?83093;?o63<838204=:;191=9?4=2:4>4d03493n7?;1:?0:70=n0;375>;4i80:8<523`c9517<5:ki6<:>;<1bg?739278n>4>4b9~w6?7290mw0;80;363>;2?80:9:52390951e<5:286<:l;<1:4?7e?278594>409>7<3=9=;01>78:06`?85>03;?<6342d349jn7?;c:?0ef<6j3:1nv3:71821d=:=>;1=8o4=2:1>4303493?7?:7:?0==<6<816?4l51c5896?a28>;70=na;363>;4ik0:9:523`a9501<5:h86<;n;|q0e0<720q69:>514`8901628?i70=72;36e>;40:0:9l5238:951e<5:k>65`9>7g5=954z?634<6=j168=m51528916d28>:70?91;3a3>{t;o;1<743c349m=7?m7:p7c1=838p189>:07f?85a?3;i;6s|56394?4|5<=:6122=9=:0189;:062?82>83;?<63;918204=:=9l1=9>4=42e>42634?=:7?;0:?623<6<816?h<51528961f28>;70:ia;374>;d:3;i;63;968205=z{=2n6=4={<6;2?74n27?4k4>b69~w1>?2909w0:77;30b>;3010:n:5rs5;3>5<5s4>347?1v966:18182?n3;8j63;8882f2=z{=2j6=4={<6;=?73827?4l4>b69~w1>e2909w0:79;375>;30k0:n:5rs2a;>5<58r7?4n4>419>15g=9=:018>l:063?83193;?<63:628205=:;l:1=9>4=2g2>427349n>7?;1:?0g=<6j>16?nm5152896b328>;70=k5;374>;4l?0:8=523e59516<5:n36<:?;<352?73827::54>419>53?=9=:01<8n:063?870:3;?<63>728205=:9>>1=9>4=056>42734;<:7?;0:?6a?738278;l4>409>023=9=:019k=:063?82b<3;?<63;e48205=:4=5g4>4273ty8h=4?:32x91>d28>:70:7d;374>;28h0:8<5251`9516<5<<:6<:>;<756?738278i=4>409>7`7=9=;01>k=:06`?85dl3;?<631=9?4=2f6>426349o:7?;1:?0`2<6<816?i651538940128>:70?97;374>;6?;0:8<521619517<58=?6<:>;<341?73927:;;4>409>1`<6<8169k4>419>72>=9=:01>9n:06`?82b:3;?=63;e58204=:42634>n;7?;1:p0=e=838p196l:0`4?82?j3;?<6s|3ea94?7as4>3h7?;1:?64g<6<8169=m51538900528>:70;93;375>;4lj0:n:523d3951e<5:ih6<:>;<1``?739278h94>4b9>7a3=9=i01>j9:06`?85c?3;?o6342634;=57?;1:?22d<6<816=:<515a8941428>h70?84;37g>;6?<0:8n52164951e<5427349h70:j6;37g>;3m>0:8n5rs5:g>5<5s4>3h7?m7:?7k:181837<3;8j63:0d82f2=z{<:=6=4={<731?74n27><;4>b69~w06a2909w0;?6;30b>;28o0:n:5rs424>5<5s4?;i7?1v8>7:181837?3;?<63:0982f2=z{<:26=4={<733?73927><44>b69~w41f290?w0;?a;37g>;28k0:8n5251a951e<58=j628>;7p}:0c83>7}:=9h1=o94=42:>4263ty:5=4?:cy>15e=9<=0188<:06`?87>83;i;63>978205=:90=1=9>4=0;;>42734;257?;c:?2=d<6<916?:651538961>28>:70:?3;363>{t=9i1<74d034?;57?;c:p132=838p18;m:01e?831=3;i;6s|54f94?4|5{t=45a34?>i7?m7:p10`=838p18;j:063?832n3;i;6s|57294?4|5;<754?7e?2wx=4j50;6x900628>h70;92;37g>;2>:0:9:5218f95g152z?624<6j>169;>51528yv31:3:1>v3:6382f2=:=?:1=9?4}r757?6=:r7>:>4>b69>136=9=i0q~::1;297~;343034>><7?:7:?2==<6<8168:70:=9;37g>;3:h0:8n5243`950`<5=8h6<;j;<61`?72n27?m?4>419>0d5=9=;019o;:063?83d<3;>i63:c4821`=:42634>=m7?:7:?77a<6<9168>j5153894>d28>h70?7d;375>;38=0:9:52417951e<5;;:6<:?;<026?739279=>4>419>141=9=i018?7:074?83613;?o63:1`821c=:=8h1=8h4=472>43b34?>>7?:f:?616<6=l16i:4>5`9>a=<6=>16i44>5`9>ad<6=>16io4>5g9>a`<6=l16ik4>5g9>b5<6=l16=h70?>c;363>;69m0:8n5210g950`<588j6<;j;<31f?73;27:>n4>439>57b=9=901<0;6?u24419516<5=><67}:<==1=9>4=56;>4d03ty?844?:3y>011=9=;019:6:0`4?xu3:10;6>u245c9516<5=>j6<:>;<61{t9;31<7=t=56a>42734>?n7?;1:?26<<6j>1v9:m:181823j3;i;63;488204=z{:9:6=4<9z?70f<6;4;k0:8<5235:9517<5:?=6<:>;<1644>569>07g=9<=0194=4a7>43a34?h97?:f:?6`4<6<9168;7515a890?328>h70<9c;375>;5>l0:8n524539517<5<226<:>;<3;`?73k278?<4>b69>053=9<=018o?:062?87aj3;?<63>fd820f=:9ol1=9m4=333>426348:?7?;1:?652<6=>169<751458903628?m70;:3;36b>;fj3;?=63nd;37g>;b03;>m63ja;36e>;bm3;>j63i0;36b>;a;3;?463i6;37g>;a03;?o63id;36a>;am3;>i63>00820==:99>1=9?4=02`>42634;:n7?:7:?25a<6=>16=?o514d8944d28>870?=e;377>;6;=0:8<521279517?o7>52z?70f<6j>16897515a8yv2183:1??u245f9501<5=>n6<;8;<67b?72i27?9=4>5`9>5<0=9=;01<9m:063?87>m3;?<63;218205=:<;31=8o4=50b>43f34>9n7?;2:?76f<6<;168?j5150891g528>:70:n3;37g>;3i=0:8n525b69514<56<:=;<654?7e?27?::4>419>03>=9<=01986:074?821i3;>m63;598205=:<<21=9?4=561>42734<>6<:?;<3;=?73827:4l4>419>056=9=:019>=:063?827<3;>m63;04821d=::8;1=9?4=331>42d348:?7?;c:?652<6=h169<6514c8907>28?j70;>a;376>;29k0:8?52e6821g=:m10:9o52e8821g=:mh0:9o52ec8207=:ml0:8?52eg8207=:n90:8?5213c9514>87>537y>01b=9n63;51821g=:90=1=9?4=05a>42634;2i7?;1:?765<6<8168?7514`8914f28?i70:=b;377>;3:j0:8>5243f9515<5419>031=9=;01987:07b?82113;>m63;6`821g=:<<>1=o94=57:>42734>?>7?;1:?51?73927:444>409>5=d=9=:01<6l:074?87?l3;>;63;018204=:<981=9?4=527>43e34>;97?:b:?652<6=k169<6514`8907>28?i70;>a;377>;29k0:8>525439514<55`9>54d=9m63>1d8207=:9;k1=9=4}r67`?6=:r7?8i4>b69>01?=9<=0q~:;e;296~;3?j7>52z?70c<6j>16897514`8yv2283:1>v3;5182f2=:<=31=8m4}r00b?6=;r79?;4>3g9>617=9=;01?:?:0`4?xu5;?0;6;u222495g1<5;9n6<:l;<12e?72?279?>4>4b9>1c3=9=:018h::062?xu5;10;6?u22539516<5;9369?51c589727289m7p}=3683>7}::=:1ho5222595g152z?17=<6<916>>751c58yv44i3:1>v3=398204=:::k1=o94}r0e5?6=ir79?o4>419>66e=9=:01?=k:063?84a93;i;63=f48205=::o=1=9>4=222>427349;j7?;0:?05d<6<916?>k51528yv4aj3:1mv3=3c8204=:::i1=9?4=31g>426348m;7?;1:?1bg<6j>16?=?51538967728>;70=>a;375>;5;:0:8=5232g951759z?17g<6>m515a8975c28>h70;4800:n:5231d9517<5:;;6<:>;<12e?73k279?>4>409~w73f290:jv3=3c8212=:::o1=9>4=314>427348k<5152897`d28>;70=>1;374>;48h0:8=5229`9516<5;386<:?;<0b7?738279mo4>419>6g5=9=:01?lm:063?84d;3;?<63=cc8205=::m91=9>4=3fa>427348n?7?;0:?1=g<6<916?<951528975728>;70;4;o0:8=5227;9516<5;=<6<:?;<04b69>635=9=:01?8<:062?xu5;k0;6?u222`95g1<5;9j6<:?;|q125<728np1?=l:074?844m3;?=63=368204=::>n1=9?4=3d6>42d348m>7?;1:?1bf<6<816?:70<7b;375>;51:0:8<522`19517<5;ki6<:>;<0a7?739279no4>409>6f5=9=;01?mm:062?84c;3;?=63=dc8204=::l91=9?4=3;a>426349:;7?;1:?175<6<816>hl51538965a28>:70<99;375>;5?>0:8<5226:9517<5;<;6>m51c58975f28>:7p}<1783>41|5;9o6<;8;<00a?72?279;i4>4b9>6c3=9<=01>?>:06`?84?j3;?o63=92820f=::h91=9m4=3ca>42d348i?7?;c:?1fg<6n=515a897ee28>h70;5lk0:8n522d1951e<5;3i6<:l;<122?7e?279io4>4b9>76`=9=i01?86:06`?840?3;?o63=79820f=z{;9o6=4={<00`?7e?279?l4>4b9~w75b2909w0<;5;h0:9:5rs3:4>5<4s485651c58yv40k3:1jv3=7b82f2=::1o1=9>4=3;5>427348j:7?;0:?1e3<6<816>lk5152897d128>;70;5k?0:8=522bg9516<5;n=6<:?;<0ga?738279i;4>419>65?51c5890gf28>;70;na;375>{t:>o1<74273484d03ty9;k4?:3y>62c=9=:01?9i:0`4?xu5090;6?u226g9517<5;2;64=220>426349;87?;1:?040<6<8169im5152890bc28>;70:n6;375>;3j10:8<524c;9517<5=hj6<:>;<6af?73827>mh4>419>1g>=9=:018lm:0`4?83d83;?<63:c38204=:=jl1=9>4=4f3>42634?o=7?;1:?6`7<6<9169i=51528970e28>:70<9c;37g>;5>m0:9:5227g9501<5<3m6<:?;<7b4?73k27>m<4>419>1d4=9=:018o<:06`?87aj3;?=63>fb8212=:9on1=894=0df>43034;mj7?:7:?b=?73927jm7?;1:?bf?73k27jo7?:7:?b`?72?27:??4>419>565=9=:01<=;:06`?874=3;?o6s|5b;94?4bs483>7?;c:?1<6<65:5153897>228>:70=?3;37g>;48=0:8n52317951e<5;<7g`?73927?m;4>4b9>0g>=9=i019l6:06`?82ei3;?o63;bc8204=:=hl1=9>4=4`4>42734?i;7?;1:?6g5<6<8169n?5152890e>28h<70;lf;375>;2l90:8n525e3951e<5;<7g7?739279:o4>4b9>63e=9<=01?8k:07b?841m3;>m63:9g8204=:=h:1=894=4c2>42634?j>7?;1:?6e6<6=>16=km514c894`c28?j70?ie;36e>;6no0:9l52a8820f=:ih0:8n52ac8212=:ij0:9l52ae821d=:9:;1=9>4=017>43034;897?:7:p1`e=8389w0<72;363>;50:0:9:52296951e<5;2>6<:l;<137?72?278<94>569>753=9<=019o9:074?82e03;>;63;b88212=:42d34?i<7?;0:?6g7<6h70;jc;3a3>;2180:8=525809516<5;5k4>4b9>1d6=9:06`?83f:3;?o63:a2821d=:9oh1=9m4=0d`>43e34;mi7?:b:?b=?72?27jm7?:7:?bf?72i27:?94>5`9>563=95`9>0g>=9;63:908204=:=1<1=o94=4c2>4303ty94?4?:3y>6=4=9k=01?69:063?xu50:0;6?u229195g1<5;2=6<:>;|q066<72:3p1?6;:07b?84?=3;>;63<2282f2=:;;=1=8l4=20;>43e3499m7?:e:?07f<6?70:=c;370>;3:m0:89524e0951e<5=n86<;j;<6g0?72m27?no4>569>1f2=9=>018m::067?83c;3;>m63:928212=:=0>1=894=34g>43e348=i7?:b:?706<6;6nj0:9n521gf950d<58ln6<;l;<3eb?72j279>>4>409>673=9<=018?n:067?836j3;?863:508206=:=<81=9=4=470>42434kh6<;m;43e34oi6<:;;42334om6<:;;42334l<6<;8;43034l26<;i;43a34lo6<;i;43a34;:<7?;c:?25`<6<:16=??51458944f28>?70?=b;370>;6:j0:895213f9512<588n6<:;;|q1<1<72;q6>5:51c5897>128>h7p}:e183>g}::1?1=8o4=220>43f349;97?:a:?7fd<6=h168ol514c890?528>:70<9b;36e>;2m90:n:525`0950g<5h31=8o4=`c950g52z?1<0<6j>16>5851458yv4a03:1?v3=f0827c=::ok1=9?4=3d:>4d03ty9j:4?:3y>6c0=9:l01?h8:0`4?xu5n?0;6>u22g495g1<5::n6<:?;<13a?7392wx>k=50;0x97`f28>;70{t:ok1<74d0348m57?7}::o91=9>4=3d7>4d03ty9j84?:3y>6c5=9=;01?h::0`4?xu48>0;6?u22g`956`<5::366}:;981=o94=4;g>42734?2h7?;1:p6cb=838p1>>7:01e?84al3;i;6s|2ga94?4|5::36il4=3d`>4d03ty9jh4?:3y>6cb=9=:01?hj:0`4?xu5no0;6?u22gf9517<5;lm651528966728>:70={t;9:1<74d0348mj7?;0:p757=838p1>>>:0`4?84an3;?=6s|5c194?4bs49;?7?:b:?041<6=k16?=;514`890bd28>h70;kd;37g>;3i?0:9o524c:950d<5=h26<;m;<6ae?72j27?no4>5c9>1de=9=;018ok:062?83fm3;?=63:ag8204=:=k:1=9?4=4`0>4d034?i47?;1:?6g4<6<8169n<5145890ea28>h70;k0;363>;2l80:9:525e09501<55?4>4b9>1<5=9n63=6b821g=::?n1=8m4=34f>43d34?2j7?:7:?6e5<6=k169l?514`890g528?i70;n3;36g>;6nk0:9:521ga950b<58lo6<;l;<3ea?72l27:jk4>5b9>564=9=;01<=<:062?874<3;>n63>34821g=z{::86=4={<137?7e?278<;4>419~w6632909w0=?4;3a3>;48?0:8<5rs226>5<5s49;97?m7:?043<6?;:18185713;8j63<1482f2=z{:;86=4={<126?74n278=>4>b69~w6752908w0=>2;3a3>;f?3;?<63n7;375>{t;9h1<745a349;n7?m7:p75g=838p1>?::e`8966f28h<7p}<0b83>7}:;9h1=9>4=22`>4d03ty875d=9=;01>>k:0`4?xu48l0;6?u231g95g1<5::o6<:?;|q04c<72;q6?=h51c58966c28>:7p}<1183>7}:;8:1=o94=22g>42d3ty8=<4?:3y>747=9k=01>>k:074?xu50o0;6>u229c956`<5;3:6<:>;<0:4?7e?2wx>5o50;6x97>f28h<70<66;376>;51l0:8?522dg951452z?1=4<6<916>5m51c58yv4>93:1>v3=9082f2=::0:1=>h4}r0;f?6=:r795=4kb:?11v?6k:18184?k3;?<63=8e82f2=z{;2n6=4={<0;g?7392794h4>b69~w7g5290ow0<7e;375>;51?0:8<522`095g1<5;kn6<:>;<0a2?739279nh4>409>6f0=9=;01?mj:062?84c>3;?=63=dd8204=::l<1=9?4=3;f>426348ni7?;1:p6dg=83ip1?6j:06`?84>>3;?o63=a`82f2=::k<1=9m4=3`f>42d348h:7?;c:?1g`<6i8515a897bb28>h70;51l0:8n522dg951e7>5bz?1<`<6=>16>485145897d528h<70;5k?0:9:522bg9501<5;n=6<;8;<0ga?72?279i;4>569>65`9>6fc=9m63=e7821d=::0o1=8o4=3gf>43f3ty9o?4?:8y>6=c=943e348n:7?:b:?1=`<6=k16>hk514`8yv4di3:14v3=8d821f=::0<1=8m4=3ab>4d0348o:7?:c:?1``<6=j16>h8514a897?b28?h70{t:m81<79t=3:f>43c3482:7?:d:?1`7<6j>16>ik514f897c128?o70<6e;36`>;5ml0:9i5rs3fb>5<1s483i7?:e:?1=3<6=l16>io51c5897c128?n70<6e;36a>;5ml0:9h5rs3g1>5<2s483i7?:f:?1=3<6=o16>h<51c5897?b28?m70{t:0=1<7=t=3;1>45a348257?;1:?1==<6j>1v?7=:18084>:3;i;63=9d8206=::lo1=9=4}r0:0?6=:r79544>419>6<2=9k=0q~<69;296~;5100:n:5228:956`52z?1==4>b69~w7?22909w0<64;374>;51<0:n:5rs3;5>5<5s48287?;1:?1=3<6j>1v?o8:18084f:3;8j63=a88204=::h21=o94}r0b0?6=:r79m44>419>6d2=9k=0q~52z?1e=4>b69~w7g22909w0;5i<0:n:5rs3c5>5<5s48j87?;1:?1e3<6j>1v?oi:18084fi3;8j63=b08204=::k:1=o94}r0bg?6=:r79n<4>419>6de=9k=0q~52z?1f5b69~w7gc2909w0;5im0:n:5rs3cf>5<5s48jo7?;1:?1e`<6j>1v?l8:18084e:3;8j63=b88204=::k21=o94}r0a0?6=:r79n44>419>6g2=9k=0q~52z?1f=4>b69~w7d22909w0;5j<0:n:5rs3`5>5<5s48i87?;1:?1f3<6j>1v?li:18084ei3;8j63=c08204=::j:1=o94}r0ag?6=:r79o<4>419>6ge=9k=0q~52z?1g5b69~w7dc2909w0;5jm0:n:5rs3`f>5<5s48io7?;1:?1f`<6j>1v?m8:18084d:3;8j63=c88204=::j21=o94}r0`0?6=:r79o44>419>6f2=9k=0q~52z?1g=4>b69~w7e22909w0;5k<0:n:5rs3a5>5<5s48h87?;1:?1g3<6j>1v?mi:18084di3;8j63=d08204=::m:1=o94}r0`g?6=:r79h<4>419>6fe=9k=0q~52z?1`5b69~w7ec2909w0;5km0:n:5rs3af>5<5s48ho7?;1:?1g`<6j>1v?j8:18084c:3;8j63=d88204=::m21=o94}r0g0?6=:r79h44>419>6a2=9k=0q~52z?1`=4>b69~w7b22909w0;5l<0:n:5rs3f5>5<5s48o87?;1:?1`3<6j>1v?ji:18084ci3;8j63=e08204=::l:1=o94}r0gg?6=:r79i<4>419>6ae=9k=0q~52z?1a5b69~w7bc2909w0;5lm0:n:5rs3ff>5<5s48oo7?;1:?1``<6j>1v?k8:18084b:3;8j63=e88204=::l21=o94}r0f0?6=:r79i44>419>6`2=9k=0q~52z?1a=4>b69~w7c22909w0;5m<0:n:5rs3g5>5<5s48n87?;1:?1a3<6j>1v>44>3g9>77g=9k=0q~==9;297~;4:00:n:523559516<5:><6<:>;|q061<72;q6??h51528964328h<7p}<2g83>7}:;;l1=o94=20`>45a3ty8>i4?:3y>77c=9=:01>7}:;;>1=9?4=205>4d03ty8>:4?:3y>771=9k=01><9:063?xu4:10;6?u233:95g1<5:8=6<:>;|q006<7282p1>42d349?o7?:f:?010<6;4=00:9:524e3951e<5=n86<;i;<6g0?72n27m?7?;9:?e2?72?27m57?;2:?ee?73:27mh7?;2:?ea?73:27mj7?;9:?240<6<816==8515a8946028>37p};2583>4e|5:8j6<:=;<17=?73k2788l4>569>71d=9<=01>:l:061?852=3;>;63<578212=:;<=1=8o4=27;>43f349>57?:a:?761<6j>168i?5145891b428>970:k4;376>;5990:8n52203951e<5;;96<;8;<027?72?27m:7?:a:?e=?73;27mm7?;3:?e`?73;27mi7?;3:?245<6<016==?515;8946228>h70??6;363>;6810:855rs7:94?4bs499m7?;3:?2==<6;6090:8=521`09516<58k86<:?;<17g?73;27?=o4>419>04d=9=;019o=:06`?82f;3;>;63;a58212=:42434>=;7?;c:?77`<6<9168>k5153894>e28>:70:?0;37g>;38;0:8n52411950g<5=:?6<;l;<631?72k279=<4>569>644=9;b?3;>h63j8;36`>;b13;>h63ja;36`>;bj3;?963je;371>;bn3;?963i0;371>;a13;?863ia;370>;al3;?863ie;370>;6:k0:885213a9513<588o6<::;<31a?73=2wx=4l50;0x94?7289m70?6c;3a3>{t90;1<745a34;2=7?m7:p5<4=838p1<7>:063?87>:3;i;6s|18194?4|583:6<:>;<3:7?7e?2wxi84?:2y>5<2=9=:01<7;:062?8c228h<7p}>9583>7}:90>1=o94=0;0>4273ty?<<4?:2y>5<3=9=:01<7::062?82793;i;6s|18794?4|583>6{t90=1<74d034;2?7?:7:p5<>=838p1<77:0`4?87>;3;>m6s|18;94?4|58326{t:0l1<7=t=3;b>45a348j=7?;1:?1e5<6j>1v?7n:18184>i3;i;63=ed8201=z{;3h6=4={<0b5?7382795n4>b69~w7g62909w0;5i90:?k5rs3;a>5<5s48j<7jm;<0:f?7e?2wx>4j50;0x97?d28>;70<6d;3a3>{t:0o1<74263482i7?m7:p74d=838p1>?9:01e?856k3;i;6s|30:94?4|5:;h6<=i;<12?8:0`4?xu4900;6?u230:9516<5:;267}:=m=1=>h4=4fe>4d03ty>h:4?:5y>1a1=9k=018jm:063?83bn3;?<63:e28205=z{h54>b69~w0b>2909w0;k8;374>;2l00:n:5rs4fb>5<5s4?o47?;1:?6`d<6j>1v8k9:18683cj3;?=63:db8212=:=mn1=894=4g0>42634?n:7?m7:p1ad=838p18jm:0`4?83ci3;?<6s|5ea94?4|5{t91;1<745a34;3>7?m7:p52e=838p1<6=:01e?870k3;i;6s|16`94?4|58296il4=05a>4d03ty:;i4?:3y>52e=9=:01<9k:0`4?xu6?l0;6?u216a9517<58=n6;7p};0g83>7}:91:1=9?4=52e>4d03ty:4=4?:3y>5=6=9k=01<9j:062?xu6i=0;6?u218f956`<58k>69d83>7}:9h?1ho5218g95g152z?2=c<6<916=l>51c58yv7f93:1>v3>9g8204=:9h;1=o94}r3b6?6=:r7:m?4>b69>5d7=9=:0q~:<2;296~;6i:0:8<5242095g152z?2e6<6j>16=l?51538yv44<3:1>v3=2g827c=:::?1=o94}r01b?6=;r79>k4>b69>1`?=9=:018k6:062?xu5;80;6?u2227956`<5;9:6>;5dc9>666=9k=0q~<<2;296~;5;80:8=5222095g152z?174<6<816>>=51c58yv4bn3:1>v3=e`827c=::o:1=o94}r0fe?6=b69>63?=9<=01?98:074?84003;>;6s|2da94?4|5;l;6<=i;<0fg?7e?2wx>hl50;0x97`72mh01?km:0`4?xu5mm0;6?u22da9516<5;oo6hm5153897cb28h<7p}<4083>7}:;:=1=>h4=261>4d03ty8?:4?:4y>761=9k=01>=l:074?82c:3;>;63i7;36e>;a03;>m6s|35294?4|5:9o6<=i;<174?7e?2wx?>650;0x9625289m70=<8;3a3>{t;:31<7427349857?m7:p76g=838p1>=7:062?854i3;i;6s|32`94?4|5:9i6;2100:n:52f6821g=:n10:9o5rs21`>5<5s498o7?m7:?07d<6<81v>=j:181854m3;i;63<418205=z{:9m6=4={<10b?7e?2788=4>409~w62c2909w0=;3;30b>;45<5s49?i7?1v>:::181853<3;?<63<4482f2=z{:>=6=4={<170?7392788;4>b69~w6202909w0=;7;3a3>;45<5s49?47?m7:?003<6<81v>:6:18185313;i;63<47820f=z{:>j6=4={<17e?7e?2788;4>569~w62e2909w0=;b;3a3>;45<5s49?o7?m7:?003<6=k1v>;n:181853n3;8j63<5c82f2=z{:>m6=4j{<17b?7e?278984>5`9>700=9;8:07a?85203;>n63<58821g=:=>h1=9?4=g4950d<5o=1=8m4=g:950e<5o31=9;4=gc9513<5on1=9;4=gg9513<7>52z?01g<6;o16?8>51c58yv5293:1>v3<518205=:;<;1=o94}r166?6=:r789=4>409>704=9k=0q~h;:180852;3;?<63<528204=:n=0:n:5rs270>5<5s49>?7?m7:?017<6<91vkm50;1x963328>;70=:4;375>;ak3;i;6s|34694?4|5:??6{t;<<1<74d0349>>7?:7:p701=838p1>;8:0`4?852:3;>m6s|34:94?4|5:?3628h<70=:2;36g>{t<8>1<745a34>:97?m7:p047=838p19?::01e?82693;i;6s|40294?4|5=;>6il4=533>4d03ty?=?4?:3y>047=9=:019?=:0`4?xu39:0;6?u24039517<5=;86q68<>51528917728>:70:<3;374>;3;:0:8<5242;95g1<5==o6<:?;<64`?7392wx8??50;1x9171289m70:=3;375>;3:;0:n:5rs535>5<3s4>::7?m7:?75`<6<81687}:<;91=9>4=534>4d03ty?>>4?:3y>075=9k=019<=:01e?xu3910;6?u24059516<5=;3628h<7p};1`83>7}:<8k1=o94=53:>4273ty?=o4?:3y>04d=9k=019?6:062?xu68h0;6>u240a9516<5=;h6<:>;<33e?7e?2wx89;37g>{t9881<7=t=53g>42734>:h7?;1:?257<6j>1v9?k:181826l3;i;63;188212=z{=;n6=4={<62a?7e?27?=44>5`9~w17a2909w0:>f;3a3>;3900:9o5rs503>5<5s4>9<7?m7:?75<<6=j1v954>3g9>07c=9k=0q~:=5;296~;3;80:8=5243795g18=7>52z?774<6j>168>>512d8yv25>3:1>v3;248205=:<;<1=o94}r613?6=:r7?>84>409>071=9k=0q~0:8=524359517<5;:m6;7p};2`83>7}:<;k1=o94=50f>4263ty?>o4?:3y>07d=9k=0197}:<:81=>h4=51;>4d03ty??94?:3y>06>=9:l019=;:0`4?xu3;:0;6?u242:9`g=:<:91=o94}r601?6=:r7??94>419>063=9k=0q~:<6;296~;3;=0:8<5242495g1j;7>54z?7=a<6;o168l7512d891gf28>:70:n8;3a3>{t4d034>j=7?;c:?7e7<6=>168l=514c891g328?j7p};a783>7}:h4=5c5>4d03ty?m84?:2y>0d3=9k=0187j:063?83>m3;?=6s|48g94?4|5=kj6<:?;<6:a?7e?2wx8lo50;0x91gf28h<70:n8;30b>{t<0l1<742734>2j7?m7:p0d6=838p197j:062?82f83;i;6s|4`394?4|5=k:6;3i=0:9o524749517<5=?26<:>;<63=?7e?27:4l4>409>647=9n6s|17f94?77s4>j>7?:b:?7e6<6=j168l:514a8940c28h<70?82;363>;6?:0:9o52166950d<58=>6<;m;<342?72j279=<4>5c9>644=9n63;e4821g=:43e3ty?m?4?:3y>0d4=9k=019o?:062?xu3i:0;6?u24`195g1<5=k;6<:l;|q7e1<72;q68l:51c5891g728?<7p};d683>6}:h4=5f:>45a34>o47?m7:p0a?=83=p19j6:0`4?82c93;>m63;d3821g=:42334;;97?:7:?243<6=h1v9j9:18182c=3;8j63;d782f2=z{=n>6=4<{<6g1?7e?27:419>55d=9=;0q~:ld;296~;3l10:?k524bf95g1hi7>52z?7ga<6<9168nk51c58yv2dn3:1>v3;ce8204=:419>0a6=9=;01<><:0`4?xu3l90;6?u24e295g1<5=im6<:?;|q7`4<72;q68i?51c5891ea28>:7p};d383>7}:42d3ty?h>4?:3y>0a5=9k=019mi:074?xu3l=0;6?u24e695g1<5=im6<;n;|q7fa<72=q68o?512d891d7289m70:mf;375>;3jl0:n:5rs5`3>5<3s4>i<7?m7:?7f3<6<9169485152891??28>;7p};bb83>7}:h4=5``>4d03ty?n:4?:2y>0g1=9k=018mk:063?83dl3;?=6s|4c094?4|5=hm6<:?;<6a6?7e?2wx8oh50;0x91da28h<70:me;30b>{t42734>i?7?m7:p0g2=838p19l=:062?82e<3;i;6s|58794?5|5=h>6<:?;<6a1?73927>584>b69~w1d22909w0:m5;3a3>;3j=0:8=5rs345>5<>s4>i:7?;1:?6=3<6<816>;851c58970>28?j70<87;36e>;5?10:9l5252:9516<5=3<6<:>;<6::=50;;x91d128>h70;66;37g>;5>00:9o5226195g1<5;=<6<;m;<04?54>409>0<1=9=i01977:06`?xu3j?0;6?u24c495g1<5=h?6<:>;|q7f=<72;q68o651c5891dd28>;7p};b883>7}:4263ty?nl4?:3y>0gg=9k=019ll:06`?xu3jk0;6?u24c`95g1<5=hh6<;8;|q6f4<72;q69l8512d890d528h<7p}:a783>41|5419>5ae=9=;01e58205=:9lk1=9?4=0ga>42734;nh7?;0:?2b6<6<816=k:51528976128>:70;58l0:8<5220c9517<5;;i6<:>;<010?739279>84>5`9>67d=9=;01?m:4>b69~w0g?2909w0;n7;374>;2i10:n:5rs4c:>5<5s4?j;7?;1:?6e<<6j>1v8on:18183fi3;i;63:a88205=z{h21<7=t=4ca>42734?jn7?;1:?b{t=hi1<74d034?j57?;c:p1db=838p18ok:0`4?83f13;>;6s|5`g94?4|5{t=k:1<74d034?j57?:c:p1g?=838p18l<:01e?83ei3;i;6s|5c694?4|5;70;m5;3a3>{t=k<1<742634?i:7?m7:p1g1=838p18l8:0`4?83e>3;?<6s|5c:94?4|5{t=j<1<745a34?h:7?m7:p1f5=839p18m<:0`4?821<3;?<63;658204=z{nn4>b69~w0dc2909w0;mc;374>;2jm0:n:5rs4`f>5<5s4?io7?;1:?6f`<6j>1vnk4>b69>1gc=9=:0q~;l0;296~;2k90:n:525cg951752z?6g4<6j>169ok515a8yv3d:3:1>v3:c382f2=:=ko1=894}r7`0?6=:r7>o94>b69>1f0=9=:0q~;l5;296~;2k<0:n:525b4951752z?0`f<6;o16?h:51c58yv5cl3:1>v3419>7ac=9k=0q~=kf;296~;4lm0:8<523ed95g152z?0a5<6j>16?ih51528yv5b93:1>v35`9>7f4=9k=01<88:06`?83a28>h70=8a;36e>{t;l81<74d0349oj7?;c:p7fc=838p1>m7:01e?85dn3;i;6s|3b;94?4|5:im6<=i;<1`=?7e?2wx?no50;0x96e>28>;70=la;3a3>{t;jh1<7426349hn7?m7:p7fe=838p1>ml:0`4?85dj3;?<6s|3bf94?4|5:io6;4lh0:n:5rs2f2>5<5s49on7?;0:?0`4<6j>1v>jm:18185cj3;i;63b69~w6b42909w0=k1;375>;4l:0:n:5rs2f7>5<5s49o87?m7:?0`6<6<91v>l?:18685c=3;>m63>698212=:9>91=8m4=057>43d349i<7?m7:p7a3=838p1>j::0`4?85c;3;?=6s|34a94?3|5:n=6<;n;<35=?72?27:;84>5b9>70e=9k=019k::07`?xu4>;0;68u23e4950d<58<26<;n;<341?72l278:?4>b69>0`3=955z?0`2<6=h16=;o51458941128?h70=98;3a3>;3m?0:9n5rs24f>5<2s49o;7?:b:?22d<6=h16=:8514f8960b28h<70:j6;36`>{t;m=1<74d0349o?7?:7:p7`3=83?p1>j7:07b?85b=3;i;63;748212=:1=8m4=5g4>43d3ty8io4?:4y>7a>=9km:0`4?820=3;>m63;e5821a=:b69>7a5=967}:=m<1=o94=4f6>45a3ty>oo4?:3y>1fg=9=:018mm:0`4?xu2kj0;6?u25bc9517<5;7p}=6`83>6}:=jo1=9>4=4af>426348=m7?m7:p1fc=838p18mj:0`4?83dk3;?=6s|5bd94?4|550;0x90b728h<70;lc;363>{t=m;1<74d034?ho7?:a:p1a4=838p18j=:0`4?83dk3;>n6s|5e194?4|5;3>j0:n:5rs542>5<5s4>=h7?;0:?724<6j>1v98k:181821l3;i;63;6b827c=z{=<96=4={<655?73827?:?4>b69~w1042909w0:91;375>;3>:0:n:5rs547>5<5s4>=87?m7:?726<6<91v8?9:180821=3;?<63;648204=:=8<1=o94}r651?6=:r7?:84>b69>035=9=;0q~:96;296~;3>?0:n:52471951e=;7>52z?722<6j>168;=51458yv2103:1>v3;6982f2=:b69>035=9h0:n:52471950e52z?6af<6;o169k?51c58yv3bl3:1>v3:f0827c=:=ln1=o94}r7fa?6=:r7>ii4>419>1`c=9k=0q~;jf;296~;2mm0:8<525dd95g17>52z?6ac<6<8169k<51c58yv5?<3:1?v3<7e827c=:;1<1=9?4=2:6>4d03ty8;h4?:3y>7=0=9=:01>9j:0`4?xu40?0;6?u239495g1<5:2>6<=i;|q03c<72;q6?:k51528961a28h<7p}<8183>7}:;>o1=9?4=2:3>4d03ty8n;4?:cy>7=7=9=;01>6=:07a?85?l3;?<63<978205=:;0l1=9?4=2c3>430349j57?;1:?0ed<6=h16?o851c5896da28>;70=mf;375>{t;ki1<77t=2:2>42d3493?7?:b:?0h70=n1;363>;4i00:8n523``950g<5:hh6728>;7p}<8383>7}:;181=o94=2:3>4263ty84>4?:3y>7=5=9k=01>6?:06`?xu40l0;6?u2395956`<5:2m6?28h<7p}<8883>7}:;121=9>4=2::>4d03ty84l4?:3y>7=>=9=;01>6n:0`4?xu40k0;6?u239`95g1<5:2j6<:?;|q0f28>:7p}<8e83>7}:;1n1=o94=2:b>42d3ty8544?:3y>7<6=9:l01>7n:0`4?xu4180;6?u238c956`<5:3:67}:;0;1=9?4=2;0>4d03ty8594?:3y>7<2=9k=01>7<:063?xu41<0;6?u238795g1<5:386<:>;|q0=3<72;q6?4851c5896?428>h7p}<9683>7}:;0=1=o94=2;0>4303ty8554?:3y>7<>=9k=01>7<:07b?xu3=l0;6?u2446956`<5=?m66}:<42734;m57?;1:p003=838p19;i:01e?822=3;i;6s|44494?4|5=?>6<:?;<662?7e?2wx88950;0x913228>:70::7;3a3>{t<<21<74d034>>;7?;0:p00?=838p19;6:0`4?822?3;?=6s|44`94?4|5=?i6{t=0=1<745a34?247?m7:p1=e=83;:w0;7c;3a3>;2180:9:525809501<5<386<;m;<7:0?72j279:i4>5e9>63c=9h63>fg821a=:ij0:9n52ae821f=:=;91=894=40b>43034;8=7?;1:?277<6=515a8yv3>>3:1>v3:94827c=:=0<1=o94}r7;`?6=:r7>554>3g9>1=b=9k=0q~;7e;296~;20m0:8=5259g95g152z?64d03ty>5=4?:3y>1<6=9k=0186i:063?xu2180;6?u258395g1<5<2m6<:>;|q6=7<72;q694<51c5890>a28>h7p}:9283>7}:=091=o94=4:e>4303ty>594?:3y>1<2=9k=0186i:07b?xu49m0;6>u25849501<5;<26<;l;<12`?7e?2wx?l<50;1x96?e289m70=n4;375>;4i:0:n:5rs2;`>5<5s49j87?;0:?0=f<6j>1v>o;:18185f<3;i;63b69~w6?b2909w0=6c;375>;41l0:n:5rs2;e>5<5s492j7?m7:?0=`<6<91v>o?:18185f83;i;63<9d8204=z{:k:6=4={<1b5?7e?2785h4>4b9~w6gc2908w0=n5;30b>;4io0:8<523`g95g152z?0ec<6<916?l851c58yv5fn3:1>v3h4}r1b3?6=:r78m;4>419>7d1=9k=0q~=n8;296~;4i?0:8<523`:95g152z?0e<<6j>16?l651528yv5fi3:1>v3b69>7d>=9=i0q~=nc;296~;4ij0:n:523`:950153z?123<6;o16>:<51538971628h<7p}=6g83>7}::?k1=>h4=34e>4d03ty9::4?:3y>624=9=:01?88:0`4?xu5?;0;6?u226095g1<5;=:6<=i;|q12=<72;q6>;951528970?28h<7p}=6883>7}::?=1=9?4=34:>4d03ty9:o4?:3y>63d=9k=01?8i:063?xu5>j0;6?u227a95g1<5;;|q12a<72;q6>;j51c58970a28>h7p}=6d83>7}::?o1=o94=34e>4303ty9;44?:2y>625=9:l01?9m:062?840i3;i;6s|26694?4|5;=i6<:?;<040?7e?2wx>:l50;0x971e28h<70<8a;30b>{t:>?1<7427348<97?m7:p620=838p1?9;:062?840>3;i;6s|26594?4|5;=<6:650;0x971?28h<70<86;375>{t<9n1<745a34>;i7?m7:p05g=838p19>j:01e?827i3;i;6s|41`94?4|5=:j6<:?;<63f?7e?2wx8=m50;0x916f28>:70:?c;3a3>{t;j<1<745a349h;7?m7:p7f5=838p1>m8:01e?85d;3;i;6s|3b694?4|5:i86<:?;<1`0?7e?2wx?n;50;0x96e428>:70=l5;3a3>{t9191<7=t=2a6>427349h97?;1:?2<6<6j>1v9:;:18182413;8j63;4482f2=z{=9j6=4={<671?74n27??l4>b69~w15e2909w0:;3;k0:n:5rs51`>5<5s4>8m7?;1:?77f<6j>1v9=k:181824l3;i;63;3b8205=z{=9n6=4={<60a?7e?27??n4>409~w0242908w0:;3;o0:8<5255195g18j7>52z?77c<6j>168>m515a8yv75:3:1?v3;418205=:<=:1=9?4=001>4d03ty?8=4?:3y>016=9k=019=l:074?xu3<80;6?u245395g1<5=9h6<;n;|q707<72;q689<51c58915d28?i7p};4283>7}:<=91=o94=51`>43d3ty::o4?:3y>537=9:l01<8l:0`4?xu6>;0;6?u217a956`<58<966583>7}:9?81=9?4=047>4d03ty?;94?:2y>533=9=:01<8::062?820<3;i;6s|17794?4|58<>6{t9?=1<74d034;=87?;c:p53>=838p1<87:0`4?871<3;>;6s|17;94?4|58<26{t9>=1<7=t=04g>45a34;<57?;1:?23=<6j>1v<8j:18187013;?<63>6d82f2=z{8=26=4={<34=?7e?27:;54>3g9~w40a2909w0?9e;374>;6>o0:n:5rs053>5<5s4;=i7?;1:?235<6j>1v9k<:18087093;?<63>708204=:b69>526=9=:0q~?82;296~;6?;0:n:52162951752z?236<6j>16=:>515a8yv70<3:1>v3>7582f2=:9>:1=894}r341?6=:r7:;84>b69>526=952z?0f3<6;o16?ol51c58yv5e?3:1>v3419>7g>=9k=0q~=m9;296~;4j>0:8<523c;95g152z?6<3<6;o1695l51c58yv3??3:1>v3:8c827c=:=1=1=o94}r7;4:4>419>1=>=9k=0q~;79;296~;20>0:8<5259;95g152z?6a5<6;o169h;51c58yv3b93:1>v3:e4827c=:=l;1=o94}r7f6?6=:r7>i<4>419>1`4=9k=0q~;j3;296~;2m80:8<525d195g152z?6a3<6;o169hl51c58yv3b?3:1>v3:ec827c=:=l=1=o94}r7fi:4>419>1`>=9k=0q~;j9;296~;2m>0:8<525d;95g1i7>52z?11d<6;o16>8h51c58yv42j3:1>v3=5g827c=::419>60e=9k=0q~<:d;296~;5=k0:8<5224f95g152z?05a<6;o16??<51c58yv56m3:1>v3<23827c=:;8o1=o94}r12b?6=:r78=h4>419>74`=9k=0q~==0;296~;49l0:8<5233295g152z?02=<6;o16?;j51c58yv5113:1>v3<6e827c=:;?31=o94}r15e?6=:r78:44>419>73g=9k=0q~=9b;296~;4>00:8<5237`95g17>52z?02`<6;o16?:=51c58yv51n3:1>v3<72827c=:;?l1=o94}r144?6=:r78:k4>419>726=9k=0q~=81;296~;4>o0:8<5236395g152z?0f5<6;o16?o;51c58yv5e93:1>v3419>7g4=9k=0q~=m3;296~;4j80:8<523c195g152z?0ff<6;o16?n?51c58yv5el3:1>v3419>7gc=9k=0q~=mf;296~;4jm0:8<523cd95g152z?0b4<6;o16?k851c58yv5a:3:1>v3419>7c5=9k=0q~=i4;296~;4n;0:8<523g695g152z?0b2<6;o16?km51c58yv5a03:1>v3419>7c?=9k=0q~=ia;296~;4n10:8<523gc95g145a34<:6m7?m7:?736<6<91v8l50;0x937=9:l018l51c58yv3d2909w0;m:063?83d28h<7p}:d;296~;2j3;?=63:d;3a3>{t=l0;6?u25d82f2=:=m0:8=5rs4d94?4|545a34<<67?m7:?736<6<81v;=50;0x931=9:l01;=51c58yv032909w08<:063?80328h<7p}95;296~;1;3;?=6395;3a3>{t91o1<745a34;3j7?m7:p5=2=838p1<6i:01e?87?<3;i;6s|19794?4|582?6<:?;<3;1?7e?2wx=5850;0x94>328>:70?76;3a3>{t9831<7=t=0:4>42734;3;7?;1:?25<<6j>1v<68:18187??3;i;63>878205=z{409>106=9k=0q~?78;296~;6010:n:52194951752z?2<<<6j>16=58515a8yv7?i3:1>v3>8`82f2=:91<1=894}r3;f?6=:r7:4o4>b69>5=0=952z?216=58514a8yv41<3:1>v3=61827c=::??1=o94}r055?6=:r79:84>3g9>637=9k=0q~<92;296~;5>80:8=5227095g152z?124<6<816>;=51c58yv54=3:1>v3<30827c=:;:<1=o94}r106?6=:r78?;4>3g9>764=9k=0q~=<3;296~;4;;0:8=5232195g152z?077<6<816?>:51c58yv5183:1>v3<5b827c=:;?;1=o94}r16`?6=:r78:<4>3g9>70b=9k=0q~=:e;296~;4=m0:8=5234g95g1j7>52z?01a<6<816?8h51c58yv51>3:1>v3<63827c=:;?=1=o94}r157?6=:r78::4>3g9>735=9k=0q~=94;296~;4>:0:8=5237695g152z?026<6<816?;;51c58yv50j3:1>v3<75827c=:;>i1=o94}r140?6=:r78;94>b69>0cg=9=;0q~=85;296~;4?j0:?k5236795g152z?030<6<916?:851c58yv50?3:1>v3<748204=:;>=1=o94}r14b69>721=9=:0q~=89;296~;4?00:n:52365951752z?03d<6j>16?:9515a8yv5b13:1>v33g9>7`0=9k=0q~=j7;296~;4m?0:8=523d595g152z?0a3<6<816?h651c58yv5bn3:1>v33g9>7`e=9k=0q~=jd;296~;4mj0:8=523df95g152z?0af<6<816?hk51c58yv27?3:1>v3b69>02c=9=;0q~:?6;296~;3880:?k5241495g152z?74=<6;o16?kk51c58yv5an3:1>v3409>056=9k=0q~:?2;296~;38;0:n:524149516;?7>52z?746<6j>168=851538yv27<3:1>v3;0582f2=:<9<1=9m4}r631?6=:r7?<84>b69>050=9<=0q~;<9;296~;2;<0:?k5252c95g153z?670<6j>16=o<5152894d528>:7p}:3783>7}:=:k1=>h4=415>4d03ty>?:4?:3y>160=9=:018=8:0`4?xu2;10;6?u25249517<5<9367}:=h?1=>h4=4;b>4d03ty>5o4?:3y>1;7p}:9d83>7}:=0o1=o94=4;`>4263ty>5k4?:3y>1<`=9k=0187l:06`?xu2i90;6?u25`295g1<5<3h6<;8;|q6e4<72;q69l?51c5890?d28?j7p}:a383>7}:=h81=o94=4;`>43e3ty>m>4?:3y>1d5=9k=0187l:07`?xu2n?0;6?u25g0956`<57}:=o91=9>4=4d7>4d03ty>j84?:3y>1c5=9=;018h::0`4?xu6ll0;6?u21e:956`<58nn628h<7p}>d`83>7}:9m31=9>4=0fb>4d03ty:ho4?:3y>5a?=9=;01:7p}>e483>7}:9ml1=>h4=0g6>4d03ty:i=4?:3y>5`3=9:l015153894c528h<7p}>e283>7}:9l91=o94=0g1>4273ty:i94?:3y>5`2=9k=01eb83>6}:9li1=o94=32g>427348;h7?;1:p5`1=838p1:70?j9;3a3>{t9lk1<74d034;n57?;0:p5`d=838p150;0x94`2289m70?i0;3a3>{t9o;1<742734;m=7?m7:p5c4=838p1{t:9;1<745a348;=7?m7:p656=838p1;70?i8;3a3>{t9o31<742634;m57?m7:p5cd=838p1{t9oo1<74d0348;<7?:7:p5c`=838p1m6s|21:94?4|5;:96<=i;<03==50;0x976?289m70{t:9>1<7427348;87?m7:p653=838p1?><:062?847=3;i;6s|21494?4|5;:=6=950;0x976028h<70{t:8?1<745a348:97?m7:p642=838p1?>i:01e?846<3;i;6s|21c94?4|5;;>6<=i;<03e?7e?2wx>=l50;0x976f28>;70{t:9i1<7426348;o7?m7:p65b=838p1?>k:0`4?847k3;?<6s|21g94?4|5;:n6<>50;0x977728h<70<>4;374>{t:8;1<74d0348:87?;1:p644=838p1??=:0`4?846<3;?o6s|20194?4|5;;86c;3a3>{t:8=1<745a348:;7?m7:p64>=838p1??8:063?84603;i;6s|20;94?4|5;;<6<:>;<02=?7e?2wx>9;374>{t:8h1<74d0348:57?;1:p670=838p1??k:01e?845>3;i;6s|20g94?4|5;8=6<=i;<02a?7e?2wx>;70<>f;3a3>{t:;:1<74263489<7?m7:p677=838p1?<>:0`4?84583;?<6s|23094?4|5;896?=50;0x974428h<70<=0;37g>{t:;>1<74d03489<7?:7:p673=838p1?<::0`4?84583;>m6s|23f94?4|5;8<6<=i;<01`?7e?2wx>?650;0x974c289m70<=8;3a3>{t:;31<7427348957?m7:p67g=838p1?<7:062?845i3;i;6s|23`94?4|5;8i6?m50;0x974d28h<70<=a;375>{t45a34>mn7?m7:p0c>=838p19hm:01e?82a03;i;6s|4g;94?4|5=l36<:?;<6e=?7e?2wx8ko50;0x91`?28>:70:ia;3a3>{t=8n1<745a34?:h7?m7:p14e=838p18?9:01e?836k3;i;6s|50194?4|5<;o6<=i;<727?7e?2wx9<:50;0x907428>;70;>4;3a3>{t=8?1<742634?:97?m7:p0f0=839p18?::063?836=3;?=63;c782f2=z{<;<6=4={<723?7e?27>=n4>419~w07?2909w0;>8;3a3>;29j0:8<5rs43:>5<5s4?:57?m7:?65f<6=n4>5`9~w0322909w0;;c;30b>;2=<0:n:5rs477>5<5s4?><7?1v8:k:181832=3;8j63:4e82f2=z{<>n6=4={<77`?73827>8h4>b69~w02a2909w0;;d;375>;25<5s4?>=7?m7:?611<6<91v8;=:181832:3;i;63:558204=z{994>4b9~w01d2909w0;88;30b>;2?j0:n:5rs45:>5<5s4?1v89n:18183013;?<63:7`82f2=z{<=i6=4={<74=?73927>;o4>b69~w1112909w0:80;30b>;3??0:n:5rs556>5<5s4><87?1v99>:181820>3;8j63;7082f2=z{==96=4={<645?73827?;?4>b69~w1142909w0:81;375>;3?:0:n:5rs5a;>5<5s4>h>7?1v9m8:18182d>3;8j63;c682f2=z{=i86=4={<6`=?74n27?o>4>b69~w1e32909w0:l3;374>;3k=0:n:5rs5a6>5<5s4>h?7?;1:?7g0<6j>1v9k6:18182cn3;8j63;e`82f2=z{=o36=4={<6f7?74n27?i54>b69~w1c72909w0:ja;30b>;3m90:n:5rs5g2>5<5s4>n<7?;0:?7a4<6j>1v9k=:18182b83;?=63;e382f2=z{=o?6=4={<6f0?7e?27?i54>419~w1c22909w0:j5;3a3>;3m10:8<5rs5g5>5<5s4>n:7?m7:?7a=<6b69~w1cb2909w0:i4;30b>;3ml0:n:5rs5ge>5<5s4>ni7?;0:?7ac<6j>1v9h?:18182bm3;?=63;f182f2=z{=l:6=4={<6e5?7e?27?j=4>419~w1`52909w0:i2;3a3>;3n90:8<5rs5d0>5<5s4>m?7?m7:?7b5<6:18187fj3;8j63>b082f2=z{8ko6=4={<3bg?74n27:mi4>b69~w4d52909w0?nd;30b>;6j;0:n:5rs0cf>5<5s4;i=7?1vag82f2=z{8h;6=4={<3ba?73927:n=4>b69~wd`=838p1l:512d89d`=9k=0q~oj:1818g?289m70oj:0`4?xuf=3:1>v3nf;30b>;f=3;i;6s|a783>7}:i<0:8=52a782f2=z{h=1<75<5s4k264273tyjm7>52z?be?7e?27ji7?;1:peg<72;q6mo4>b69>e`<6{tj=0;6?u2b6827c=:j=0:n:5rsc794?4|5k>1=9>4=c795g142634h=6=9:l0196?:0`4?xu3?h0;6?u2492956`<5==j67}:<>k1=9?4=55`>4d03ty?;i4?:3y>02b=9k=0199l:063?xu3?l0;6?u246g95g1<5==h6<:>;|q7=<<72:q684=512d89f4=9:l0197n:0`4?xu31=0;6?u248c956`<5=3?67}:<0>1=9?4=5;5>4d03ty?5:4?:3y>0<1=9k=01979:063?xu3110;6?u248:95g1<5=3=6<:>;|q661<72;q69?>512d8904328h<7p}:2083>7}:=;>1=>h4=402>4d03ty>>?4?:3y>177=9=:018<=:0`4?xu2::0;6?u25339517<5<8867}:=;h1=>h4=40;>4d03ty>>44?:3y>17>=9=:018<6:0`4?xu2:h0;6?u253:9517<5<8j6j512d8902>28h<7p}:4983>7}:==91=>h4=46;>4d03ty>?h4?:3y>11?=9:l018=j:0`4?xu2;o0;6?u252g9516<5<9m6k51538902728h<7p}>2183>6}:==;1=9>4=462>42634;9<7?m7:p117=838p18:>:0`4?83383;?<6s|55094?4|5<>96{t==?1<74d034??47?;1:p110=838p18:9:0`4?83303;?o6s|55594?4|5<><6`7<6;o16h;4>b69~wa5=838p1i8512d89a5=9k=0q~j;:1818b428>;70j;:0`4?xuc=3:1>v3k3;375>;c=3;i;6s|d083>7}:km0:?k52d082f2=z{jo1<75<5s4in6<:?;4d03tyo<7>52z?`a?73927o<7?m7:p164=838p18>50;0x904a28>;70;<0;3a3>{t=:;1<742634?8=7?m7:paf<72;q6i84>3g9>af<6j>1vhj50;1x9`0=9=:01h8515389`b=9k=0q~k9:1818c128h<70kl:063?xub?3:1>v3j7;3a3>;bk3;?=6s|e983>7}:m10:n:52eb820f=z{l31<75<5s4oj643f3tynn7>52z?ff?7e?27no7?:b:pb4<72;q6ii4>3g9>b4<6j>1vhk50;0x9`c=9k=01k?51528yvca2909w0ki:0`4?8`628>:7p}i0;296~;a83;i;63i1;37g>{tn:0;6?u2f3827c=:n:0:n:5rsg094?5|5o81=o94=g79516<5o?1=9?4}rda>5<5s4l?6<=i;4d03tym97>52z?e1?7e?27mn7?;0:pb3<72;q6j;4>b69>bg<6<81vk950;0x9c1=9k=01kl515a8yv`?2909w0h7:0`4?8`e28?<7p}i9;296~;a13;i;63ib;36e>{tnh0;6?u2f`82f2=:nk0:9o5rs021>5<5s4lh6<=i;<336?7e?2wxji4?:3y>ba<6j>16==<51528yv`b2909w0hj:0`4?877:3;?=6s|fg83>7}:no0:n:52110951e52z?245<6j>16==<51458yv7793:1>v3>0082f2=:9981=8o4}r33=?6=:r7:<>4>3g9>55?=9k=0q~??4;296~;68=0:n:5211;951652z?240<6j>16==751538yv77>3:1>v3>0782f2=:9931=9m4}r333?6=:r7:<:4>b69>55?=9<=0q~??8;296~;6810:n:5211;950g52z?24d<6;o16=v3>0c82f2=:98;1=9>4}r33g?6=:r7:b69>547=9=;0q~??d;296~;68m0:n:52103951e52z?24`<6j>16=v3>0g82f2=:98;1=8o4}r324?6=:r7:==4>b69>547=98;296~;69;0:?k5210:95g152z?256<6j>16=<651528yv76<3:1>v3>1582f2=:9821=9?4}r321?6=:r7:=84>b69>54>=9=i0q~?>6;296~;69?0:n:5210:950152z?252<6j>16=<6514c8yv76n3:1>v3>18827c=:98l1=o94}r32e?6=:r7:=l4>b69>54`=9=:0q~?>b;296~;69k0:n:5210d951752z?25f<6j>16=v3>1e82f2=:98l1=894}r32a?6=:r7:=h4>b69>54`=952z?267<6;o16=?651c58yv75;3:1>v3>2282f2=:9;21=9>4}r310?6=:r7:>94>b69>57>=9=;0q~?=5;296~;6:<0:n:5213:951e52z?263<6j>16=?651458yv75?3:1>v3>2682f2=:9;21=8o4}r31b?6=:r7:>44>3g9>57`=9k=0q~?=a;296~;6:h0:n:5213d951652z?26g<6j>16=?h51538yv75k3:1>v3>2b82f2=:9;l1=9m4}r31`?6=:r7:>i4>b69>57`=9<=0q~?=e;296~;6:l0:n:5213d950g52z?275<6;o16=>851c58yv7493:1>v3>3082f2=:9:<1=9>4}r306?6=:r7:??4>b69>560=9=;0q~?<3;296~;6;:0:n:52124951e52z?271<6j>16=>851458yv74=3:1>v3>3482f2=:9:<1=8o4}|l6a04=83;pqc;j5283>4}zf87>51zm1`32290:wp`:e4494?7|ug?n9:4?:0y~j0c203:1=vsa5d7:>5<6std>i8o50;3xyk3b=k0;6{|l6a34=83;pqc;j6283>4}zf51zm1`02290:wp`:e7494?7|ug?n::4?:0y~j0c103:1=vsa5d4:>5<6std>i;o50;3xyk3b>k0;6{|l6a24=83;pqc;j7283>4}zf51zm1`12290:wp`:e6494?7|ug?n;:4?:0y~j0c003:1=vsa5d5:>5<6std>i:o50;3xyk3b?k0;6o1<7?t}o7f3c<728qvb8k70;295~{i=l2:6=4>{|l6a=4=83;pqc;j8283>4}zf51zm1`>2290:wp`:e9494?7|ug?n4:4?:0y~j0c?03:1=vsa5d::>5<6std>i5o50;3xyk3b0k0;6{|l6a<4=83;pqc;j9283>4}zf51zm1`?2290:wp`:e8494?7|ug?n5:4?:0y~j0c>03:1=vsa5d;:>5<6std>i4o50;3xyk3b1k0;6{|l6ad4=83;pqc;ja283>4}zf51zm1`g2290:wp`:e`494?7|ug?nm:4?:0y~j0cf03:1=vsa5dc:>5<6std>ilo50;3xyk3bik0;6{|l6ag4=83;pqc;jb283>4}zf51zm1`d2290:wp`:ec494?7|ug?nn:4?:0y~j0ce03:1=vsa5d`:>5<6std>ioo50;3xyk3bjk0;6{|l6af4=83;pqc;jc283>4}zf51zm1`e2290:wp`:eb494?7|ug?no:4?:0y~j0cd03:1=vsa5da:>5<6std>ino50;3xyk3bkk0;6{|l6aa4=83;pqc;jd283>4}zf51zm1`b2290:wp`:ee494?7|ug?nh:4?:0y~j0cc03:1=vsa5df:>5<6std>iio50;3xyk3blk0;6{|l6a`4=83;pqc;je283>4}zf51zm1`c2290:wp`:ed494?7|ug?ni:4?:0y~j0cb03:1=vsa5dg:>5<6std>iho50;3xyk3bmk0;6{|l6ac4=83;pqc;jf283>4}zf51zm1``2290:wp`:eg494?7|ug?nj:4?:0y~j0ca03:1=vsa5dd:>5<6std>iko50;3xyk3bnk0;6{|l6b54=83;pqc;i0283>4}zf51zm1c62290:wp`:f1494?7|ug?m<:4?:0y~j0`703:1=vsa5g2:>5<6std>j=o50;3xyk3a8k0;6k:182xh2n9o1<7?t}o7e4c<728qvb8h>0;295~{i=o;:6=4>{|l6b44=83;pqc;i1283>4}zf51zm1c72290:wp`:f0494?7|ug?m=:4?:0y~j0`603:1=vsa5g3:>5<6std>j{|l6b74=83;pqc;i2283>4}zf51zm1c42290:wp`:f3494?7|ug?m>:4?:0y~j0`503:1=vsa5g0:>5<6std>j?o50;3xyk3a:k0;6{|l6b64=83;pqc;i3283>4}zf51zm1c52290:wp`:f2494?7|ug?m?:4?:0y~j0`403:1=vsa5g1:>5<6std>j>o50;3xyk3a;k0;6:6=4>{|l6b14=83;pqc;i4283>4}zf51zm1c22290:wp`:f5494?7|ug?m8:4?:0y~j0`303:1=vsa5g6:>5<6std>j9o50;3xyk3a{|l6b04=83;pqc;i5283>4}zf87>51zm1c32290:wp`:f4494?7|ug?m9:4?:0y~j0`203:1=vsa5g7:>5<6std>j8o50;3xyk3a=k0;6{|l6b34=83;pqc;i6283>4}zf51zm1c02290:wp`:f7494?7|ug?m::4?:0y~j0`103:1=vsa5g4:>5<6std>j;o50;3xyk3a>k0;6{|l6b24=83;pqc;i7283>4}zf51zm1c12290:wp`:f6494?7|ug?m;:4?:0y~j0`003:1=vsa5g5:>5<6std>j:o50;3xyk3a?k0;6o1<7?t}o7e3c<728qvb8h70;295~{i=o2:6=4>{|l6b=4=83;pqc;i8283>4}zf51zm1c>2290:wp`:f9494?7|ug?m4:4?:0y~j0`?03:1=vsa5g::>5<6std>j5o50;3xyk3a0k0;6{|l6b<4=83;pqc;i9283>4}zf51zm1c?2290:wp`:f8494?7|ug?m5:4?:0y~j0`>03:1=vsa5g;:>5<6std>j4o50;3xyk3a1k0;6{|l6bd4=83;pqc;ia283>4}zf51zm1cg2290:wp`:f`494?7|ug?mm:4?:0y~j0`f03:1=vsa5gc:>5<6std>jlo50;3xyk3aik0;6{|l6bg4=83;pqc;ib283>4}zf51zm1cd2290:wp`:fc494?7|ug?mn:4?:0y~j0`e03:1=vsa5g`:>5<6std>joo50;3xyk3ajk0;6{|l6bf4=83;pqc;ic283>4}zf51zm1ce2290:wp`:fb494?7|ug?mo:4?:0y~j0`d03:1=vsa5ga:>5<6std>jno50;3xyk3akk0;6{|l6ba4=83;pqc;id283>4}zf51zm1cb2290:wp`:fe494?7|ug?mh:4?:0y~j0`c03:1=vsa5gf:>5<6std>jio50;3xyk3alk0;6{|l6b`4=83;pqc;ie283>4}zf51zm1cc2290:wp`:fd494?7|ug?mi:4?:0y~j0`b03:1=vsa5gg:>5<6std>jho50;3xyk3amk0;6{|l6bc4=83;pqc;if283>4}zf51zm1c`2290:wp`:fg494?7|ug?mj:4?:0y~j0`a03:1=vsa5gd:>5<6std>jko50;3xyk3ank0;6?0;295~{i>9::6=4>{|l5454=83;pqc8?0283>4}zf?:;87>51zm2562290:wp`901494?7|ug<;<:4?:0y~j36703:1=vsa612:>5<6std=<=o50;3xyk078k0;6k:182xh189o1<7?t}o434c<728qvb;>>0;295~{i>9;:6=4>{|l5444=83;pqc8?1283>4}zf?::87>51zm2572290:wp`900494?7|ug<;=:4?:0y~j36603:1=vsa613:>5<6std=<=0;295~{i>98:6=4>{|l5474=83;pqc8?2283>4}zf?:987>51zm2542290:wp`903494?7|ug<;>:4?:0y~j36503:1=vsa610:>5<6std=<0;295~{i>99:6=4>{|l5464=83;pqc8?3283>4}zf?:887>51zm2552290:wp`902494?7|ug<;?:4?:0y~j36403:1=vsa611:>5<6std=<>o50;3xyk07;k0;6;0;295~{i>9>:6=4>{|l5414=83;pqc8?4283>4}zf?:?87>51zm2522290:wp`905494?7|ug<;8:4?:0y~j36303:1=vsa616:>5<6std=<9o50;3xyk07:0;295~{i>9?:6=4>{|l5404=83;pqc8?5283>4}zf?:>87>51zm2532290:wp`904494?7|ug<;9:4?:0y~j36203:1=vsa617:>5<6std=<8o50;3xyk07=k0;690;295~{i>9<:6=4>{|l5434=83;pqc8?6283>4}zf?:=87>51zm2502290:wp`907494?7|ug<;::4?:0y~j36103:1=vsa614:>5<6std=<;o50;3xyk07>k0;680;295~{i>9=:6=4>{|l5424=83;pqc8?7283>4}zf?:<87>51zm2512290:wp`906494?7|ug<;;:4?:0y~j36003:1=vsa615:>5<6std=<:o50;3xyk07?k0;6o1<7?t}o433c<728qvb;>70;295~{i>92:6=4>{|l54=4=83;pqc8?8283>4}zf?:387>51zm25>2290:wp`909494?7|ug<;4:4?:0y~j36?03:1=vsa61::>5<6std=<5o50;3xyk070k0;660;295~{i>93:6=4>{|l54<4=83;pqc8?9283>4}zf?:287>51zm25?2290:wp`908494?7|ug<;5:4?:0y~j36>03:1=vsa61;:>5<6std=<4o50;3xyk071k0;6n0;295~{i>9k:6=4>{|l54d4=83;pqc8?a283>4}zf?:j87>51zm25g2290:wp`90`494?7|ug<;m:4?:0y~j36f03:1=vsa61c:>5<6std=m0;295~{i>9h:6=4>{|l54g4=83;pqc8?b283>4}zf?:i87>51zm25d2290:wp`90c494?7|ug<;n:4?:0y~j36e03:1=vsa61`:>5<6std=l0;295~{i>9i:6=4>{|l54f4=83;pqc8?c283>4}zf?:h87>51zm25e2290:wp`90b494?7|ug<;o:4?:0y~j36d03:1=vsa61a:>5<6std=k0;295~{i>9n:6=4>{|l54a4=83;pqc8?d283>4}zf?:o87>51zm25b2290:wp`90e494?7|ug<;h:4?:0y~j36c03:1=vsa61f:>5<6std=j0;295~{i>9o:6=4>{|l54`4=83;pqc8?e283>4}zf?:n87>51zm25c2290:wp`90d494?7|ug<;i:4?:0y~j36b03:1=vsa61g:>5<6std=i0;295~{i>9l:6=4>{|l54c4=83;pqc8?f283>4}zf?:m87>51zm25`2290:wp`90g494?7|ug<;j:4?:0y~j36a03:1=vsa61d:>5<6std=8::6=4>{|l5554=83;pqc8>0283>4}zf?;;87>51zm2462290:wp`911494?7|ug<:<:4?:0y~j37703:1=vsa602:>5<6std===o50;3xyk068k0;6k:182xh199o1<7?t}o424c<728qvb;?>0;295~{i>8;:6=4>{|l5544=83;pqc8>1283>4}zf?;:87>51zm2472290:wp`910494?7|ug<:=:4?:0y~j37603:1=vsa603:>5<6std==88:6=4>{|l5574=83;pqc8>2283>4}zf?;987>51zm2442290:wp`913494?7|ug<:>:4?:0y~j37503:1=vsa600:>5<6std==?o50;3xyk06:k0;689:6=4>{|l5564=83;pqc8>3283>4}zf?;887>51zm2452290:wp`912494?7|ug<:?:4?:0y~j37403:1=vsa601:>5<6std==>o50;3xyk06;k0;68>:6=4>{|l5514=83;pqc8>4283>4}zf?;?87>51zm2422290:wp`915494?7|ug<:8:4?:0y~j37303:1=vsa606:>5<6std==9o50;3xyk068?:6=4>{|l5504=83;pqc8>5283>4}zf?;>87>51zm2432290:wp`914494?7|ug<:9:4?:0y~j37203:1=vsa607:>5<6std==8o50;3xyk06=k0;68<:6=4>{|l5534=83;pqc8>6283>4}zf?;=87>51zm2402290:wp`917494?7|ug<:::4?:0y~j37103:1=vsa604:>5<6std==;o50;3xyk06>k0;68=:6=4>{|l5524=83;pqc8>7283>4}zf?;<87>51zm2412290:wp`916494?7|ug<:;:4?:0y~j37003:1=vsa605:>5<6std==:o50;3xyk06?k0;6o1<7?t}o423c<728qvb;?70;295~{i>82:6=4>{|l55=4=83;pqc8>8283>4}zf?;387>51zm24>2290:wp`919494?7|ug<:4:4?:0y~j37?03:1=vsa60::>5<6std==5o50;3xyk060k0;683:6=4>{|l55<4=83;pqc8>9283>4}zf?;287>51zm24?2290:wp`918494?7|ug<:5:4?:0y~j37>03:1=vsa60;:>5<6std==4o50;3xyk061k0;68k:6=4>{|l55d4=83;pqc8>a283>4}zf?;j87>51zm24g2290:wp`91`494?7|ug<:m:4?:0y~j37f03:1=vsa60c:>5<6std==lo50;3xyk06ik0;68h:6=4>{|l55g4=83;pqc8>b283>4}zf?;i87>51zm24d2290:wp`91c494?7|ug<:n:4?:0y~j37e03:1=vsa60`:>5<6std==oo50;3xyk06jk0;68i:6=4>{|l55f4=83;pqc8>c283>4}zf?;h87>51zm24e2290:wp`91b494?7|ug<:o:4?:0y~j37d03:1=vsa60a:>5<6std==no50;3xyk06kk0;68n:6=4>{|l55a4=83;pqc8>d283>4}zf?;o87>51zm24b2290:wp`91e494?7|ug<:h:4?:0y~j37c03:1=vsa60f:>5<6std==io50;3xyk06lk0;68o:6=4>{|l55`4=83;pqc8>e283>4}zf?;n87>51zm24c2290:wp`91d494?7|ug<:i:4?:0y~j37b03:1=vsa60g:>5<6std==ho50;3xyk06mk0;68l:6=4>{|l55c4=83;pqc8>f283>4}zf?;m87>51zm24`2290:wp`91g494?7|ug<:j:4?:0y~j37a03:1=vsa60d:>5<6std==ko50;3xyk06nk0;6;::6=4>{|l5654=83;pqc8=0283>4}zf?8;87>51zm2762290:wp`921494?7|ug<9<:4?:0y~j34703:1=vsa632:>5<6std=>=o50;3xyk058k0;6k:182xh1:9o1<7?t}o414c<728qvb;<>0;295~{i>;;:6=4>{|l5644=83;pqc8=1283>4}zf?8:87>51zm2772290:wp`920494?7|ug<9=:4?:0y~j34603:1=vsa633:>5<6std=>;8:6=4>{|l5674=83;pqc8=2283>4}zf?8987>51zm2742290:wp`923494?7|ug<9>:4?:0y~j34503:1=vsa630:>5<6std=>?o50;3xyk05:k0;6;9:6=4>{|l5664=83;pqc8=3283>4}zf?8887>51zm2752290:wp`922494?7|ug<9?:4?:0y~j34403:1=vsa631:>5<6std=>>o50;3xyk05;k0;6;>:6=4>{|l5614=83;pqc8=4283>4}zf?8?87>51zm2722290:wp`925494?7|ug<98:4?:0y~j34303:1=vsa636:>5<6std=>9o50;3xyk05;?:6=4>{|l5604=83;pqc8=5283>4}zf?8>87>51zm2732290:wp`924494?7|ug<99:4?:0y~j34203:1=vsa637:>5<6std=>8o50;3xyk05=k0;6;<:6=4>{|l5634=83;pqc8=6283>4}zf?8=87>51zm2702290:wp`927494?7|ug<9::4?:0y~j34103:1=vsa634:>5<6std=>;o50;3xyk05>k0;6;=:6=4>{|l5624=83;pqc8=7283>4}zf?8<87>51zm2712290:wp`926494?7|ug<9;:4?:0y~j34003:1=vsa635:>5<6std=>:o50;3xyk05?k0;6o1<7?t}o413c<728qvb;<70;295~{i>;2:6=4>{|l56=4=83;pqc8=8283>4}zf?8387>51zm27>2290:wp`929494?7|ug<94:4?:0y~j34?03:1=vsa63::>5<6std=>5o50;3xyk050k0;6;3:6=4>{|l56<4=83;pqc8=9283>4}zf?8287>51zm27?2290:wp`928494?7|ug<95:4?:0y~j34>03:1=vsa63;:>5<6std=>4o50;3xyk051k0;6;k:6=4>{|l56d4=83;pqc8=a283>4}zf?8j87>51zm27g2290:wp`92`494?7|ug<9m:4?:0y~j34f03:1=vsa63c:>5<6std=>lo50;3xyk05ik0;6;h:6=4>{|l56g4=83;pqc8=b283>4}zf?8i87>51zm27d2290:wp`92c494?7|ug<9n:4?:0y~j34e03:1=vsa63`:>5<6std=>oo50;3xyk05jk0;6;i:6=4>{|l56f4=83;pqc8=c283>4}zf?8h87>51zm27e2290:wp`92b494?7|ug<9o:4?:0y~j34d03:1=vsa63a:>5<6std=>no50;3xyk05kk0;6;n:6=4>{|l56a4=83;pqc8=d283>4}zf?8o87>51zm27b2290:wp`92e494?7|ug<9h:4?:0y~j34c03:1=vsa63f:>5<6std=>io50;3xyk05lk0;6;o:6=4>{|l56`4=83;pqc8=e283>4}zf?8n87>51zm27c2290:wp`92d494?7|ug<9i:4?:0y~j34b03:1=vsa63g:>5<6std=>ho50;3xyk05mk0;601295567!890$0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(f8,1672=:;69>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5<5=2:036>45:288=95=3;KMTPR=IVLXN1<<:0<1?7253;?97?9=;3:1?7?63:80?=<43308764<;=80?8<43708727<<;1?8?5:539627=20;1>5<592:406>03:2<>>6891:51?2653>897::=;641?2153>297:7>;908<54<08804?<48408<34<0>8044?4939:47=>9;12>?56339:07=>=;12:?56749BH@6J?2KGI=C!D99BH@6J&M;37LBJ0L,G6a=FDL:F"IGKM9G%H?Q_T^34?DJB8D$Z56OCE1O-V@A23HFN<^94AMG3W+B?3HFN<^ K199BH@6T&M8<7LBJ0R,R=>GKM9Y%^HI;;@NF56=FFM30MC@@T@VF@<=FWOYI0<>1d:C\BVD;994%\LJ6;@]EWG:697n0MRH\B=32:+VFL01JSK]M<00=`>GXNZH7=?0!P@F:?DYA[K6:?3j4A^DPF9746'ZJH45N_GQA8429l2KTJ^L315<-TDB>3HUM_O2>5?f8EZ@TJ5;>2#^ND89B[CUE48<5h6OPFR@?538)XHN27LQISC>23;b/RB@<=FWOYI0<61d:C\BVD;914%\LJ6;@]EWG:617n0MRH\B=3::+VFL11JSK]M<0<`?DYA[K6:2#^ND89B[CUE4;:5h6OPFR@?658)XHN27LQISC>15;b>/RB@<=FWOYI0?<1d:C\BVD;:;4%\LJm;@]EWG:5;3;556OPFR@?668c3HUM_O2=3?,SEA>7$[MI64A^DPF919k2KTJ^L37?,SEA>GXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_M=?5N_GQA[4?XAK_M(O[I0068EZ@TJV;2SDLZF%@VB5(C9<1JSK]M_0;\MGSA,K_M<#J>149B[CUEW83TEO[I$CWE4+B59?1JSK]M_0;\MGSA,K_M<#KWP0`8EZ@TJV;2SDLZF%@VB5(BPYU[XR>>b:C\BVDX90UBNXH+BTD3*@^WWY^T=W@H^J)LZF1,F\UYW\V8:n6OPFR@\50MRH\B^02[LDRN-H^J= K149B[CUEW;;TEO[I$CWE4+B69<1JSK]M_33\MGSA,K_M<#J=159B[CUEW;;TEO[I$CWE4+Wc3HUM_OQ=2^KAQCb5MUG:8FPUXAGLD86M>6L:8G40J&YKOi6M>6L]JFP@(NJF@j6M>6L]JFP@(NJF@!;5L21OFJd=D:9GNB#^ND79@60KBFh1H>8CJN/RB@468CJN^MAQC"E]O:%H<=4C37NAKYHJ\L/NXH?.E327>E5=DOESBLZF%@VB5(C:880O?;BEO]LFP@#J\L;"\j4C37NAKYHJ\L/]i5L24OFJZIE]O$Oi6M=5LGM[JDRN'N:i6M=5LGM[JDRN'N9h6M=5LGM[JDRN'[87N6B7:A;I+VFL11H4@QFBTDf?F>JW@H^J"HLLJ/1?FC43JF@56M@MLKWP@B03JXNMYKK3:AWH<=Ddfi`_}kl8:FbpdUwmj?0Hhbny09F1>C)XHNj7HV_11]-A]Va3LR[==Q!EYR\TQY7n2OS\<>P.DZS[URX9o1NT]??_/G[TZVSW;l0IU^>0^,F\UYW\V9m7HV_11]-A]VXX]U?j6KWP02\*@^WWY^T9_/G[TZVSW;;i7HV_10]-A]VX|zcf~h`nmdf\j`rXi2OS\<i;DZS56Y)MQZT\YQ>f:G[T45X&LR[S]ZP2g9F\U74W'OS\R^[_2d8A]V6;V$NT]Q_T^6e?@^W9:U%IU^PPU]65g=BPY;8S#KWP^vpmhtbfhgnhR`jt^c8A]V6i;DZS51Y)MQZT\YQ>f:G[T42X&LR[S]ZP2g9F\U73W'OS\R^[_2d8A]V6C_X8>T"HV__uqjiwciidooSck{_`9F\U72W'OS\k5JXQ36[+C_XVZ_S=h4EYR21Z(BPYU[XR?i;DZS50Y)MQZT\YQ=f:G[T43X&LR[S]ZP3g9F\U72W'OS\R^[_53a?@^W99^,F\UYW\V:m7HV_18]-A]VXX]U:j6KWP0;\*@^WWY^T>k5JXQ3:[+C_XVZ_S>h4EYR2=Z(BPYU[XR:>b:G[T4?X&LR[Sy}fmsgmehccWgoSl5JXQ03[+C_X8h0IU^=0^,F\UYs{`gyicobee]maqYf3LR[>C_X;;T"HV__QV\5c=BPY8:S#KWP^RW[7`_/G[TZrtadxnblcjd^lfpZ0259JJ4533@D:895FN077?LH6>=1BB<9;;HL2<1=NF8387D@=4:KM6529:4IO060>OI:?>0EC<84:KM6=25FN518MK343@D=?6GA729JJ=58:KLEFR)L;n0EBOLT/F1[URX8m1BCLM[.E0\TQY6l2CDMNZ!D3]SPZ4c3@EJOY K2^RW[6bOHIJ^%]45FO@AW*WC@>2CDNH\]8:KLF@TU&M30EBLJRS,G5<=NGKOY^#J=e:KLF@TU&M8T\YQ?e:KLF@TU&M8T\YQ>e:KLF@TU&M8T\YQ=e:KLF@TU&M8T\YQ7:KLH5(C:j1BCA>!D3]SPZ6d3@EG<#J=_QV\5==NGE:%IU^k;HMO4+C_XVZ_S=j4INN3*@^WWY^T=<74INN3*@^WW}ybakaalgg[kcsW?1BCA>!Q99JKI6)ZLM>7DA]EP58MJTBY'N37DA]EP,G5==NG[OZ"Ik;HMQS5(C:VZ_Si5FOSU3*A4XX]U8h6G@RV2-@7YW\V>o7DA]W1,G6ZVSW>1BC_Y?.P;8MJTP8'XNK95FOT24?LIR8'IN:6G@U1,G3>OH]9$O=:5FOT2-@7eOH]9$O>R^[_048MJS7&X20EB[?.SGD<>Oi|Mogmt=4LNA4?II@AJKGo6CnjnpUawunggi0Ad``rWgqwlii:2D;96@?$NG`?K6)J\LDI#AZT59M4+B23G:%H<;4N1,G61=I8'[<7C>!TSQW7>H79>1EIYY@RJ18KKC53FX87B[[3:RB@1=WI[^<7]GAN@OFe>VNFVH^_DJWb:RJJZDR[GKFI;5_eskl`==Wg{~[myf:;SC?4;?/RB@==UI5;:6=09;SC?548f3[K7=<0!P@F6?WG;9730^L2>>/RB@0=UI58556\N<3<-TDB23[K7?374R@>0:+VFL<1YM1:19:PB818)XHN>7_O35?;8VD:26'ZJH85]A=4==>TF4?4%\LJ:;SC?3;?912XJ050!P@F6?WG;1730^L26>/RB@<=UIV:TCO[Ib:PB[5YHJ\L%Hn5]A^2\KGSA&M;h7_OP0^MAQC(C:8;0^LQ?_N@VB+B5WY^T<TFW8UDNXH!D332?WGX9VEIYK K2^RW[5763[KT=RAMUG,G6ZVSW8h0^LQ>_N@VB+W>3[KT>RAMUG`8VDY5WFH^J#Jl;SC\6ZIE]O$O=n5]A^0\KGSA&M8:=6\N_3]LFP@)L;U[XR>>1:PB[7YHJ\L%H?Q_T^3a?WGX:VEIYK ^9:PB[6YHJ\Li7_OP3^MAQC(Ck2XJS>Q@BTD-@4eTFW=UDNXH!Db9QEZ2XGK_M"I?l;SC\0ZIE]O$O>TCO[I.P;8VDY2WFH^Jo5]A^7\KGSA&Mi0^LQ:_N@VB+B6k2XJS8Q@BTD-@7763[KT9RAMUG,G6ZVSW9;:7_OP5^MAQC(C:VZ_SVEIYK K1b9QEZ0XGK_M"I<>1:PB[3YHJ\L%H?Q_T^225>TFW?UDNXH!D3]SPZ7e3[KT:RAMUG,R=>TFW>UDNXHm;SC\3ZIE]O$Oo6\N_6]LFP@)L8i0^LQ8_N@VB+B5981YMR9POCWE*A4XX]U;=<5]A^5\KGSA&M8T\YQ>b:PB[2YHJ\L%]45]A^:\KGSAj2XJS5Q@BTD-@f=UIV2TCO[I.E3`?WGX0VEIYK K2038VDY?WFH^J#J=_QV\447WFH^Jo5]A^;\KGSA&Mi0^LQ6_N@VB+B6k2XJS4Q@BTD-@7763[KT5RAMUG,G6ZVSW9;:7_OP9^MAQC(C:VZ_S"TP334%.Txloo,Kgce;"Uawungg*8"=';;YFNH4b3:+B6k2iex"K}<1<-@7d/Sg?fhs'Lx7<3 ]EF;8gkr(M{6:2o5lnu-Fv979&Mi0ocz Es>2:+B6k2iex"K}<0<-@7d>/Sg?fhs'Lx7=3 ]EF31?fhs'@DBX^QISL]EBa76<2iex"GAIUQ\BVKXNOn:"I?:;blw+LHN\ZUM_@QIFe3-@4723jd#D@FTR]EWHYANm;%H??;;blw+LHN\ZUM_@QIFe3-U400ocz IOKWWZ@TEVLMh? K149`jq)NF@^XSK]B_GDg6+B69<1hby!FNHVP[CUJWOLo>#J=1`9`jq)NF@^XSK]B_GDg6+B5WY^T<0:amp*JSadoy0=0!CDd8gkr(D]cfi2?>/F24>ei|&F_e`k}<1<-@4773jd#AZfmdp?4;(C:o1hby!CThofv969&X;:7n`{/MVji`t;87$YIJ?j;blw+IRnelx7<3Q{sho`v`gsmmUscQj;blw+IRnelx7==0>1:amp*JSadoy0<>1.BG24>ei|&F_e`k}<02=*A763jd#AZfmdp?558)L8;:7n`{/MVji`t;994%H???;blw+IRnelx7==0!Q008gkr(D]cfi2>0?,QAB7a3jd#AZfmdp?558X|zcfokntdf\|jtXm2iex"B[ilgq8479981hby!CThofv9766'IN==5lnu-OPlkbz5;:2#J>1:amp*JSadoy0ei|&F_e`k}<03=*A4682iex"B[ilgq8479&X;97n`{/MVji`t;984%^HI>f:amp*JSadoy0038gkr(D]cfi2>2?,@A4626;(C981hby!CThofv9756'N:=<5lnu-OPlkbz5;92#J=119`jq)K\`gn~1?=>/S26>ei|&F_e`k}<00=*WC@9o1hby!CThofv9756V~xe`m}e`vf`Z~hzVn0ocz LUknaw:668:0ocz LUknaw:66'INj6mat.NWmhcu484%H<>4cov,HQojm{6:2#J>119`jq)K\`gn~1?1.E0e?fhs'E^bah|31?,R54=df}%GXdcjr=3=*WC@9l1hby!CThofv979W}yban|jaugg[}iuWm1hby!CThofv949991hby!CThofv949&JOm7n`{/MVji`t;:7$O==5lnu-OPlkbz585"I?>0:amp*JSadoy0?0!D3d8gkr(D]cfi2=>/S25>ei|&F_e`k}<3<-V@A6m2iex"B[ilgq878X|zcfokntdf\|jtXl2iex"B[ilgq868682iex"B[ilgq868)KLl0ocz LUknaw:46'N:<6mat.NWmhcu4:4%H4cov,HQojm{6?2#J=f:amp*JSadoy090!Q038gkr(D]cfi2;>/PFC4c7:Zrtadiyilzjd^zlvZb6:466:+EBn2iex"B[ilgq808)L8:0ocz LUknaw:26'N:==5lnu-OPlkbz5?5"I1:amp*JSadoy0;0!RDE2a>ei|&F_e`k}<7<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<6<24>ei|&F_e`k}<6<-G@`4:+B682iex"B[ilgq828)L8;;7n`{/MVji`t;?7$O>k5lnu-OPlkbz5=5"\?>;blw+IRnelx7;3 ]EF3f?fhs'E^bah|37?]wwlkdzlkiiQwos]g?fhs'E^bah|38?33?fhs'E^bah|38?,@Ac=df}%GXdcjr=:=*A773jd#AZfmdp?<;(C98:0ocz LUknaw:?6'N9j6mat.NWmhcu414%]^vpmheumh~nhRv`r^f8gkr(D]cfi26>028gkr(D]cfi26>/AFb>ei|&F_e`k}<8<-@46::+B6991hby!CThofv9?9&M8m7n`{/MVji`t;17$Z=<5lnu-OPlkbz535"_KH1d9`jq)K\`gn~171_uqjiftbi}ooSua}_b9`jq)K\`gn~YMi;blw+IRnelx_O#MJe:amp*JSadoyXN Kf:amp*JSadoyXN K1g9`jq)K\`gn~YM!D3g8gkr(D]cfiZL.P33?fhs'E^bah|[C/PFCf=df}%_e`k}<1/AFa>ei|&^bah|30?,Gb>ei|&^bah|30?,G5c=df}%_e`k}<1<-@7c3:+TBO8n0ocz Thofv969W}yban|jaugg[}iuWj1hby![ilgq848a3jd#Ygbes>2:+EBm2iex"Zfmdp?5;(Cn2iex"Zfmdp?5;(C9o1hby![ilgq848)L;;?7n`{/Uknaw:66'N9S]ZP0068gkr(\`gn~1?1.E0\TQY69=1hby![ilgq848)L;U[XR0:amp*Rnelx7=3 ]EFa8gkr(\`gn~1<1f:amp*Rnelx7>3 LEd9`jq)Sadoy0?0!Dg9`jq)Sadoy0?0!D0d8gkr(\`gn~1<1.E020>ei|&^bah|32?,G6ZVSW9;?7n`{/Uknaw:56'N9S]ZP1068gkr(\`gn~1<1.E0\TQY59=1hby![ilgq878)L;U[XR=j;blw+Qojm{692#_>0:amp*Rnelx7>3 ]EF`8gkr(\`gn~YMj;blw+Qojm{^H"NKk;blw+Qojm{^H"Ik4cov,Plkbz]I%Hei|&dB\A<}.SGDf>et'gE}ibnye9`w*hH~lxgmt Ke:ap+kIqm{fju#J>e:ap+kIqm{fju#J=129`w*hH~lxgmt K2^RW[5743jy$bBxjrmcz*A4XX]U:j6m|/oMuawjfq'OS\?>4cr-mKscudhs%IU^Ptrknv`hfelnTbhzPd:ap+kIqm{fju#_i;bq,jJpbzekr"_KH119`w*hH~lxgmt ]SUD2`>et'gE}ibny^vpmheumh~nhR~}le]2g>et'gE}ibny^vpmheumh~nhRv`r^68aaab02lxn"O\rgc8bvd(IZxm"Il4fr`,EVta&M;i7k}m/@Qqb+B5i2lxn"O\rg,Rg>`tj&KX~k ]EF`8bvd(Zlkou=|k;gqa+Wcflp:y"Ik4fr`,V`gcq9x%H}.DZS[URX9;:0j~l Rdcg}5t)MQZTx~gbrdlbi`bXfl~Th6h|b.Pfea7z'[m7k}m/Sgb`|6u&[OLn6h|b.Pfea6zm1mo!]e`fz5w(Cm2lxn"\jae{2v+B6m2lxn"\jae{2v+B59:1mo!]e`fz5w(C:VZ_S=?<;gqa+Wcflp;y"Ih6h|b.Pfea6z'[m7k}m/Sgb`|7u&[OL;6h|b.TSE<=a{k%]\L Ka:dpf*PWI'N:m6h|b.TSE+B5n2lxn"X_A/F1[URX8o1mo!YP@,G6ZVSW8l0j~l VQC-@7YW\V8m7k}m/WRB*A4XX]U8j6h|b.TSE+B5WY^T8k5isc-UTD(C:VZ_S8h4fr`,RUG)L;U[XR86;gqa+SVF&Xh0j~l VQC-V@Ae3`eia"LJRSpe`>ohjd%II_\}f/Ff?liee&HN^_|i.E3f?liee&HN^_|i.E0g?liee&HN^_|i.Pd8mjdj'KOY^h!RDEa?liee&HN^_|}d:klfh)EM[Xy~#Jj;hmai*DBZ[xy"I?j;hmai*DBZ[xy"I0:klfh)C\HI@~k ]EFa8mjdj'M^JOF|}e:klfh)C\HI@~ Kf:klfh)C\HI@~ K1g9jkgk(L]KHG|!D3g8mjdj'M^JOF|}.P33?liee&N_MNE}r/PFCg=ngkg$Hb{{ODf8mjdj'Me~xBK!Dd9jkgk(LfCH K1d9jkgk(LfCH K2018mjdj'Me~xBK!D3]SPZ66;2cdn`!KotvLA+B5WY^T=<=4in`n+Air|FO%H?Q_T^0g?liee&NdyyAJ.Pd8mjdj'Me~xBK!RDEb?liee&OX0=0l;hmai*CT494%Hi5foco,AV:76'N:h6g`bl-FW969&M8:>6g`bl-FW969&M8T\YQ?139jkgk(MZ6;2#J=_QV\5443:Zrtadiyilzjd^zlvZgohjd%N_1?1.E0\TQY69;1bcoc ER>2:+B5WY^T>n5foco,AV:66'[n7damm.GP848)ZLMj7damm.GP878d3`eia"K\<3<-@a=ngkg$I^2=>/F2`>ohjd%N_1<1.E026>ohjd%N_1<1.E0\TQY79;1bcoc ER>1:+B5WY^T=<<4in`n+@U;:7$O>R^[_331?liee&OX0?0!D3]SPZ56:2cdn`!JS=0=*A4XX]U?o6g`bl-FW949&Xo0eblb/DQ?6;(UMNk0eblb/DQ?7;eohjd%N_1=1.E3g?liee&OX0>0!D331?liee&OX0>0!D3]SPZ66:2cdn`!JS=1=*A4XX]U:=?5foco,AV:46'N9S]ZP2b9jkgk(MZ682#_j;hmai*CT4:4%^HI>b:klfh)B[595Sy}fmbpfeqccWqeySl5foco,AV:36j1bcoc ER>7:+Bc3`eia"K\<5<-@4b2:klfh)B[5>5"I/F1[URX;j1bcoc ER>7:+Wb3`eia"K\<5<-V@A6j2cdn`!JS=6=[qunejxnmykk_ymq[d=ngkg$IYOLKb9jkgk(M]KHG#Jk;hmai*CSIJA%Hn5foco,AQGDC'[n7damm.GWEFM)ZLM<7damm.Gq=>ohjd%N~#Jn;hmai*Cu&M;j7damm.Gq*A4>3`eia"K}.P`8mjdj'Lx%^HI7;hmai*Cu:h1bcoc Es0-@g=ngkg$Iohjd%BC_K^r/PFC474:klfh)NGZUM_@QIFe3-@4733`eia"G@S^DPIZ@Al8$O><74in`n+LITWOYFSKHk1/F1[URX8830eblb/HMP[CUJWOLo=#J=_QV\545ohjd%BC^QISL]EBa46;2cdn`!FOR]EWHYANm8%H<:4in`n+LITWOYFSKHk2/F251=ngkg$EB]PFRO\BCb5&M8:56g`bl-JKVYA[DUMJiohjd%BC^QISL]EBa4)L;U[XR<>9:klfh)NGZUM_@QIFe0-@7YW\V9:56g`bl-JKVYA[DUMJi?<;hmai*OH[VLXARHId2,G51=ngkg$EB]PFRO\BCb4&M;:86g`bl-JKVYA[DUMJi=!D33:?liee&CD_RH\M^DE`6(C:VZ_S=?6;hmai*OH[VLXARHId2,G6ZVSW8;27damm.KLWZ@TEVLMh> K2^RW[7743`eia"G@S^DPIZ@Al:$Z=85foco,MJUXNZGTJKj<.SGDe>ohjd%YI^|ic:klfh)UMZxm"Ij4in`n+WCTzo$O=i5foco,V@Uun'N9o6g`bl-QAVta&Xo0eblb/SGPvc(UMNk0eblb/SGPvweohjd%YI^|}.E3g?liee&XN_|!D3a8mjdj'[OX~ ^e:klfh)UMZxy"_KHa:klfh)QXHxmo6g`bl-UTDta&Mn0eblb/WRBvc(C9m1bcoc VQCqb+B5k2cdn`!YP@pe*Tc0ebl|/Bnfew7)MQZT\YQ?159jkgu(Keoj~< JXQ]SPZ76<2cdn~!Lldcq5+C_XVZ_S??;;hmaw*Ekmhx:"HV__QV\776ohjz%BCLM[r/F2a>ohjz%BCLM[r/F1`>ohjz%BCLM[r/Se?lie{&CDMNZ}.SGD=>ohjz%BCA?l;hmaw*OHD8$HIo5focq,MJJ6&Mi0ebl|/HMO5+B6k2cdn~!FOM3-@7d>2:klfv)NG[]:"I?=;hmaw*OHZ^;%H?Q_T^626>ohjz%BC_Y>.E0\TQY29;1bco} INPT5+B5WY^T:n5focq,MJTP9'[n7dams.KLVR7)ZLM:n6g`br-JKWQ6W}yban|jaugg[}iuWj1bco} INPfeab3`ei"G@Rdcg}+Ba3`ei"G@Rdcg}+B6n2cdn~!FOSgb`|(C:8>0ebl|/HMQadb~&M8T\YQ?159jkgu(AFXnmiw!D3]SPZ7682cdn~!FOSgb`|(BPY;>7dams.KLV`gcq'OS\R^[_136?lie{&CD^hoky/G[TZVSW8;>7dams.KLV`gcq'OS\R^[_336?lie{&CD^hoky/G[TZVSW:8:7dams.KLV`gcq'OS\Rz|ilpfjdkblVdnxRk4in`p+LIUmhnr"\??;hmaw*OHZlkou#\JG0f8mjdt'@EYiljv_uqjiftbi}ooSua}_89jkgu(AF_:o6g`br-JKP7)KLh0ebl|/HMV5+Bd3`ei"G@U0,G5f=ngky$EB[>.E0a?lie{&CDY< ^d:klfv)NG\;%^HIn;hmaw*Jhim;h7dams.Nlea7)Lm1bco} Lncg5+B6l2cdn~!Co`f2*A46:2cdn~!Co`f2*A4XX]U;=?5focq,Hjgc9'N9S]ZP1008mjdt'Eejh< K2^RW[7753`ei"B`ae3-@7YW\V9:>6g`br-Okdb6&M8T\YQ;139jkgu(Dfko=#J=_QV\1443:klfv)W[VLXARHId0,G64>6g`br-SWZ@TEVLMh< ^159jkgu(XZUM_@QIFe3-V@A682cdn~!_S^DPIZ@Al;;97dams.RP[CUJWOLo>#J>3:klfv)W[VLXARHId3,G545R^[_53;?lie{&ZXSK]B_GDg6+B5WY^T9<64in`p+UUXNZGTJKj=.E0\TQY19;1bco} PR]EWHYANm8%]<:4in`p+UUXNZGTJKj=.SGD64=ngky$\^QISL]EBa4X|zcfokntdf\|jtX12dJHb{{LD2g?kGCg|~GI= _AEg8jDBh}}FN<<4n@FlqqJB8VEIYK JXQ00?kGCg|~GI=Q@BTD-A]VX|zcf~h`nmdf\j`rX991eMIaztMG3[JDRN'[27cOKotvOA4b_N@VB46R^[_033?kGCg|~GIhF[VCDNb{{d:lBWZOHJf(BK>4:lBWZOHJf"O[IOD,LQQehF[VCDNb{{.E3g?kGTW@EIcxz!D331?kGTW@EIcxz!D3]SPZ66:2dJ_RG@Bnww*A4XX]U:o6`NS^KLFjss&Xo0bL]PIN@lqq(UMNl0bL]PIN@lqq(SZZ^<7cO`uuMFf>hFg|~DI#^NDb9mEjssGLUDNXHj;oClqqIBWFH^J#Ji;oClqqIBWFH^J#J>f:lBkprHMVEIYK K2068jDir|FOTCO[I.E0\TQY79=1eMb{{OD]LFP@)L;U[XR?j;oClqqIBWFH^J#_>0:lBkprHMVEIYK ]EF;8jGCUZVLXNi5aBDPQ[CUE&YKOi6`MESP\BVDXGK_M==5aBDPQ[CUEWFH^J#J>1:lAAWTXNZHTCO[I.E325>hEM[XTJ^LPOCWE*A46>2dII_\PFR@\KGSA&M8T\YQ?179mF@TUWOYISBLZF/F1[URX98<0bOK]R^DPFZIE]O$O>R^[_335?kDBZ[UM_OQ@BTD-@7YW\V9:<6`MESP\BVDXGK_M"\?=;o@FVWYA[KUDNXH!RDE26>hEM[XTJ^LPOCWE*POJ9o1eNH\]_GQA[JDRNV~xe`m}e`vf`Z~hzV30bOK]R^KLFa=iJLXYSDAM.QCGa>hEM[XTEBLPICWE3>hEZVCDNo5aBS]JKG(WIMi0bO\PIN@\KGSAm2dI^RG@B^MAQC"Vm2dI^RG@B^MAQC(Cn2dI^RG@B^MAQC(C9o1eN_QFOC]LFP@)L;;?7cL]_HMA[JDRN'N9S]ZP0068jGTXAFHTCO[I.E0\TQY6991eN_QFOC]LFP@)MQZ9=6`MR^KLFZIE]O$NT]Q{shoqakgjmmUeiyQj;o@Q[LIEWFH^J#_>0:lAVZOHJVEIYK ]EF3g?kDUW@EISBLZF^vpmheumh~nhRv`r^68jFGT02dHM^ _AE;8jFGTWFH^Jo5aC@Q\KGSA&Mi0bNO\_N@VB+B6k2dHM^Q@BTD-@7b2:l@EVYHJ\L%IU^PPU]157=iKHYTCO[I.DZS[URX;880bNO\_N@VB+C_XVZ_S9?j;oABWZIE]O$NT]Q{shoqakgjmmUeiyQm;oABWZIE]O$Zh6`LAR]LFP@)ZLMj7cJ[ABI\BVDb3gN_MNEPFR@-TDBa3gN_MNEPFR@\KGSA981eHYOLK^DPFZIE]O$O=?5aDUC@OZ@TJVEIYK K1008jARFKBUM_OQ@BTD-@7703gN_MNEPFR@\KGSA&M8T\YQ?169m@QGDCVLXNRAMUG,G6ZVSW8;<7cJ[ABI\BVDXGK_M"I?8;oFWEFMXNZHTCO[I.E0\TQY39:1eHYOLK^DPFZIE]O$NT]<;;oFWEFMXNZHTCO[I.DZS[qune{oem`kk_ogw[473:lGPDELWOYISBLZF/WJId=iL]KHGRG@Bd9m@QGDCVCDN#^NDg9m@QGDCVCDNRGMUG48jAoiDLk0bIgaLD,SEAdhCagFNSBLZF/G[TZVSW9;?7cJfnMG\KGSA&LR[S]ZP1068jAoiDLUDNXH!EYR\TQY59=1eHd`CE^MAQC(BPYU[XR==0:lGmkJBWFH^J#KWP^vpmhtbfhgnhR`jt^f8jAoiDLUDNXH!Qg9m@lhKMVEIYK ]EF48jAoiGLk0bIgaOD,SEAdhCagENSBLZF/S4?kBh}}ENn6`KotvLA+VFLj1eHb{{OD]LFP@b3gNdyyAJ_N@VB+Ba3gNdyyAJ_N@VB+B6n2dOcxz@E^MAQC(C:l1eHb{{OD]LFP@)Y<1eE]B=9:lJTI4)XHNj7cG_L3]JFP@?3gFO_RH\Bb9mHAUXNZH%\LJk;oNGWZ@TJVCIYK64nMFP[LIEk2dGH^QFOC,SEAdhKLZUBCOazt/@VBJC)G\^o7cBKS^KLFjss&Mo0bAJ\_HMAkpr)L8o0bAJ\_HMAkpr)L;;87cBKS^KLFjss&M8T\YQ?129mHAUXAFHdyy K2^RW[4743gFO_RG@Bnww*A4XX]U9h6`CDR]JKGir|'[m7cBKS^KLFjss&[OL==5aLEQ\MJDh}}$_^^Z<;oMF3>hHM'ZJH55aOD]LFP@f3gENSBLZF/Fa?kIBWFH^J#J>b:lLAZIE]O$O>n5aOD]LFP@)MQZ:h6`@E^MAQC(BPYUdc}eocnaaYim}Uj7cAJ_N@VB+W03gXJAAYJb:lQEHJPM'ZJHn5aR@OOS@YHJ\Ln7c\NMMUF[JDRN'Nm7c\NMMUF[JDRN'N:j6`]ALNTAZIE]O$O><>4nSCNHRCXGK_M"HV_149mVDKK_LUDNXH!EYR\TQY79<1e^LCCWD]LFP@)MQZT\YQ>149mVDKK_LUDNXH!EYR\TQY59<1e^LCCWD]LFP@)MQZT\YQ<209mVDKK_LUDNXH!EYR\pvojzldjahjPndv\a>hUIDF\IRAMUG,R3>hUID_\Io5aR@OVS@(WIMi0b_OBUVG\KGSAm2dYM@[XE^MAQC(Cn2dYM@[XE^MAQC(C9o1e^LCZWD]LFP@)L;;;7c\NMTUF[JDRN'OS\<;4nSCNQRCXGK_M"HV__QV\443hUIZUDNXH!Db9mVDUXGK_M"I?l;oPBWZIE]O$O>hUIZUDNXH!EYR\pvojzldjahjPndv\f>hUIZUDNXH!Q59mV@U?3gXN_#^ND69mV@Uh}}30b_K\otv-@d=iZLYdyy K1`9mV@Uh}}$O>o5aRDQlqq(BPY;;7c\JSnww*@^WWY^T<<>4nSGPkpr)MQZT\YQ>119mV@Uh}}$NT]Q_T^024>hUMZe~x#KWP^RW[6773gXN_b{{.DZS[URX<8i0b_K\otv-A]VX|zcf~h`nmdf\j`rX12dYI^azt/Sa?kTB[f"_KH6:lQKHETi2dYC@M\.QCGf>hUGDIXSBLZFe9mVJKD[VEIYK Ke:lQKHETWFH^J#J>e:lQKHETWFH^J#J=129mVJKD[VEIYK K2^RW[5743gXDAN]POCWE*A4XX]U:j6`]OLAP[JDRN'OS\<:4nSMNGVYHJ\L%IU^PPU]351=iZFGH_RAMUG,F\UYW\V;:86`]OLAP[JDRN'OS\R^[_303?kTHEJYTCO[I.DZS[qune{oem`kk_ogw[a=iZFGH_RAMUG,R2>hUGD]Nm6`]OLUF*UGCj2dYC@YJ_N@VBa=iZFG\IRAMUG,Ga>hUGD]NSBLZF/F2a>hUGD]NSBLZF/F1b>hUGD]NSBLZF/G[T42hUGD]NSBLZF/G[TZVSW;8;7c\@MVG\KGSA&LR[Sy}fmsgmehccWgoSi5aRNOTAZIE]O$Z46`ZDR]EWGehRLZUM_OQFBTD;?kSC[VCDNn5aUEQ\MJD)XHNi7c[KS^KLFjssm2d^H^QFOCmvp!IB9<1eYI]PIN@lqq(E]OEN"B[[d:lV@VYNGKe~x#Jj;oWGWZOHJf"I?j;oWGWZOHJf"I<>3:lV@VYNGKe~x#J=_QV\445hQEHUBCOm4nWOB[LIE&YKOn6`YM@]JKGir|l1eZ@OPIN@lqq"HMm1eZ@OPIN@lqq"V9<1eZ@OPIN@lqq(E]OEN"B[[d:lUIDYNGKe~x#Jj;oTNEZOHJf"I?j;oTNEZOHJf"I<>3:lUIDYNGKe~x#J=_QV\445hQXHUM_OQ@BTD-@46hQXHUBCOQFBTD4?kQBWOYIn6`XE^DPF+VFLj1e[HQISC]JFP@33gkfi:5aefggc`1tfe&XJAH@!D3]SPZ6692xja"\NMDL-@7YW\V;:=6|nm.PBI@H)L;U[XR<>1:pbi*TFELD%H?Q_T^125>tfe&XJAH@!D3]SPZ2692xja"\NMDL-@7YW\V?:=6|nm.PBI@H)L;U[XR8m;scn+WGJMG$Zh6|nm.PBI@H)ZLMh7ob/SCNV`gcql1ym`!]ALPfea)Lo1ym`!]ALPfea)L8l0~lc R@OQadb~&M8:86|nm.PBIWcflp$O>R^[_137?wgj'[KF^hoky/F1[URX98>0~lc R@OQadb~&M8T\YQ=159qeh)UIDXnmiw!D3]SPZ56<2xja"\NMSgb`|(C:VZ_S9??;scn+WGJZlkou#KWP338vdk(ZHGYiljv.DZS[qune{oem`kk_ogw[`=uid%YM@\jae{-U46tfe&XXSK]B_GDg547.E023>tfe&XXSK]B_GDg5+B5WY^T<<94r`o,VVYA[DUMJi?!D3]SPZ76?2xja"\\_GQN[C@c9'N9S]ZP2038vdk(ZZUM_@QIFe3-U451:pbi*TTWOYFSKHk2/F26>tfe&XXSK]B_GDg6+B69;1ym`!]S^DPIZ@Al;$O><94r`o,VVYA[DUMJi#J=_QV\7417:pbi*TTWOYFSKHk2/F1[URX=8;0~lc RR]EWHYANm8%]<=4r`o,VVYA[DUMJitfe&XXSK]B_GDg6Zrtadiyilzjd^zlvZ`6|nm.PP[CUJWOLo?#J>139qeh)U[VLXARHId2,G641>7:pbi*TTWOYFSKHk3/F1[URX98=0~lc RR]EWHYANm9%H?Q_T^023>tfe&XXSK]B_GDg7+B5WY^T?<94r`o,VVYA[DUMJi=!D3]SPZ26?2xja"\\_GQN[C@c;'N9S]ZP5038vdk(ZZUM_@QIFe1-U45tfe&Xnj_k~.DZS56=uid%Yik\jq/G[TZVSW9;87ob/SgeV`w)MQZT\YQ>129qeh)UmoXn}#KWP^RW[7743{kf#_kiRds-A]VXX]U8=k5}al-QacTby'OS\Rz|ilpfjdkblVdnxRm4r`o,V``Umx$Zi6|nm.PfbWcv&[OLi6|nm.PfbWcv[pdh==5}al-QacTbyZseo#J>1:pbi*Tbn[oz_t`l.E325>tfe&Xnj_k~Sxl`*A4682xja"\jfSgrW|hd&X;97ob/SgeV`wTqgi%^HIn;scn+Wca]{mh7ob/SgeQwa)Lm1ym`!]egWqc+B6l2xja"\jfTpd*A4d3{kf#_kiUse-U`=uid%Yik[}g/PFC`=uid%Yij[}gR{mg46tfe&XnkX|hSxl`*WC@=2|j`xkr@Ar52=435`3f2<598i9>522g8yVbc2;9o6=4>35`3f2<598i<7i<>j50;300g6e?38:=n94vU4b>5<6280?5v{>a782?p7f?3:0q)<<7;3b1>"6j?09?n5m22;94?202=h>697>{I002>"5;<09?45Uc58176=9=0ve4650;&2f<c=?1<7*>b88;3>h6j10376g83;29 4d>21=0b5$0`:>=1b98`?>o1j3:1(4=b88;3>h6j10976g71;29 4d>21=0b5$0`:>=1b985?>o0?3:1(10en;50;9j564=831b88o50;9j13d=831b8i950;9j117=831bh:4?::k7<7<722cn?7>5;h6g0?6=3`>i>7>5;h75b9821>=n0j0;6)?m9;:4?k7e03;?76g7e;29 4d>21=0b=9;10e4<50;&2f<1:9j=1<72-;i5768;o3a9?4?::m71a<722e?hl4?::m601<722e?;94?::m7e7<722e?484?::m2ff<72-;i57?l0:l2f=4?:%3a=?7d82d:n54n;:m2g0<72-;i57?l0:l2f=<>32e:o:4?:%3a=?7d82d:n547;:m2g<<72-;i57?l0:l2f=<032e:oo4?:%3a=?7d82d:n549;:m2ga<72-;i57?l0:l2f=<232e:ok4?:%3a=?7d82d:n54;;:m2`4<72-;i57?l0:l2f=<432e:h>4?:%3a=?7d82d:n54=;:m7ga<722e?i54?::m670<722e>:<4?::m702<722e?5>4?::m7f0<722e>4?::m7b4<722e?jh4?::m662<722ehn7>5;na:>5<7E<<6:k2f0<72-8?>7?m5:&2e1<392.:n:4;1:9~ff7=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635?3:1=7>50z&107<6j<1C>>84i0`6>5<#:=81=o;4$0c7>6e<,8h<6>m4;|``4?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=7d=#=?l1m6sr}|9~f20=83;1<7>t$361>4d23A88:6g>b483>!43:3;i96*>a5821>"6j>0:965rb8d94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:718b?!31n3k0qpsr;|`40?6=93:1?62c:n84?:%076?7e=2.:m94>2:&2f2<6:21vn4j50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4n;%75b?gb49K6606=4+25095g3<,8k?647<3th2o7>52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn;k50;394?6|,;>96o6j<0;6)<;2;3a1>"6i=0>=6*>b6865>=zj0h1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90j7);9f;c8yx{z3th=o7>51;294~"5<;0:n85G2248m4d2290/>9<51c78 4g32=30(i3:1>7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 0172h1/9;h5a:~yx=zj131<7?50;2x 72528h>7E<<6:k2f0<72-8?>7?m5:&2e1<592.:n:4=1:9~fg?=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&6353:1=7>50z&107<6j<1C>>84i0`6>5<#:=81=o;4$0c7>4b<,8h<6N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=7d=#=?l1m6sr}|9~f=2=83;1<7>t$361>4d23A88:6g>b483>!43:3;i96*>a582f>"6j>0:n65rbc094?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:718b?!31n3k0qpsr;|`;6?6=93:1?62c:n84?:%076?7e=2.:m94>9:&2f2<6121vnl=50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4n;%75b?gb49K6606=4+25095g3<,8k?6<64$0`4>4><3thj>7>52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn:o50;394?6|,;>96o6j<0;6)<;2;3a1>"6i=0:;6*>b6823>=zjh;1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90j7);9f;c8yx{z3th<47>51;294~"5<;0:n85G2248m4d2290/>9<51c78 4g328<0(7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 0172h1/9;h5a:~yx=zjj<1<7?50;2x 72528h>7E<<6:k2f0<72-8?>7?m5:&2e1<6m2.:n:4>e:9~ff1=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<13-?=j784}|~?xdd03:1>7>50z&1075<#:=81=o:4H362?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<784$44e>3=zutw0qo?<3;295?6=8r.98?4>b49K6606=4+25095g3<,8k?6?=4$0`4>75<3th:?94?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<784$44e>3=zutw0qo?<5;296?6=8r.98?4l3:J173=n9:21<7*=43827==:7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?0<,<50z&107<6j<1C>>84i0`6>5<#:=81=o;4$0c7>7><,8h<6?64;|`71f<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?g<,<50z&107<6j<1C>>84i0`6>5<#:=81=o;4$0c7>73<,8h<6?;4;|`62a<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?g<,<7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 0172h1/9;h5a:~yx=zj=n36=4>:183!43:3;i96F=379j5g3=83.98?4>b49'5d2=:>1/=o952698yg2c13:1>7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 0172h1/9;h5a:~yx=zj<>96=4>:183!43:3;i96F=379j5g3=83.98?4>b49'5d2=:01/=o952898yg33;3:1>7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 0172h1/9;h5a:~yx=zjm21<7?50;2x 72528h>7E<<6:k2f0<72-8?>7?m5:&2e1<6n2.:n:4>f:9~fa?=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&6357>50z&1075<#:=81=o:4H362?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<784$44e>3=zutw0qo:73;295?6=8r.98?4>b49K6606=4+25095g3<,8k?69;4$0`4>13<3th?494?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7o4$44e>d=zutw0qok;:182>5<7s-8?>7?m5:J173=n9k?1<7*=4382f0=#9h>1>?5+1c5967=52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn9j::182>5<7s-8?>7?m5:J173=n9k?1<7*=4382f0=#9h>1995+1c5911=o:7>52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn9l<:182>5<7s-8?>7?m5:J173=n9k?1<7*=4382f0=#9h>18:5+1c5902=i87>52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn886:182>5<7s-8?>7?m5:J173=n9k?1<7*=4382f0=#9h>18;5+1c5903=52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5>50;394?6|,;>96o6j<0;6)<;2;3a1>"6i=0>:6*>b6862>=zjk:1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90j7);9f;c8yx{z3th3n7>51;294~"5<;0:n85G2248m4d2290/>9<51c78 4g32<=0(7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 0172h1/9;h5a:~yx=zj1n1<7?50;2x 72528h>7E<<6:k2f0<72-8?>7?m5:&2e1<202.:n:4:8:9~fgd=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&63550z&107<6j<1C>>84i0`6>5<#:=81=o;4$0c7>0?<,8h<6874;|`ag?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=7d=#=?l1m6sr}|9~f<7=83;1<7>t$361>4d23A88:6g>b483>!43:3;i96*>a582g>"6j>0:o65rbcf94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:718b?!31n3k0qpsr;|`:7?6=93:1?62c:n84?:%076?7e=2.:m94=e:&2f2<5m21vnoh50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4n;%75b?g4<729q/>9<51c78L7513f;i97>5$361>4d23-;j87:;;%3a3?2332wi98<50;194?6|,;>96?=;;I002>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>399U567=:r.>;=47;%75b?>9:51zN103<6s_o96?u+1c`9`c=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{>i7>51;294~"5<;0:n85G2248k4d2290/>9<51c78 4g32=h0(4?:1y'614=::>0D?=9;h3096<=7;W070?7|D;>=63081!308320(88i:99~yx{P5<=0:wA<;6;3xR`4=:r.:no4kf:T274<5s-?<<7?4$44e>4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1be290:6=4?{%076?7e=2B9?;5`1c794?"5<;0:n85+1`690==#9k=18554}c6ge?6=;3:1745?3_;8=7==#=?l146sr}|9j`c<72-8?>7ji;W070?7|D;>=61<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm55794?7=83:p(?:=:0`6?M44>2e:n84?:%076?7e=2.:m94;a:&2f2<3i21vn8:;:180>5<7s-8?>7<<4:J173=n9:21<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512:8R4562;q/9:>58:&62c7a`<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?;84?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3>97)?m7;61?>{e<>>1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?m>4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<38?7)?m7;07?>{e96<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?4;4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3>87)?m7;60?>{e<1?1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:ni4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39=7)?m7;15?>{e9ki1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:o94?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39?7)?m7;17?>{e9j91<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:o;4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3997)?m7;11?>{e9j?1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:o54?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39;7)?m7;13?>{e9j=1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:ol4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<38m7)?m7;0e?>{e9j31<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:on4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39:7)?m7;12?>{e9jh1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:oh4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3987)?m7;10?>{e9jn1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:h=4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39>7)?m7;16?>{e9jl1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:h?4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39<7)?m7;14?>{e9m;1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:h94?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3927)?m7;1:?>{e9m91<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?oh4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3?;7)?m7;73?>{e96<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?i44?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<38o7)?m7;0g?>{e96<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th>?;4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<38j7)?m7;0b?>{e=:?1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th>:?4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3?>7)?m7;76?>{e=?;1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?854?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3>o7)?m7;6g?>{e<==1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?594?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<38h7)?m7;0`?>{e<091<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?n;4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3>n7)?m7;6f?>{e96<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39n7)?m7;1f?>{e=9k1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th>=44?:083>5}#:=81=o;4H315?.7e=3:1(?:=:0`6?!7f<3?87)?m7;70?>{e=821<7=50;2x 7252;9?7E<<6:k27=<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?55Y12396~"2?9037);9f;:8yx{z3`nm6=4+2509`c=Q:=>1=vB=4782Sc52;q/=ol5dg9U567=:r.>;=4>;%75b?750z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>6b<,8h<6>j4;|`2g4<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>6><,8h<6>64;|`2f`<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>1e<,8h<69m4;|`715<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>1`<,8h<69h4;|`72d<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>04<,8h<68<4;|`7g6<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>6`<,8h<6>h4;|`7b4<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>16<,8h<69>4;|`7b`<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>7d<,8h<6?l4;|`662<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<5<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+5629<>"2>o037psr}:agd<7280;6=u+25095g3<@;9=7b?m5;29 72528h>7)?n4;1a?!7e?39i76smc883>7<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;:8 00a211vqps4}c762?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f03329096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e=96<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>9:4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;90;297?6=8r.98?4=3g9K660<^;>?6:3y'126=i2.>:k4n;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?73<729q/>9<51248L7513-;>57h4i01;>5<#:=81=>64V367>4}K:=<1=vX>a281!7ej3;846X>3081!3083;0(88i:09~yx{P5<=0:wA<;6;3xR4g42;q/=ol5dc9U567=:r.>;=4>;%75b?79:52zN103<6s_;j?7ae<^89:6?u+56297>"2>o087psrL366>1}Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?<<6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?=j6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:e`8 66d2<=;7p*:ed81?xP6jh09w[k?:3y'13c=lj1/?=m55628y!3bm3o0qps4i311>5<#:=81>><4V367>7}K:=<1=vX>a281!7ej388>6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=339'75e==>:0q);je;f8yx{?6?uC25495~P6i:09w)?mb;02a>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<59l1/?=m55628y!3bm3n0qps4o0`7>5<#:=81=o:4;|`272<7280;6=u+250961?<@;9=7b?m4;29 72528h?76sm22:94?7=83:p(?:=:0`1?M44>2e:n94?:%076?7e<21vn8;7:180>5<7s-8?>7<1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;:9;295?6=8r.98?4=489K6609o4?:683>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?f=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;a8 00a2j1vqps4i077>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<7m4$44e>f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f03d290:=7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6n5+57d9g>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172j1/9;h5c:~yx=n9<>1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74333_;8=7f=#=?l1o6sr}|9j503=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8;4V012>7}#=>:1o6*:6g8`?x{zu2c:9;4?:%076?7402\9894>{M072?7|^l81>v*>bc8213=Q9:;1>v*:718`?!31n3i0qpsr;h363?6=,;>96<=7;W070?7|D;>=6;6X>3081!3083i0(88i:b9~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6;j0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3b9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<=j;W305?4|,<=;6<5+57d95>{zut1b=>h50;&107<6;11]>9:51zN103<6s_o96?u+1c`956`<^89:6?u+56295>"2>o0:7psr}:k205<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8=5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c76e?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`61c<72:0;6=u+25092<=O::<0e<=6:18'614=9:20D?:>;W070?7|D;>=63081!3083>0(88i:59~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?2<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn9;i:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm47294?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`724<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<50z&107<6;?1C>>84$07:>c=n9:21<7*=43827==Q:=>1=vB=4782S7f;38p(!43:3ni7[<;4;3xH72128q]=l=52z&2fg7}#9kh1hn5Y12396~"2?9087);9f;18yx{K:=?18vX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:453?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:44e?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=lk1/?=m55628y!3bm380q[?ma;0xR`6=:r.>:h4kc:&04f<2?91v(8kj:d9~yx=n::81<7*=438177=Q:=>1>vB=4782S7f;38p(e:&04f<2?91v(8kj:e9~yx=h9k>1<7*=4382f1==>7>53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?:>4?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn989:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd3>=0;6>4?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4;;%75b?25$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c6gg?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1bc29096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e96<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?i;4?:783>5}#:=81=>84H315?!7213l0e<=7:18'614=9:20Z?:;:0yO610=9r\:m>4={%3af?7402\:?<4={%744?7<,<7}#9kh1ho5Y12396~"2?90:7);9f;38yx{z3`nh6=4+2509`f=Q:=>1>vB=4782S7f;38p(53:&62c<43twv@?:::5yU665=;r\n<7ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<0173t.>ih4=;|T2fd<5s_o;6?u+57g9`f=#;9i19:>4}%7fa?c4={%3af?44:2\:?<4={%744?b<,<l09??5+31a91269<520g8R7232;qG>9851zT2e6<5s-;in7<>e:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d815`=#;9i19:>4}%7fa?b07pl;dg83>6<729q/>9<522d8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1c7290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c6f6?6=<3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj=o86=4;:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1c3290>6=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm4d594?5=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<33-?=j7:4}|~?l74i3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7187?!31n3>0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8:8:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm55:94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`615<72?0;6=u+2509560<@;9=7)?:9;d8m45?290/>9<512:8R72328qG>9851zT2e6<5s-;in7?<8:T274<5s-?<<7?4$44e>4=zutw0eil50;&107{M072?7|^8k86?u+1c`9`g=Q9:;1>v*:7182?!31n3;0qpsr;hf`>5<#:=81hn5Y25696~J5:3y'126=;2.>:k4<;|~H7222=q]>>=53zTf4?4|,<0173t\n=745?3-9;o7;80:'1`c=12w]>>=53zTf4?4|,<0173t\n=745?3-9;o7;9f:'1`c=12w]>>=53zTf4?4|,<0173t\n=7ad<,::h689?;|&6a`<53t\:nl4={Wg3>7}#=?o1hn5+31a91269<52208R7232;qG>9851zT2e6<5s-;in7<<2:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d8177=#;9i19:>4}%7fa?b4={%3af?46m2\:?<4={%744?b<,<l09=h5+31a91269<51c68?xd2<00;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8:n:182>5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=54;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8:j:186>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm55`94?2=83:p(?:=:0`0?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{>6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=<;1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4;;%75b?25$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c617?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f15729086=4?{%076?44n2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4n;%75b?g50z&107<6;?1C>>84$07:>c=n9:21<7*=43827==Q:=>1=vB=4782S7f;38p(!43:3ni7[<;4;3xH72128q]=l=52z&2fg7}#9kh1hn5Y12396~"2?9087);9f;18yx{K:=?18vX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:453?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:44e?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=lk1/?=m55628y!3bm380q[?ma;0xR`6=:r.>:h4kc:&04f<2?91v(8kj:d9~yx=n::81<7*=438177=Q:=>1>vB=4782S7f;38p(e:&04f<2?91v(8kj:e9~yx=h9k>1<7*=4382f1=987>53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?>84?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e<;=1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e<;21<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e<;31<7:50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083<0(88i:79~yx{<^;>?69851zTf6?4|,8hi6<::;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a07g=83<1<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;48 00a2?1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7m4$44e>f=zutw0e<;?:18'614=9:20D?:>;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72;2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn95<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=49;%75b?05$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4i073>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7m4$44e>f=zutw0e<;<:18'614=9:20D?:>;W070?7|D;>=6?6X>3081!3083i0(88i:b9~yx{?65<0290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7185?!31n3<0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083<0(88i:79~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083i0(88i:b9~yx{?65<0290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7185?!31n3<0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083<0(88i:79~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083i0(88i:b9~yx{?65N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;38 00a281vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 017281/9;h51:~yx=n9<91<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>51:&62c<63twvq6g>5583>!43:3;846X=4582I43>3;pZh<52z&2fg<6==1]=>?52z&635<63-?=j7?4}|~?l72=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:5:T274<5s-?<<7?4$44e>4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f74129096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e::;1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;c8 00a2h1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;9;6=49:183!43:3;8:6F=379'50?=n2c:?54?:%076?7402\9894>{M072?7|^8k86?u+1c`956><^89:6?u+56295>"2>o0:7psr}:kgf?6=,;>96il4V367>4}K:=<1=vX>a281!7ej3ni7[?<1;0x 017281/9;h51:~yx=nlj0;6)<;2;f`?S43<38p@?:9:0yU5d5=:r.:no4kc:T274<5s-?<<7=4$44e>6=zutF9884;{W007?5|^l:1>v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a9126v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a913`v*:6d8gg>"48j0>;=5rVd396~"2>l0on6*<0b8635=z,7}Qm909w);9e;f`?!57k3?<<6s+5dg9a>{zu2c9??4?:%076?44:2\9894={M072?7|^8k86?u+1c`9664<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;997)=?c;744>{#=lo1h6sr}:k15`<72-8?>7<>e:T101<5sE8?:7?tV0c0>7}#9kh1>7}#=>:1h6*:6g8g?x{zD;>>6{zu2e:n94?:%076?7e<21vn?<7:180>5<7s-8?>7<1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo<=9;295?6=8r.98?4=489K660o4?:483>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:k200<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:885Y12396~"2?90h7);9f;a8yx{z3`;><7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;8h6=4::183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=n9<:1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74373_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi>?j50;794?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?70<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c01e?6==3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:7182?!31n3;0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083;0(88i:09~yx{?65<4290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e3:1>7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718b?!31n3k0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo<98;297?6=8r.98?4=3g9K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi>;o50;394?6|,;>96i6j=0;6)<;2;3a0>=zj;<<6=4;:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f7b229096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e:mi1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;c8 00a2h1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;ni6=49:183!43:3;8:6F=379'50?=n2c:?54?:%076?7402\9894>{M072?7|^8k86?u+1c`956><^89:6?u+56295>"2>o0:7psr}:kgf?6=,;>96il4V367>4}K:=<1=vX>a281!7ej3ni7[?<1;0x 017281/9;h51:~yx=nlj0;6)<;2;f`?S43<38p@?:9:0yU5d5=:r.:no4kc:T274<5s-?<<7=4$44e>6=zutF9884;{W007?5|^l:1>v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a9126v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a913`v*:6d8gg>"48j0>;=5rVd396~"2>l0on6*<0b8635=z,7}Qm909w);9e;f`?!57k3?<<6s+5dg9a>{zu2c9??4?:%076?44:2\9894={M072?7|^8k86?u+1c`9664<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;997)=?c;744>{#=lo1h6sr}:k15`<72-8?>7<>e:T101<5sE8?:7?tV0c0>7}#9kh1>7}#=>:1h6*:6g8g?x{zD;>>6{zu2e:n94?:%076?7e<21vn?j8:180>5<7s-8?>7<745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`1`=<7280;6=u+250961?<@;9=7b?m4;29 72528h?76sm2e;94?3=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:m<1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?jk:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2d494?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg9=>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96t$361>75a3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{51;294~"5<;09845G2248k4d3290/>9<51c68?xd5m;0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd5m:0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?k>:187>5<7s-8?>7?m3:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?l73=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7?4$44e>4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f7bb29086=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?h>:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:89~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb3g:>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd5mh0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&6354=zutw0qo745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:lo1<7:50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th9io4?:483>5}#:=81=o=4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1=6*:6g82?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{53;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c041?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1m6*:6g8b?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`131<72?0;6=u+2509560<@;9=7)?:9;d8m45?290/>9<512:8R72328qG>9851zT2e6<5s-;in7?<8:T274<5s-?<<7?4$44e>4=zutw0eil50;&107{M072?7|^8k86?u+1c`9`g=Q9:;1>v*:7182?!31n3;0qpsr;hf`>5<#:=81hn5Y25696~J5:3y'126=;2.>:k4<;|~H7222=q]>>=53zTf4?4|,<0173t\n=745?3-9;o7;80:'1`c=12w]>>=53zTf4?4|,<0173t\n=745?3-9;o7;9f:'1`c=12w]>>=53zTf4?4|,<0173t\n=7ad<,::h689?;|&6a`<>3t\:nl4={Wg3>7}#=?o1hn5+31a91269<52208R7232;qG>9851zT2e6<5s-;in7<<2:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d8177=#;9i19:>4}%7fa?b4={%3af?46m2\:?<4={%744?b<,<l09=h5+31a91269<51c68?xd5?90;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:>;1<7?50;2x 7252;>27E<<6:m2f1<72-8?>7?m4:9~f715290:=7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6n5+57d9g>{zut1b=8=50;&107<6;11]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+5629g>"2>o0h7psr}:k211<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:995Y12396~"2?90h7);9f;a8yx{z3`;>97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;a8 00a2j1vqps4i075>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172j1/9;h5c:~yx=n9<=1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51458R4562;q/9:>5c:&62c5983>!43:3;846X=4582I43>3;pZh<52z&2fg<6=11]=>?52z&6354=zutw0e<=l:18'614=9:20Z?:;:0yO610=9r\n>745d3_;8=74=#=?l1=6sr}|9j56b=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>j4V012>7}#=>:1=6*:6g82?x{zu2c:?h4?:%076?7402\9894>{M072?7|^l81>v*>bc827`=Q9:;1>v*:7182?!31n3;0qpsr;h30b?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{;6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:?l1<7:50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a620=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd5?m0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:>i1<7850;2x 725289=7E<<6:&21<5$361>45?3_8?87?tL365>4}Q9h91>v*>bc827==Q9:;1>v*:7182?!31n3;0qpsr;hfa>5<#:=81ho5Y25695~J5:3y'126=92.>:k4>;|~y>ock3:1(?:=:ea8R7232;qG>9851zT2e6<5s-;in7jl;W305?4|,<=;6>5+57d97>{zuE8?97:tV310>6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b8635=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b862c=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3ni7)=?c;744>{#=lo156sY1cc96~Pb838p(88j:ea8 66d2<=;7p*:ed8f?x{z3`88>7>5$361>7553_8?874}Q9h91>v*>bc8177=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=::80(>>l:453?x"2ml0o7psr;h02a?6=,;>96??j;W070?4|D;>=65$361>4d332wi>:650;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?96:182>5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=51083>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:k200<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:885Y12396~"2?90h7);9f;a8yx{z3`;><7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172j1/9;h5c:~yx=n9<>1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51468R4562;q/9:>5c:&62c5483>!43:3;846X=4582I43>3;pZh<52z&2fg<6=<1]=>?52z&6353:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:6:T274<5s-?<<7m4$44e>f=zutw0e<;8:18'614=9:20Z?:;:0yO610=9r\n>74303_;8=7f=#=?l1o6sr}|9j50>=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=864V012>7}#=>:1o6*:6g8`?x{zu2c:?o4?:%076?7402\9894>{M072?7|^l81>v*>bc827g=Q9:;1>v*:718`?!31n3i0qpsr;h30g?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6;o0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3g9U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?98:187>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;2=6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi>5j50;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?6l:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:89~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb3:;>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c0;=?6=93:107pl=8`83>6<729q/>9<5689K660<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th94h4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo<65;297?6=8r.98?4=3g9K660<^;>?6:3y'126=i2.>:k4n;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?73<729q/>9<51248L7513-;>57h4i01;>5<#:=81=>64V367>4}K:=<1=vX>a281!7ej3;846X>3081!3083;0(88i:09~yx{P5<=0:wA<;6;3xR4g42;q/=ol5dc9U567=:r.>;=4>;%75b?79:52zN103<6s_;j?7ae<^89:6?u+56297>"2>o087psrL366>1}Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?<<6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?=j6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:e`8 66d2<=;7p*:ed8:?xP6jh09w[k?:3y'13c=lj1/?=m55628y!3bm3o0qps4i311>5<#:=81>><4V367>7}K:=<1=vX>a281!7ej388>6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=339'75e==>:0q);je;f8yx{?6?uC25495~P6i:09w)?mb;02a>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<59l1/?=m55628y!3bm3n0qps4o0`7>5<#:=81=o:4;|`1=5<72:0;6=u+250966`<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a6<7=83;1<7>t$361>72>3A88:6a>b583>!43:3;i865rb3;1>5<4290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?79:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm28f94?5=83:p(?:=:31e?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083k0(88i:`9~yx{?65<1290;w)<;2;302>N5;?1/=875f:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf><=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f7??29086=4?{%076?44n2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?713:1=7>50z&107<5<01C>>84o0`7>5<#:=81=o:4;|`1=d<72=0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{54;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?em3:1>7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;k>6=4<:183!43:388j6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718b?!31n3k0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi>l<50;794?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{54;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e3:1>7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;ko6=4<:183!43:388j6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718b?!31n3k0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi>lo50;494?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:718`?!31n3i0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083i0(88i:b9~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?oj:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2c794?5=83:p(?:=:31e?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083k0(88i:`9~yx{?65<1290;w)<;2;302>N5;?1/=875f:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf><=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f7d729086=4?{%076?44n2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<5<01C>>84o0`7>5<#:=81=o:4;|`1f7<72>0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th9n;4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo<^;>?6:3y'126=i2.>:k4n;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?73<729q/>9<51248L7513-;>57h4i01;>5<#:=81=>64V367>4}K:=<1=vX>a281!7ej3;846X>3081!3083;0(88i:09~yx{P5<=0:wA<;6;3xR4g42;q/=ol5dc9U567=:r.>;=4>;%75b?79:52zN103<6s_;j?7ae<^89:6?u+56297>"2>o087psrL366>1}Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?<<6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?=j6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:e`8 66d2<=;7p*:ed8:?xP6jh09w[k?:3y'13c=lj1/?=m55628y!3bm3o0qps4i311>5<#:=81>><4V367>7}K:=<1=vX>a281!7ej388>6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=339'75e==>:0q);je;f8yx{?6?uC25495~P6i:09w)?mb;02a>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<59l1/?=m55628y!3bm3n0qps4o0`7>5<#:=81=o:4;|`1f=<72:0;6=u+250966`<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a6g?=83;1<7>t$361>72>3A88:6a>b583>!43:3;i865rb3`b>52c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{>6=4+250956><^;>?6:3y'126=k2.>:k4l;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a6g1=83>1<7>t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2cg94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`1g0<72:0;6=u+250966`<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6l5+57d9e>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a6f2=83<1<7>t$361>4513A88:6*>588e?l7403:1(?:=:01;?S43<3;p@?:9:0yU5d5=:r.:no4>399U567=:r.>;=4>;%75b?79:51zN103<6s_;j?7ad<^89:6?u+56295>"2>o0:7psr}:kgg?6=,;>96im4V367>7}K:=<1=vX>a281!7ej3nh7[?<1;0x 0172:1/9;h53:~yI43=3>pZ?=<:2yUa5<5s-?=i7jl;%13g?3082w]i<4={%75a?7402.80173t.>ih4j;|~?l44:3:1(?:=:311?S43<38p@?:9:0yU5d5=:r.:no4=339U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g9664<,::h689?;|&6a`e;29 7252;;n7[<;4;0xH72128q]=l=52z&2fg<59l1]=>?52z&6357}#=?o1>0173t.>ih4k;|~?j7e<3:1(?:=:0`7?>{e:j:1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;i:6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg4d:3:157>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6n5+57d9g>{zut1b=8=50;&107<6;11]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+5629g>"2>o0h7psr}:k211<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:995Y12396~"2?90h7);9f;a8yx{z3`;>97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;a8 00a2j1vqps4i075>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2cd94?2=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635f=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi>n850;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?76<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172h1/9;h5a:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2ba94?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg9=>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96=8391<7>t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f7e>290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c0`e?6=980;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=k2.>:k4l;|~y>o6=<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>549U567=:r.>;=4l;%75b?e2\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<;8;W305?4|,<=;6n5+57d9g>{zut1b=8650;&107<6;11]>9:51zN103<6s_o96?u+1c`950><^89:6?u+56295>"2>o0:7psr}:k27g<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?o5Y12396~"2?90:7);9f;38yx{z3`;8o7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30g>P6;809w);80;38 00a281vqps4i01g>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289o7[?<1;0x 017281/9;h51:~yx=n9:o1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512g8R4562;q/9:>51:&62c<63twvq6g>3g83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;o1]=>?52z&635<63-?=j7?4}|~?l7383:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;0:T274<5s-?<<7?4$44e>4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f7e0290?6=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a753=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd48k0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;9k1<7=50;2x 72528h87E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj::36=49:183!43:3;8:6F=379'50?=n2c:?54?:%076?7402\9894>{M072?7|^8k86?u+1c`956><^89:6?u+56295>"2>o0:7psr}:kgf?6=,;>96il4V367>4}K:=<1=vX>a281!7ej3ni7[?<1;0x 017281/9;h51:~yx=nlj0;6)<;2;f`?S43<38p@?:9:0yU5d5=:r.:no4kc:T274<5s-?<<7=4$44e>6=zutF9884;{W007?5|^l:1>v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a9126v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a913`v*:6d8gg>"48j0>;=5rVd396~"2>l0on6*<0b8635=z,7}Qm909w);9e;f`?!57k3?<<6s+5dg9a>{zu2c9??4?:%076?44:2\9894={M072?7|^8k86?u+1c`9664<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;997)=?c;744>{#=lo1h6sr}:k15`<72-8?>7<>e:T101<5sE8?:7?tV0c0>7}#9kh1>7}#=>:1h6*:6g8g?x{zD;>>6{zu2e:n94?:%076?7e<21vn>>?:180>5<7s-8?>7<1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo=?1;295?6=8r.98?4=489K6604?:683>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172?1/9;h56:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=73=#=?l1:6sr}|9j506=83.98?4>399K617<^;>?62wvqp5f14194?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6;5+57d92>{zut1b=8:50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm31694?1=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<13-?=j784}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?3=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?0<,<9<512:8R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6n5+57d9g>{zut1b=8:50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm31094?5=83:p(?:=:0`0?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<4290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56292>"2>o0=7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8<;4?:0394?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1;6*:6g84?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7184?!31n3=0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=?0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9500<^89:6?u+56293>"2>o0<7psr}:k212<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9:5Y12396~"2?90o7);9f;f8yx{z3`;>47>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=11]=>?52z&635{M072?7|^l81>v*>bc827g=Q9:;1>v*:718g?!31n3n0qpsr;h30g?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3b9U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<=j;W305?4|,<=;6<5+57d95>{zut1b=>h50;&107<6;11]>9:51zN103<6s_o96?u+1c`956`<^89:6?u+56295>"2>o0:7psr}:k205<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8=5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c3;`?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f4?>290=6=4?{%076?74>2B9?;5+14;9b>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR4g42;q/=ol512:8R4562;q/9:>51:&62c<63twvq6gkb;29 7252mh0Z?:;:0yO610=9r\:m>4={%3af?be3_;8=74=#=?l1=6sr}|9j`f<72-8?>7jl;W070?4|D;>=63081!308390(88i:29~yxJ5<<0?w[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m55628y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m557d8y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`o5;;0;6)<;2;006>P5<=09wA<;6;3xR4g42;q/=ol52208R4562;q/9:>5d:&62c7553-9;o7;80:'1`c=l2wvq6g=1d83>!43:38:i6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<i6j=0;6)<;2;3a0>=zj82n6=4<:183!43:388j6F=379j56?=83.98?4>399K617<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?78g83>4<729q/>9<525;8L7513f;i87>5$361>4d332wi=4?50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=4<50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=4=50;194?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<4290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e=3:187>50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:k200<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:885Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c3:2?6==3:1N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172?1/9;h56:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=73=#=?l1:6sr}|9j513=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?79683>0<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6;5+57d92>{zut1b=9;50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6190;654?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6<5+57d95>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th9;h4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo<75;297?6=8r.98?4=3g9K660<^;>?6:3y'126=i2.>:k4n;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?73<729q/>9<51248L7513-;>57h4i01;>5<#:=81=>64V367>4}K:=<1=vX>a281!7ej3;846X>3081!3083;0(88i:09~yx{P5<=0:wA<;6;3xR4g42;q/=ol5dc9U567=:r.>;=4>;%75b?79:52zN103<6s_;j?7ae<^89:6?u+56297>"2>o087psrL366>1}Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?<<6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?=j6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:e`8 66d2<=;7p*:ed8:?xP6jh09w[k?:3y'13c=lj1/?=m55628y!3bm3o0qps4i311>5<#:=81>><4V367>7}K:=<1=vX>a281!7ej388>6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=339'75e==>:0q);je;f8yx{?6?uC25495~P6i:09w)?mb;02a>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<59l1/?=m55628y!3bm3n0qps4o0`7>5<#:=81=o:4;|`1<5<72:0;6=u+250966`<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a6=7=83;1<7>t$361>72>3A88:6a>b583>!43:3;i865rb3:1>5<693:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172j1/9;h5c:~yx=n9<91<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>5c:&62c5583>!43:3;846X=4582I43>3;pZh<52z&2fg<6==1]=>?52z&635f=zutw0e<;9:18'614=9:20Z?:;:0yO610=9r\n>74313_;8=7f=#=?l1o6sr}|9j501=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=894V012>7}#=>:1o6*:6g8`?x{zu2c:954?:%076?7402\9894>{M072?7|^l81>v*>bc821==Q9:;1>v*:718`?!31n3i0qpsr;h30f?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6;l0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3d9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<:?;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a62`=83>1<7>t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2g094?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`1b=<72?0;6=u+2509560<@;9=7)?:9;d8m45?290/>9<512:8R72328qG>9851zT2e6<5s-;in7?<8:T274<5s-?<<7?4$44e>4=zutw0eil50;&107{M072?7|^8k86?u+1c`9`g=Q9:;1>v*:7182?!31n3;0qpsr;hf`>5<#:=81hn5Y25696~J5:3y'126=;2.>:k4<;|~H7222=q]>>=53zTf4?4|,<0173t\n=745?3-9;o7;80:'1`c=12w]>>=53zTf4?4|,<0173t\n=745?3-9;o7;9f:'1`c=12w]>>=53zTf4?4|,<0173t\n=7ad<,::h689?;|&6a`<>3t\:nl4={Wg3>7}#=?o1hn5+31a91269<52208R7232;qG>9851zT2e6<5s-;in7<<2:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d8177=#;9i19:>4}%7fa?b4={%3af?46m2\:?<4={%744?b<,<l09=h5+31a91269<51c68?xd5n=0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:o?1<7?50;2x 7252;>27E<<6:m2f1<72-8?>7?m4:9~f7`1290>6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?h<:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c7`6?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0e>290=6=4?{%076?74>2B9?;5+14;9b>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR4g42;q/=ol512:8R4562;q/9:>51:&62c<63twvq6gkb;29 7252mh0Z?:;:0yO610=9r\:m>4={%3af?be3_;8=74=#=?l1=6sr}|9j`f<72-8?>7jl;W070?4|D;>=63081!308390(88i:29~yxJ5<<0?w[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m55628y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m557d8y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`o5;;0;6)<;2;006>P5<=09wA<;6;3xR4g42;q/=ol52208R4562;q/9:>5d:&62c7553-9;o7;80:'1`c=l2wvq6g=1d83>!43:38:i6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<i6j=0;6)<;2;3a0>=zj399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{51;294~"5<;09845G2248k4d3290/>9<51c68?xd2k?0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2k>0;694?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62c4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`6g0<72:0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a525=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6?h0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo?85;297?6=8r.98?4=3g9K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77783>4<729q/>9<525;8L7513f;i87>5$361>4d332wi=:650;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{?65<4290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e50z&107<6j:1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6?k0;6;4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172>1/9;h57:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7a=#=?l1h6sr}|9j513=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;?;W305?4|,<=;6i5+57d9`>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172m1/9;h5d:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm16a94?0=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718g?!31n3n0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4k;%75b?b?7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&6354=zutw0qo?8d;297?6=8r.98?4>b29K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77583>6<729q/>9<5689K660<^;>?69851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a5t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6i;0;6;4?:1y'614=9:<0D?=9;%36=?`<^;>?6P6;809w);80;38 00a281vqps4ie`94?"5<;0on6X=4582I43>3;pZ?52z&635<63-?=j7?4}|~?lbd290/>9<5db9U612=:rF98;4>{W3b7?4|,8hi6im4V012>7}#=>:1?6*:6g80?x{zD;>>69uY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;744>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;75b>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b2mh0(>>l:453?x"2ml027pX>b`81Sc72;q/9;k5db9'75e==>:0q);je;g8yx{?6?uC25495~P6i:09w)?mb;006>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<5;;1/?=m55628y!3bm3n0qps4i33f>5<#:=81>7}K:=<1=vX>a281!7ej38:i6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=1d9'75e==>:0q);je;f8yx{5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=4j50;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj83m6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<13-?=j784}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo?n0;297?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`2=`<72:0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a5t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;;m6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi>?;50;494?6|,;>96<=9;I002>"6=00m7d?<8;29 72528937[<;4;3xH72128q]=l=52z&2fg<6;11]=>?52z&635<63-?=j7?4}|~?lbe290/>9<5dc9U612=9rF98;4>{W3b7?4|,8hi6il4V012>7}#=>:1=6*:6g82?x{zu2coo7>5$361>ae<^;>?6?uC25495~P6i:09w)?mb;f`?S74938p(89?:29'13`=;2wvqA<;5;6xR7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2?91v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2>o1v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7jm;%13g?3082w/9hk59:U5gg=:r\n<7ae<,::h689?;|&6a`?52z&6357}#=?o1>><4$22`>0173t.>ih4k;|~?l46m3:1(?:=:33f?S43<38p@?:9:0yU5d5=:r.:no4=1d9U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g964c<,::h689?;|&6a`2c:?44?:%076?7402B98<5Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<5<01C>>84o0`7>5<#:=81=o:4;|`166<72=0;6=u+25092<=O::<0e<=6:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;8;6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&6354=zutw0qo?65<1290;w)<;2;302>N5;?1/=875f:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf><=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f7b729086=4?{%076?44n2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<5<01C>>84o0`7>5<#:=81=o:4;|`1`7<728;1<7>t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62c5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635f=zutw0e<;::18'614=9:20Z?:;:0yO610=9r\n>74323_;8=7f=#=?l1o6sr}|9j500=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=884V012>7}#=>:1o6*:6g8`?x{zu2c:9:4?:%076?7402\9894>{M072?7|^l81>v*>bc8212=Q9:;1>v*:718`?!31n3i0qpsr;h3696<=7;W070?7|D;>=646X>3081!3083i0(88i:b9~yx{<^;>?6:3y'126=k2.>:k4l;|~y>o6;m0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3e9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<=i;W305?4|,<=;6<5+57d95>{zut1b=9>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9516<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th9ok4?:583>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd49:0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>?j:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb237>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd49<0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:;36=49:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>56:&62c<13twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1:6*:6g85?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4l;%75b?e5Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c122?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`05d<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7a=#=?l1h6sr}|9j56g=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;8h1<7850;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?b<,<9<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6i5+57d9`>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+5629`>"2>o0o7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90o7);9f;f8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c12g?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`05c<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<50z&107<6;?1C>>84$07:>c=n9:21<7*=43827==Q:=>1=vB=4782S7f;38p(!43:3ni7[<;4;3xH72128q]=l=52z&2fg7}#9kh1hn5Y12396~"2?9087);9f;18yx{K:=?18vX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:453?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:44e?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=lk1/?=m55628y!3bm380q[?ma;0xR`6=:r.>:h4kc:&04f<2?91v(8kj:d9~yx=n::81<7*=438177=Q:=>1>vB=4782S7f;38p(e:&04f<2?91v(8kj:e9~yx=h9k>1<7*=4382f1=53;294~"5<;09?k5G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm33394?7=83:p(?:=:36:?M44>2e:n94?:%076?7e<21vn><<:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn><;:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=49;%75b?05$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c111?6=<3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62c4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`063<72<0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1:6*:6g85?x{zu2c:884?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6=90;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8>:4?:483>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6;5+57d92>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56292>"2>o0=7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62c5183>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`06=<728;1<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6;5+57d92>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56292>"2>o0=7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90=7);9f;48yx{z3`;>?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;48 00a2?1vqps4i077>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172?1/9;h56:~yx=n91=vB=4782Sc52;q/=ol51478R4562;q/9:>56:&62c<13twvq6g>5783>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=884V012>7}#=>:1:6*:6g85?x{zu2c:9:4?:%076?7402\9894>{M072?7|^l81>v*>bc8212=Q9:;1>v*:718`?!31n3i0qpsr;h3696<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>599U567=:r.>;=4l;%75b?e5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;j1]=>?52z&6354=zutw0e<=j:18'614=9:20Z?:;:0yO610=9r\n>745b3_;8=74=#=?l1=6sr}|9j56`=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>h4V012>7}#=>:1=6*:6g82?x{zu2c:8=4?:%076?7402\9894>{M072?7|^l81>v*>bc8205=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{7>57;294~"5<;0:n>5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:k200<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:885Y12396~"2?90:7);9f;38yx{z3`;><7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;38 00a281vqps4i070>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 017281/9;h51:~yx=n9<>1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51468R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4:k0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo==c;297?6=8r.98?4=3g9K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi??h50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?>>50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?>?50;494?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm32094?0=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9j506=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?72<729q/>9<5689K660<^;>?62wvqp5f12c94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56292>"2>o0=7psr}:k215<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62c5283>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1o6*:6g8`?x{zu2c:994?:%076?7402\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{57;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1:6*:6g85?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7185?!31n3<0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;a8 00a2j1vqps4i077>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm32794?1=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172?1/9;h56:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=73=#=?l1:6sr}|9j506=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;<;W305?4|,<=;6n5+57d9g>{zut1b=8:50;&107<6;11]>9:51zN103<6s_o96?u+1c`9502<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8>h4?:983>5}#:=81=o=4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1=6*:6g82?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7182?!31n3;0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1e5?6=>3:1=83.98?4>399U612=9rF98;4>{W3b7?4|,8hi6<=7;W305?4|,<=;6<5+57d95>{zut1bho4?:%076?be3_8?87?tL365>4}Q9h91>v*>bc8gf>P6;809w);80;38 00a281vqps4iea94?"5<;0oo6X=4581I43>3;pZ?52z&635<43-?=j7=4}|O613=4<{Wg3>7}#=?o1hn5+31a9126<,::h689?;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a9126<,::h688i;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a91264}%7fa??l0oo6*<0b8635=z,{W3b7?4|,8hi6?==;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;006>"48j0>;=5r$4gf>a=zut1b>9:52zN103<6s_;j?777b3_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m38:i6*<0b8635=z,b598yg5bl3:1?7>50z&107<5;o1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4ml0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<13-?=j784}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo=jc;297?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&6354=zutw0qo=i2;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90j7);9f;c8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1ea?6=>3:1=83.98?4>399U612=9rF98;4>{W3b7?4|,8hi6<=7;W305?4|,<=;6<5+57d95>{zut1bho4?:%076?be3_8?87?tL365>4}Q9h91>v*>bc8gf>P6;809w);80;38 00a281vqps4iea94?"5<;0oo6X=4581I43>3;pZ?52z&635<43-?=j7=4}|O613=4<{Wg3>7}#=?o1hn5+31a9126<,::h689?;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a9126<,::h688i;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a91264}%7fa?4l0oo6*<0b8635=z,{W3b7?4|,8hi6?==;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;006>"48j0>;=5r$4gf>a=zut1b>9:52zN103<6s_;j?777b3_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m38:i6*<0b8635=z,b598yg5a;3:1?7>50z&107<5;o1C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?k:50;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj:l=6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:l<6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0b=<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0b<<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0bd<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0bg<72:0;6=u+25092<=O::<0e<=6:18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>hl:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4n<0;654?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6<5+57d95>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?<=4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo:?6;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90j7);9f;c8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c63`?6=>3:1=83.98?4>399U612=9rF98;4>{W3b7?4|,8hi6<=7;W305?4|,<=;6<5+57d95>{zut1bho4?:%076?be3_8?87?tL365>4}Q9h91>v*>bc8gf>P6;809w);80;38 00a281vqps4iea94?"5<;0oo6X=4581I43>3;pZ?52z&635<43-?=j7=4}|O613=4<{Wg3>7}#=?o1hn5+31a9126<,::h689?;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a9126<,::h688i;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a91264}%7fa?4l0oo6*<0b8635=z,{W3b7?4|,8hi6?==;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;006>"48j0>;=5r$4gf>a=zut1b>9:52zN103<6s_;j?777b3_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m38:i6*<0b8635=z,b598yg2793:1?7>50z&107<5;o1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd38;0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a053=83>1<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm41194?5=83:p(?:=:0`0?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56293>"2>o0<7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>57:&62c<03twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd3810;694?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?1<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn9>6:187>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56293>"2>o0<7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>57:&62c<03twvq6g>4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1;6*:6g84?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`74d<72<0;6=u+25092<=O::<0e<=6:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;58 00a2>1vqps4i073>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<794$44e>2=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f16e290>6=4?{%076?7e;2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a05`=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd39<0;6;4?:1y'614=9:<0D?=9;%36=?`<^;>?6P6;809w);80;38 00a281vqps4ie`94?"5<;0on6X=4582I43>3;pZ?52z&635<63-?=j7?4}|~?lbd290/>9<5db9U612=:rF98;4>{W3b7?4|,8hi6im4V012>7}#=>:1?6*:6g80?x{zD;>>69uY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;744>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;75b>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b2mh0(>>l:453?x"2ml027pX>b`81Sc72;q/9;k5db9'75e==>:0q);je;g8yx{?6?uC25495~P6i:09w)?mb;006>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<5;;1/?=m55628y!3bm3n0qps4i33f>5<#:=81>7}K:=<1=vX>a281!7ej38:i6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=1d9'75e==>:0q);je;f8yx{5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8<<50;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj=;86=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>56:&62c<13twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1:6*:6g85?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`755<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`733<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj=2:6=4<:183!43:388j6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718b?!31n3k0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo:87;297?6=8r.98?4=3g9K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi8:o50;694?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn99m:184>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4i073>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7m4$44e>f=zutw0e<;<:18'614=9:20D?:>;W070?7|D;>=6?6X>3081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72<2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn99l:18;>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3`;?97>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&635f=zutw0e<;<:18'614=9:20Z?:;:0yO610=9r\n>74343_;8=7f=#=?l1o6sr}|9j502=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?7=<729q/>9<5689K660<^;>?6:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90h7);9f;a8yx{z3`;>?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;a8 00a2j1vqps4i077>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<7m4$44e>f=zutw0e<;::18'614=9:20Z?:;:0yO610=9r\n>74323_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8:750;794?6|,;>96o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6<5+57d95>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?m94?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo:m1;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a0g6=83<1<7>t$361>4513A88:6*>588e?l7403:1(?:=:01;?S43<3;p@?:9:0yU5d5=:r.:no4>399U567=:r.>;=4>;%75b?79:51zN103<6s_;j?7ad<^89:6?u+56295>"2>o0:7psr}:kgg?6=,;>96im4V367>7}K:=<1=vX>a281!7ej3nh7[?<1;0x 0172:1/9;h53:~yI43=3>pZ?=<:2yUa5<5s-?=i7jl;%13g?3082w]i<4={%75a?7402.80173t.>ih4j;|~?l44:3:1(?:=:311?S43<38p@?:9:0yU5d5=:r.:no4=339U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g9664<,::h689?;|&6a`e;29 7252;;n7[<;4;0xH72128q]=l=52z&2fg<59l1]=>?52z&6357}#=?o1>0173t.>ih4k;|~?j7e<3:1(?:=:0`7?>{e7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj=k=6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg2f03:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?0<7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1gf290<6=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1:6*:6g85?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e?7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1ge290:=7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?09<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6;5+57d92>{zut1b=8=50;&107<6;11]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+56292>"2>o0=7psr}:k211<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:995Y12396~"2?90=7);9f;48yx{z3`;>97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;48 00a2?1vqps4i075>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:6:T274<5s-?<<784$44e>3=zutw0e<;8:18'614=9:20Z?:;:0yO610=9r\n>74303_;8=7f=#=?l1o6sr}|9j50>=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<=m;W305?4|,<=;6n5+57d9g>{zut1b=>m50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289h7[?<1;0x 0172j1/9;h5c:~yx=n9:n1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512f8R4562;q/9:>5c:&62c3d83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;l1]=>?52z&635<63-?=j7?4}|~?l74n3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0e<:?:18'614=9:20Z?:;:0yO610=9r\n>74273_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8lm50;32>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=49;%75b?09<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6;5+57d92>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56292>"2>o0=7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90=7);9f;48yx{z3`;>87>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;360>P6;809w);80;48 00a2?1vqps4i076>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?>7[?<1;0x 0172?1/9;h56:~yx=n9<<1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74313_;8=73=#=?l1:6sr}|9j501=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=894V012>7}#=>:1o6*:6g8`?x{zu2c:954?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;k0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956d<^89:6?u+5629g>"2>o0h7psr}:k27f<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512a8R4562;q/9:>5c:&62c3e83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;m1]=>?52z&6354=zutw0e<=i:18'614=9:20Z?:;:0yO610=9r\n>745a3_;8=74=#=?l1=6sr}|9j516=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9>4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`7e2<72?0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56295>"2>o0:7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90:7);9f;38yx{z3`;>?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj=kn6=4::183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>57:&62c<03twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1;6*:6g84?x{zu2c:884?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6=90;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56293>"2>o0<7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?454?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo:77;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a0<4=8391<7>t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?d=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1?6290=6=4?{%076?74>2B9?;5+14;9b>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR4g42;q/=ol512:8R4562;q/9:>51:&62c<63twvq6gkb;29 7252mh0Z?:;:0yO610=9r\:m>4={%3af?be3_;8=74=#=?l1=6sr}|9j`f<72-8?>7jl;W070?4|D;>=63081!308390(88i:29~yxJ5<<0?w[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m55628y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m557d8y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`o5;;0;6)<;2;006>P5<=09wA<;6;3xR4g42;q/=ol52208R4562;q/9:>5d:&62c7553-9;o7;80:'1`c=l2wvq6g=1d83>!43:38:i6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<i6j=0;6)<;2;3a0>=zj=226=4<:183!43:388j6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{3m7>51;294~"5<;09845G2248k4d3290/>9<51c68?xd30j0;694?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e<1n1<7;50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4i073>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7m4$44e>f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1>e29086=4?{%076?7e;2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=l2.>:k4k;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629`>"2>o0o7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>5<4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;6d;292?6=8r.98?4>379K660<,8?26k5f12:94?"5<;0:?55Y25695~J54=zutw0eim50;&107v*:7180?!31n390qpsC25790~P5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<=;7p*:ed8:?xP5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<:0q);je;08yS7ei38pZh>52z&62`1>vB=4782S7f;38p(;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=091<7?50;2x 7252;>27E<<6:m2f1<72-8?>7?m4:9~f0?229086=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0?129086=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0?029086=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{54;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?62wvqp5f12c94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e13:187>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn87n:187>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<3i6=4;:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7m4$44e>f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0?329036=4?{%076?7e;2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6<5+57d95>{zut1b=8=50;&107<6;11]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+56295>"2>o0:7psr}:k211<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:995Y12396~"2?90:7);9f;38yx{z3`;>97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9o:50;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8l<:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb4c:>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2ih0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a1db=83?1<7>t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4l;%75b?e50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`6ec<72?0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<::;W305?4|,<=;6n5+57d9g>{zut1b=8>50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172j1/9;h5c:~yx=n9<91<7*=43827==O:=;0Z?:;:0yO610=9r\n>74343_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9o>50;594?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7185?!31n3<0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?0<7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083i0(88i:b9~yx{?65<0290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56292>"2>o0=7psr}:k200<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:885Y12396~"2?90h7);9f;a8yx{z3`;><7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083i0(88i:b9~yx{?65<0290;w)<;2;3a7>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;38 00a281vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 017281/9;h51:~yx=n9<91<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>51:&62c<63twvq6g>5583>!43:3;846X=4582I43>3;pZh<52z&2fg<6==1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;6e;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90j7);9f;c8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c7b2?6=>3:1=83.98?4>399U612=9rF98;4>{W3b7?4|,8hi6<=7;W305?4|,<=;6<5+57d95>{zut1bho4?:%076?be3_8?87?tL365>4}Q9h91>v*>bc8gf>P6;809w);80;38 00a281vqps4iea94?"5<;0oo6X=4581I43>3;pZ?52z&635<43-?=j7=4}|O613=4<{Wg3>7}#=?o1hn5+31a9126<,::h689?;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a9126<,::h688i;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a91264}%7fa?4l0oo6*<0b8635=z,{W3b7?4|,8hi6?==;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;006>"48j0>;=5r$4gf>a=zut1b>9:52zN103<6s_;j?777b3_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m38:i6*<0b8635=z,b598yg3>n3:1?7>50z&107<5;o1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2i90;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a1d5=8331<7>t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<13-?=j784}|~?l73=3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:7185?!31n3<0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72;2\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;360>P6;809w);80;a8 00a2j1vqps4i076>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:5:T274<5s-?<<7m4$44e>f=zutw0e<;9:18'614=9:20Z?:;:0yO610=9r\n>74313_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9l:50;;94?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7185?!31n3<0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?09<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6n5+57d9g>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172j1/9;h5c:~yx=n9<>1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74333_;8=7f=#=?l1o6sr}|9j503=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2j<0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629e>"2>o0j7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>o=4?:783>5}#:=81=>84H315?!7213l0e<=7:18'614=9:20Z?:;:0yO610=9r\:m>4={%3af?7402\:?<4={%744?7<,<7}#9kh1ho5Y12396~"2?90:7);9f;38yx{z3`nh6=4+2509`f=Q:=>1>vB=4782S7f;38p(53:&62c<43twv@?:::5yU665=;r\n<7ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<0173t.>ih4=;|T2fd<5s_o;6?u+57g9`f=#;9i19:>4}%7fa?c4={%3af?44:2\:?<4={%744?b<,<l09??5+31a91269<520g8R7232;qG>9851zT2e6<5s-;in7<>e:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d815`=#;9i19:>4}%7fa?b07pl:b783>6<729q/>9<522d8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0d0290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c7a=?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c7ae?6==3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62c4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?70<729q/>9<5689K660<^;>?62wvqp5f12c94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=n9<:1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74373_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9om50;794?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e<7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&6354=zutw0qo;md;292?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=ko1<7850;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?6:3y'126=k2.>:k4l;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8l7:184>5<7s-8?>7?m3:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?l73=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7?4$44e>4=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=74=#=?l1=6sr}|9j505=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1=6*:6g82?x{zu2c:994?:%076?7402\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>m?:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb2`:>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1ae?6=93:107pl6<729q/>9<5689K660<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm3cg94?0=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:hi6=4;:183!43:3;i?6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a7dd=83<1<7>t$361>4513A88:6*>588e?l7403:1(?:=:01;?S43<3;p@?:9:0yU5d5=:r.:no4>399U567=:r.>;=4>;%75b?79:51zN103<6s_;j?7ad<^89:6?u+56295>"2>o0:7psr}:kgg?6=,;>96im4V367>7}K:=<1=vX>a281!7ej3nh7[?<1;0x 0172:1/9;h53:~yI43=3>pZ?=<:2yUa5<5s-?=i7jl;%13g?3082w]i<4={%75a?7402.80173t.>ih4j;|~?l44:3:1(?:=:311?S43<38p@?:9:0yU5d5=:r.:no4=339U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g9664<,::h689?;|&6a`e;29 7252;;n7[<;4;0xH72128q]=l=52z&2fg<59l1]=>?52z&6357}#=?o1>0173t.>ih4k;|~?j7e<3:1(?:=:0`7?>{e;h?1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:k=6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg5f03:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8m:4?:283>5}#:=81=o=4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?lm50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?76<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172h1/9;h5a:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm3c494?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg96>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f6gb290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c1a4?6=<3:1N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0f4<72?0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083<0(88i:79~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;k81<7950;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?6:3y'126=k2.>:k4l;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=49;%75b?09<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a7g5=83=1<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90=7);9f;48yx{z3`;>?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;a8 00a2j1vqps4i077>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm3c694?1=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62c5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<13-?=j784}|~?l72;3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0e<;;:18'614=9:20Z?:;:0yO610=9r\n>74333_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?lh50;494?6|,;>96o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6<5+57d95>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56295>"2>o0:7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c7f6?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0c0290=6=4?{%076?74>2B9?;5+14;9b>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR4g42;q/=ol512:8R4562;q/9:>51:&62c<63twvq6gkb;29 7252mh0Z?:;:0yO610=9r\:m>4={%3af?be3_;8=74=#=?l1=6sr}|9j`f<72-8?>7jl;W070?4|D;>=63081!308390(88i:29~yxJ5<<0?w[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m55628y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m557d8y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`o5;;0;6)<;2;006>P5<=09wA<;6;3xR4g42;q/=ol52208R4562;q/9:>5d:&62c7553-9;o7;80:'1`c=l2wvq6g=1d83>!43:38:i6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<i6j=0;6)<;2;3a0>=zj399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{51;294~"5<;09845G2248k4d3290/>9<51c68?xd2m<0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8>750;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?76<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172h1/9;h5a:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm45794?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg96>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f15e290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c60`?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c60a?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c60b?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c674?6=<3:1N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e<=;1<7850;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083<0(88i:79~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4i073>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7m4$44e>f=zutw0e<;<:18'614=9:20D?:>;W070?7|D;>=6?6X>3081!3083i0(88i:b9~yx{?65<1290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7185?!31n3<0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f124290<6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<13-?=j784}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?3=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;a8 00a2j1vqps4i077>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<7m4$44e>f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f15d29036=4?{%076?7e;2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6<5+57d95>{zut1b=8=50;&107<6;11]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+56295>"2>o0:7psr}:k211<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:995Y12396~"2?90:7);9f;38yx{z3`;>97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:?26=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?;<50;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>8>:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb27b>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4=k0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>961<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm34g94?1=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e?7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f63a290<6=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62c5283>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1o6*:6g8`?x{zu2c:994?:%076?7402B98<5Y25695~J50Z<=>:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4>:0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo=94;297?6=8r.98?4=3g9K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a733=83;1<7>t$361>72>3A88:6a>b583>!43:3;i865rb244>5<4290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<4290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8:44?:283>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm37494?2=83:p(?:=:0`0?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{>6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=>=1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>4>4?:783>5}#:=81=>84H315?!7213l0e<=7:18'614=9:20Z?:;:0yO610=9r\:m>4={%3af?7402\:?<4={%744?7<,<7}#9kh1ho5Y12396~"2?90:7);9f;38yx{z3`nh6=4+2509`f=Q:=>1>vB=4782S7f;38p(53:&62c<43twv@?:::5yU665=;r\n<7ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<0173t.>ih4=;|T2fd<5s_o;6?u+57g9`f=#;9i19:>4}%7fa?c4={%3af?44:2\:?<4={%744?b<,<l09??5+31a91269<520g8R7232;qG>9851zT2e6<5s-;in7<>e:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d815`=#;9i19:>4}%7fa?b07pl:7983>6<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm56;94?7=83:p(?:=:36:?M44>2e:n94?:%076?7e<21vn89m:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn89l:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn89k:187>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<=n6=4::183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4l;%75b?e50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62c5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&6354=zutw0qo;70;293?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399K617<^;>?62wvqp5f15794?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6;5+57d92>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+5629g>"2>o0h7psr}:k216<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>5c:&62c5583>!43:3;846X=4582I43>3;pZh<52z&2fg<6==1]=>?52z&6354=zutw0qo;71;293?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399K617<^;>?62wvqp5f15794?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4l;%75b?e5Y12396~"2?90h7);9f;a8yx{z3`;>87>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;360>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<=j6=47:183!43:3;i?6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6==0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>559U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>8l:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm36494?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg96>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96t$361>75a3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{51;294~"5<;09845G2248k4d3290/>9<51c68?xd4?90;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<4290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8;?4?:283>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm36194?2=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4?=0;694?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{>6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;?l1<7850;2x 72528h87E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 017281/9;h51:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>51:&62c<63twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo:<1;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<1290;w)<;2;302>N5;?1/=875f:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf>7=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f15529086=4?{%076?44n2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<5<01C>>84o0`7>5<#:=81=o:4;|`770<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`773<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`771<72:0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a721=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4090;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;>l1<7850;2x 725289=7E<<6:&21<5$361>45?3_8?87?tL365>4}Q9h91>v*>bc827==Q9:;1>v*:7182?!31n3;0qpsr;hfa>5<#:=81ho5Y25695~J5:3y'126=92.>:k4>;|~y>ock3:1(?:=:ea8R7232;qG>9851zT2e6<5s-;in7jl;W305?4|,<=;6>5+57d97>{zuE8?97:tV310>6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b8635=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b862c=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3ni7)=?c;744>{#=lo1>6sY1cc96~Pb838p(88j:ea8 66d2<=;7p*:ed8f?x{z3`88>7>5$361>7553_8?874}Q9h91>v*>bc8177=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=::80(>>l:453?x"2ml0o7psr;h02a?6=,;>96??j;W070?4|D;>=65$361>4d332wi?:650;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>96:182>5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=54;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a72b=83?1<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4?h0;694?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1;f?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1m6*:6g8b?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`09<512:8R72328qG>9851zT2e6<5s-;in7?<8:T274<5s-?<<7?4$44e>4=zutw0eil50;&107{M072?7|^8k86?u+1c`9`g=Q9:;1>v*:7182?!31n3;0qpsr;hf`>5<#:=81hn5Y25696~J5:3y'126=;2.>:k4<;|~H7222=q]>>=53zTf4?4|,<0173t\n=745?3-9;o7;80:'1`c=12w]>>=53zTf4?4|,<0173t\n=745?3-9;o7;9f:'1`c=12w]>>=53zTf4?4|,<0173t\n=7ad<,::h689?;|&6a`<53t\:nl4={Wg3>7}#=?o1hn5+31a91269<52208R7232;qG>9851zT2e6<5s-;in7<<2:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d8177=#;9i19:>4}%7fa?b4={%3af?46m2\:?<4={%744?b<,<l09=h5+31a91269<51c68?xd40;0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;191<7?50;2x 7252;>27E<<6:m2f1<72-8?>7?m4:9~f6>2290?6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<1290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{>6=4+250956><^;>?6:3y'126=k2.>:k4l;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=4l;%75b?e50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6n5+57d9g>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm39:94?0=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9j506=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?70<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo<:6;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90j7);9f;c8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c06f?6=>3:1=83.98?4>399U612=9rF98;4>{W3b7?4|,8hi6<=7;W305?4|,<=;6<5+57d95>{zut1bho4?:%076?be3_8?87?tL365>4}Q9h91>v*>bc8gf>P6;809w);80;38 00a281vqps4iea94?"5<;0oo6X=4581I43>3;pZ?52z&635<43-?=j7=4}|O613=4<{Wg3>7}#=?o1hn5+31a9126<,::h689?;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a9126<,::h688i;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a91264}%7fa?4l0oo6*<0b8635=z,{W3b7?4|,8hi6?==;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;006>"48j0>;=5r$4gf>a=zut1b>9:52zN103<6s_;j?777b3_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m38:i6*<0b8635=z,b598yg42?3:1?7>50z&107<5;o1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd5=10;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62c5283>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1o6*:6g8`?x{zu2c:994?:%076?7402\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:718`?!31n3i0qpsr;h361?6=,;>96<=7;W070?7|D;>=696X>3081!3083i0(88i:b9~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a633=8391<7>t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?d=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f703290=6=4?{%076?74>2B9?;5+14;9b>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR4g42;q/=ol512:8R4562;q/9:>51:&62c<63twvq6gkb;29 7252mh0Z?:;:0yO610=9r\:m>4={%3af?be3_;8=74=#=?l1=6sr}|9j`f<72-8?>7jl;W070?4|D;>=63081!308390(88i:29~yxJ5<<0?w[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m55628y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m557d8y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`o5;;0;6)<;2;006>P5<=09wA<;6;3xR4g42;q/=ol52208R4562;q/9:>5d:&62c7553-9;o7;80:'1`c=l2wvq6g=1d83>!43:38:i6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<i6j=0;6)<;2;3a0>=zj;?n6=4<:183!43:388j6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{j7>51;294~"5<;09845G2248k4d3290/>9<51c68?xd5>80;6:4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:718`?!31n3i0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:?81<7950;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6n5+57d9g>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+5629g>"2>o0h7psr}:k216<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>5c:&62c5583>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8:4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`125<72:0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a7`3=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4mh0;6;4?:1y'614=9:<0D?=9;%36=?`<^;>?6P6;809w);80;38 00a281vqps4ie`94?"5<;0on6X=4582I43>3;pZ?52z&635<63-?=j7?4}|~?lbd290/>9<5db9U612=:rF98;4>{W3b7?4|,8hi6im4V012>7}#=>:1?6*:6g80?x{zD;>>69uY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;744>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;75b>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b2mh0(>>l:453?x"2ml097pX>b`81Sc72;q/9;k5db9'75e==>:0q);je;g8yx{?6?uC25495~P6i:09w)?mb;006>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<5;;1/?=m55628y!3bm3n0qps4i33f>5<#:=81>7}K:=<1=vX>a281!7ej38:i6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=1d9'75e==>:0q);je;f8yx{5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?h950;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj:o36=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:3n6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?l=50;494?6|,;>96<=9;I002>"6=00m7d?<8;29 72528937[<;4;3xH72128q]=l=52z&2fg<6;11]=>?52z&635<63-?=j7?4}|~?lbe290/>9<5dc9U612=9rF98;4>{W3b7?4|,8hi6il4V012>7}#=>:1=6*:6g82?x{zu2coo7>5$361>ae<^;>?6?uC25495~P6i:09w)?mb;f`?S74938p(89?:29'13`=;2wvqA<;5;6xR7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2?91v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2>o1v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7jm;%13g?3082w/9hk52:U5gg=:r\n<7ae<,::h689?;|&6a`?52z&6357}#=?o1>><4$22`>0173t.>ih4k;|~?l46m3:1(?:=:33f?S43<38p@?:9:0yU5d5=:r.:no4=1d9U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g964c<,::h689?;|&6a`2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<6290;w)<;2;07=>N5;?1d=o:50;&107<6j=10qo=n1;297?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&6354=zutw0qo:>6;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<1290;w)<;2;302>N5;?1/=875f:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf>7=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f17029086=4?{%076?44n2B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?=54?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e<8k1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e<8h1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c62g?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c62`?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c62a?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd39o0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56292>"2>o0=7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd3900;654?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6<5+57d95>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:9l4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo?95;292?6=8r.98?4>379K660<,8?26k5f12:94?"5<;0:?55Y25695~J54=zutw0eim50;&107v*:7180?!31n390qpsC25790~P5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<=;7p*:ed8:?xP5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<:0q);je;08yS7ei38pZh>52z&62`1>vB=4782S7f;38p(;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e927E<<6:m2f1<72-8?>7?m4:9~f43b29086=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f43a29086=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{54;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm17394?3=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>56:&62c<13twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&6354=zutw0qo?92;292?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&6353=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=7f=#=?l1o6sr}|9j505=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`226<72?0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:7185?!31n3<0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e97?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 017281/9;h51:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>51:&62c<63twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<63-?=j7?4}|~?l72<3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<7?4$44e>4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f40129096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e9>81<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;c8 00a2h1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8=:6=49:183!43:3;8:6F=379'50?=n2c:?54?:%076?7402\9894>{M072?7|^8k86?u+1c`956><^89:6?u+56295>"2>o0:7psr}:kgf?6=,;>96il4V367>4}K:=<1=vX>a281!7ej3ni7[?<1;0x 017281/9;h51:~yx=nlj0;6)<;2;f`?S43<38p@?:9:0yU5d5=:r.:no4kc:T274<5s-?<<7=4$44e>6=zutF9884;{W007?5|^l:1>v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a9126v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a913`v*:6d8gg>"48j0>;=5rVd396~"2>l0on6*<0b8635=z,7}Qm909w);9e;f`?!57k3?<<6s+5dg9a>{zu2c9??4?:%076?44:2\9894={M072?7|^8k86?u+1c`9664<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;997)=?c;744>{#=lo1h6sr}:k15`<72-8?>7<>e:T101<5sE8?:7?tV0c0>7}#9kh1>7}#=>:1h6*:6g8g?x{zD;>>6{zu2e:n94?:%076?7e<21vn<88:180>5<7s-8?>7<1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo?98;295?6=8r.98?4=489K6605}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th::o4?:483>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?f=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`22f<7210;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083<0(88i:79~yx{<^;>?62wvqp5f14694?"5<;0:?55Y25695~J50Z<=>:3y'126=k2.>:k4l;|~y>o6=<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>549U567=:r.>;=4l;%75b?e50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6;5+57d92>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172?1/9;h56:~yx=n9<>1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74333_;8=7f=#=?l1o6sr}|9j503=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8;4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`22`<7200;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083<0(88i:79~yx{<^;>?62wvqp5f14694?"5<;0:?55Y25695~J50Z<=>:3y'126=k2.>:k4l;|~y>o6=<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>549U567=:r.>;=4l;%75b?e2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn<8i:18:>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6n5+57d9g>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56292>"2>o0=7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90=7);9f;48yx{z3`;>87>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;360>P6;809w);80;a8 00a2j1vqps4i076>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?>7[?<1;0x 0172j1/9;h5c:~yx=n9<<1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51448R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6>00;6:4?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a7<4=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd41>0;6;4?:1y'614=9:<0D?=9;%36=?`<^;>?6P6;809w);80;38 00a281vqps4ie`94?"5<;0on6X=4582I43>3;pZ?52z&635<63-?=j7?4}|~?lbd290/>9<5db9U612=:rF98;4>{W3b7?4|,8hi6im4V012>7}#=>:1?6*:6g80?x{zD;>>69uY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;744>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;75b>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b2mh0(>>l:453?x"2ml097pX>b`81Sc72;q/9;k5db9'75e==>:0q);je;g8yx{?6?uC25495~P6i:09w)?mb;006>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<5;;1/?=m55628y!3bm3n0qps4i33f>5<#:=81>7}K:=<1=vX>a281!7ej38:i6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=1d9'75e==>:0q);je;f8yx{4?:283>5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?4:50;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj:3>6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;48 00a2?1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<=:6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9:850;494?6|,;>96<=9;I002>"6=00m7d?<8;29 72528937[<;4;3xH72128q]=l=52z&2fg<6;11]=>?52z&635<63-?=j7?4}|~?lbe290/>9<5dc9U612=9rF98;4>{W3b7?4|,8hi6il4V012>7}#=>:1=6*:6g82?x{zu2coo7>5$361>ae<^;>?6?uC25495~P6i:09w)?mb;f`?S74938p(89?:29'13`=;2wvqA<;5;6xR7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2?91v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2>o1v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7jm;%13g?3082w/9hk52:U5gg=:r\n<7ae<,::h689?;|&6a`?52z&6357}#=?o1>><4$22`>0173t.>ih4k;|~?l46m3:1(?:=:33f?S43<38p@?:9:0yU5d5=:r.:no4=1d9U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g964c<,::h689?;|&6a`2c:?44?:%076?7402B98<5Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<5<01C>>84o0`7>5<#:=81=o:4;|`631<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=m:1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>h94?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;kb;292?6=8r.98?4>379K660<,8?26k5f12:94?"5<;0:?55Y25695~J54=zutw0eim50;&107v*:7180?!31n390qpsC25790~P5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<=;7p*:ed8:?xP5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<:0q);je;08yS7ei38pZh>52z&62`1>vB=4782S7f;38p(745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`6`7<7280;6=u+250961?<@;9=7b?m4;29 72528h?76sm5e194?5=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0b2290=6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<03-?=j794}|~?l74i3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718g?!31n3n0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4k;%75b?b?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;f8 00a2m1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635{M072?7|^l81>v*>bc8200=Q9:;1>v*:718g?!31n3n0qpsr;h364?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4k;%75b?b9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8j8:185>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629`>"2>o0o7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5d:&62c4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1h6*:6g8g?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6=:0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+5629`>"2>o0o7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>h54?:783>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;f8 00a2m1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?a=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?b<,<9<512:8R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6i5+57d9`>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a1a?=83?1<7>t$361>4d43A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=74=#=?l1=6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`6`f<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<50z&107<6;?1C>>84$07:>c=n9:21<7*=43827==Q:=>1=vB=4782S7f;38p(!43:3ni7[<;4;3xH72128q]=l=52z&2fg7}#9kh1hn5Y12396~"2?9087);9f;18yx{K:=?18vX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:453?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:44e?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=lk1/?=m55628y!3bm380q[?ma;0xR`6=:r.>:h4kc:&04f<2?91v(8kj:d9~yx=n::81<7*=438177=Q:=>1>vB=4782S7f;38p(e:&04f<2?91v(8kj:e9~yx=h9k>1<7*=4382f1=53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>hh4?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e=ml1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:=k1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th98k4?:783>5}#:=81=>84H315?!7213l0e<=7:18'614=9:20Z?:;:0yO610=9r\:m>4={%3af?7402\:?<4={%744?7<,<7}#9kh1ho5Y12396~"2?90:7);9f;38yx{z3`nh6=4+2509`f=Q:=>1>vB=4782S7f;38p(53:&62c<43twv@?:::5yU665=;r\n<7ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<0173t.>ih4=;|T2fd<5s_o;6?u+57g9`f=#;9i19:>4}%7fa?c4={%3af?44:2\:?<4={%744?b<,<l09??5+31a91269<520g8R7232;qG>9851zT2e6<5s-;in7<>e:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d815`=#;9i19:>4}%7fa?b07pl=4c83>6<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm25a94?7=83:p(?:=:36:?M44>2e:n94?:%076?7e<21vn?:k:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=49;%75b?09<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?h6:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2gg94?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg96>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f7`e290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c0eg?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90=7);9f;48yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c170?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f62>290=6=4?{%076?74>2B9?;5+14;9b>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR4g42;q/=ol512:8R4562;q/9:>51:&62c<63twvq6gkb;29 7252mh0Z?:;:0yO610=9r\:m>4={%3af?be3_;8=74=#=?l1=6sr}|9j`f<72-8?>7jl;W070?4|D;>=63081!308390(88i:29~yxJ5<<0?w[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m55628y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m557d8y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`o5;;0;6)<;2;006>P5<=09wA<;6;3xR4g42;q/=ol52208R4562;q/9:>5d:&62c7553-9;o7;80:'1`c=l2wvq6g=1d83>!43:38:i6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<i6j=0;6)<;2;3a0>=zj:>>6=4<:183!43:388j6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{51;294~"5<;09845G2248k4d3290/>9<51c68?xd4<>0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a71`=83<1<7>t$361>4513A88:6*>588e?l7403:1(?:=:01;?S43<3;p@?:9:0yU5d5=:r.:no4>399U567=:r.>;=4>;%75b?79:51zN103<6s_;j?7ad<^89:6?u+56295>"2>o0:7psr}:kgg?6=,;>96im4V367>7}K:=<1=vX>a281!7ej3nh7[?<1;0x 0172:1/9;h53:~yI43=3>pZ?=<:2yUa5<5s-?=i7jl;%13g?3082w]i<4={%75a?7402.80173t.>ih4j;|~?l44:3:1(?:=:311?S43<38p@?:9:0yU5d5=:r.:no4=339U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g9664<,::h689?;|&6a`e;29 7252;;n7[<;4;0xH72128q]=l=52z&2fg<59l1]=>?52z&6357}#=?o1>0173t.>ih4k;|~?j7e<3:1(?:=:0`7?>{e;=h1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:>h6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg53l3:1?7>50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6;5+57d92>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172?1/9;h56:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm39a94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0=4<72?0;6=u+2509560<@;9=7)?:9;d8m45?290/>9<512:8R72328qG>9851zT2e6<5s-;in7?<8:T274<5s-?<<7?4$44e>4=zutw0eil50;&107{M072?7|^8k86?u+1c`9`g=Q9:;1>v*:7182?!31n3;0qpsr;hf`>5<#:=81hn5Y25696~J5:3y'126=;2.>:k4<;|~H7222=q]>>=53zTf4?4|,<0173t\n=745?3-9;o7;80:'1`c=12w]>>=53zTf4?4|,<0173t\n=745?3-9;o7;9f:'1`c=12w]>>=53zTf4?4|,<0173t\n=7ad<,::h689?;|&6a`<53t\:nl4={Wg3>7}#=?o1hn5+31a91269<52208R7232;qG>9851zT2e6<5s-;in7<<2:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d8177=#;9i19:>4}%7fa?b4={%3af?46m2\:?<4={%744?b<,<l09=h5+31a91269<51c68?xd40m0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;1o1<7?50;2x 7252;>27E<<6:m2f1<72-8?>7?m4:9~f6>a290<6=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62c5283>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1o6*:6g8`?x{zu2c:994?:%076?7402\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>7k:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb2;:>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1:e?6=93:107pl<9c83>6<729q/>9<5689K660<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1g6?6=>3:1=83.98?4>399U612=9rF98;4>{W3b7?4|,8hi6<=7;W305?4|,<=;6<5+57d95>{zut1bho4?:%076?be3_8?87?tL365>4}Q9h91>v*>bc8gf>P6;809w);80;38 00a281vqps4iea94?"5<;0oo6X=4581I43>3;pZ?52z&635<43-?=j7=4}|O613=4<{Wg3>7}#=?o1hn5+31a9126<,::h689?;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a9126<,::h688i;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a91264}%7fa?4l0oo6*<0b8635=z,{W3b7?4|,8hi6?==;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;006>"48j0>;=5r$4gf>a=zut1b>9:52zN103<6s_;j?777b3_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m38:i6*<0b8635=z,b598yg5dm3:1?7>50z&107<5;o1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4ko0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<13-?=j784}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo=k3;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<1290;w)<;2;302>N5;?1/=875f:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf>7=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f6b329086=4?{%076?44n2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<5<01C>>84o0`7>5<#:=81=o:4;|`0`3<72:0;6=u+25092<=O::<0e<=6:18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?0<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8o50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?7379K660<,8?26k5f12:94?"5<;0:?55Y25695~J54=zutw0eim50;&107v*:7180?!31n390qpsC25790~P5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<=;7p*:ed8:?xP5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<:0q);je;08yS7ei38pZh>52z&62`1>vB=4782S7f;38p(5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2k3:1=7>50z&107<5<01C>>84o0`7>5<#:=81=o:4;|`6a?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2n3:187>50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9i4?:283>5}#:=81=o=4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi:?4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo88:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb7194?5=83:p(?:=:31e?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?62e:n94?:%076?7e<21vn;;50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a5=e=83<1<7>t$361>4513A88:6*>588e?l7403:1(?:=:01;?S43<3;p@?:9:0yU5d5=:r.:no4>399U567=:r.>;=4>;%75b?79:51zN103<6s_;j?7ad<^89:6?u+56295>"2>o0:7psr}:kgg?6=,;>96im4V367>7}K:=<1=vX>a281!7ej3nh7[?<1;0x 0172:1/9;h53:~yI43=3>pZ?=<:2yUa5<5s-?=i7jl;%13g?3082w]i<4={%75a?7402.80173t.>ih4j;|~?l44:3:1(?:=:311?S43<38p@?:9:0yU5d5=:r.:no4=339U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g9664<,::h689?;|&6a`e;29 7252;;n7[<;4;0xH72128q]=l=52z&2fg<59l1]=>?52z&6357}#=?o1>0173t.>ih4k;|~?j7e<3:1(?:=:0`7?>{e91;1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8296=4>:183!43:38?56F=379l5g2=83.98?4>b598yg7?<3:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?73:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:4:4?:283>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm19:94?5=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{55;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1:6*:6g85?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd60h0;684?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172?1/9;h56:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?78283>=<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<63-?=j7?4}|~?l72;3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7?4$44e>4=zutw0e<;;:18'614=9:20Z?:;:0yO610=9r\n>74333_;8=74=#=?l1=6sr}|9j503=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8;4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`115<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<50z&107<6;?1C>>84$07:>c=n9:21<7*=43827==Q:=>1=vB=4782S7f;38p(!43:3ni7[<;4;3xH72128q]=l=52z&2fg7}#9kh1hn5Y12396~"2?9087);9f;18yx{K:=?18vX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:453?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:44e?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=lk1/?=m55628y!3bm380q[?ma;0xR`6=:r.>:h4kc:&04f<2?91v(8kj:d9~yx=n::81<7*=438177=Q:=>1>vB=4782S7f;38p(e:&04f<2?91v(8kj:e9~yx=h9k>1<7*=4382f1==7>53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th99?4?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e:<91<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;9n1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8=?4?:783>5}#:=81=>84H315?!7213l0e<=7:18'614=9:20Z?:;:0yO610=9r\:m>4={%3af?7402\:?<4={%744?7<,<7}#9kh1ho5Y12396~"2?90:7);9f;38yx{z3`nh6=4+2509`f=Q:=>1>vB=4782S7f;38p(53:&62c<43twv@?:::5yU665=;r\n<7ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<0173t.>ih4=;|T2fd<5s_o;6?u+57g9`f=#;9i19:>4}%7fa?c4={%3af?44:2\:?<4={%744?b<,<l09??5+31a91269<520g8R7232;qG>9851zT2e6<5s-;in7<>e:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d815`=#;9i19:>4}%7fa?b07pl<0d83>6<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm31d94?7=83:p(?:=:36:?M44>2e:n94?:%076?7e<21vn>??:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>56:&62c<13twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4;10;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo=<9;297?6=8r.98?4=3g9K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi?>l50;194?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7185?!31n3<0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?07>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:>86=49:183!43:3;8:6F=379'50?=n2c:?54?:%076?7402\9894>{M072?7|^8k86?u+1c`956><^89:6?u+56295>"2>o0:7psr}:kgf?6=,;>96il4V367>4}K:=<1=vX>a281!7ej3ni7[?<1;0x 017281/9;h51:~yx=nlj0;6)<;2;f`?S43<38p@?:9:0yU5d5=:r.:no4kc:T274<5s-?<<7=4$44e>6=zutF9884;{W007?5|^l:1>v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a9126v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a913`v*:6d8gg>"48j0>;=5rVd396~"2>l0on6*<0b8635=z,7}Qm909w);9e;f`?!57k3?<<6s+5dg9a>{zu2c9??4?:%076?44:2\9894={M072?7|^8k86?u+1c`9664<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;997)=?c;744>{#=lo1h6sr}:k15`<72-8?>7<>e:T101<5sE8?:7?tV0c0>7}#9kh1>7}#=>:1h6*:6g8g?x{zD;>>6{zu2e:n94?:%076?7e<21vn>=i:180>5<7s-8?>7<1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo=;0;295?6=8r.98?4=489K6605}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?3=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f63729096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e;<21<7850;2x 725289=7E<<6:&21<5$361>45?3_8?87?tL365>4}Q9h91>v*>bc827==Q9:;1>v*:7182?!31n3;0qpsr;hfa>5<#:=81ho5Y25695~J5:3y'126=92.>:k4>;|~y>ock3:1(?:=:ea8R7232;qG>9851zT2e6<5s-;in7jl;W305?4|,<=;6>5+57d97>{zuE8?97:tV310>6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b8635=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b862c=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3ni7)=?c;744>{#=lo1>6sY1cc96~Pb838p(88j:ea8 66d2<=;7p*:ed8f?x{z3`88>7>5$361>7553_8?874}Q9h91>v*>bc8177=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=::80(>>l:453?x"2ml0o7psr;h02a?6=,;>96??j;W070?4|D;>=65$361>4d332wi?8?50;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>;=:182>5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=87>53;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?76<729q/>9<5689K660<^;>?69851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a700=83<1<7>t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4l;%75b?e5Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c167?6=<3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>m9:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb2a1>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1`7?6=93:107pl6<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;48 00a2?1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:i<6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?nm50;494?6|,;>96<=9;I002>"6=00m7d?<8;29 72528937[<;4;3xH72128q]=l=52z&2fg<6;11]=>?52z&635<63-?=j7?4}|~?lbe290/>9<5dc9U612=9rF98;4>{W3b7?4|,8hi6il4V012>7}#=>:1=6*:6g82?x{zu2coo7>5$361>ae<^;>?6?uC25495~P6i:09w)?mb;f`?S74938p(89?:29'13`=;2wvqA<;5;6xR7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2?91v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2>o1v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7jm;%13g?3082w/9hk52:U5gg=:r\n<7ae<,::h689?;|&6a`?52z&6357}#=?o1>><4$22`>0173t.>ih4k;|~?l46m3:1(?:=:33f?S43<38p@?:9:0yU5d5=:r.:no4=1d9U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g964c<,::h689?;|&6a`2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<6290;w)<;2;07=>N5;?1d=o:50;&107<6j=10qo=la;297?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399K617<^;>?62wvqp5`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e;m31<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8hi4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo=j4;292?6=8r.98?4>379K660<,8?26k5f12:94?"5<;0:?55Y25695~J54=zutw0eim50;&107v*:7180?!31n390qpsC25790~P5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<=;7p*:ed8:?xP5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<:0q);je;08yS7ei38pZh>52z&62`1>vB=4782S7f;38p(745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0`g<7280;6=u+250961?<@;9=7b?m4;29 72528h?76sm3ea94?2=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?f=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<2290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629`>"2>o0o7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5d:&62c5183>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1h6*:6g8g?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0`c<72?0;6=u+25092<=O::<0e<=6:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;58 00a2>1vqps4i073>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7j4$44e>a=zutw0e<;<:18'614=9:20Z?:;:0yO610=9r\n>74343_;8=7a=#=?l1h6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?h>50;:94?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;f8 00a2m1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7j4$44e>a=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083n0(88i:e9~yx{6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;l;1<7650;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;f8 00a2m1vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172m1/9;h5d:~yx=n9<91<7*=43827==O:=;0Z?:;:0yO610=9r\n>74343_;8=7a=#=?l1h6sr}|9j502=83.98?4>399K617<^;>?6:3y'126=l2.>:k4k;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?70<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;>a;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a170=83<1<7>t$361>4513A88:6*>588e?l7403:1(?:=:01;?S43<3;p@?:9:0yU5d5=:r.:no4>399U567=:r.>;=4>;%75b?79:51zN103<6s_;j?7ad<^89:6?u+56295>"2>o0:7psr}:kgg?6=,;>96im4V367>7}K:=<1=vX>a281!7ej3nh7[?<1;0x 0172:1/9;h53:~yI43=3>pZ?=<:2yUa5<5s-?=i7jl;%13g?3082w]i<4={%75a?7402.80173t.>ih4j;|~?l44:3:1(?:=:311?S43<38p@?:9:0yU5d5=:r.:no4=339U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g9664<,::h689?;|&6a`e;29 7252;;n7[<;4;0xH72128q]=l=52z&2fg<59l1]=>?52z&6357}#=?o1>0173t.>ih4k;|~?j7e<3:1(?:=:0`7?>{e=8h1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<;h6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg36l3:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=?2.>:k48;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=48;%75b?1<7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;f8 00a2m1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7j4$44e>a=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083n0(88i:e9~yx{?65<0290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?b<,<9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6i5+57d9`>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172m1/9;h5d:~yx=n9<>1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74333_;8=7a=#=?l1h6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9??50;32>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6:5+57d93>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56293>"2>o0<7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90<7);9f;58yx{z3`;>87>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;360>P6;809w);80;58 00a2>1vqps4i076>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?>7[?<1;0x 0172>1/9;h57:~yx=n9<<1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74313_;8=72=#=?l1;6sr}|9j501=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;7;W305?4|,<=;6i5+57d9`>{zut1b=>l50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289i7[?<1;0x 0172m1/9;h5d:~yx=n9:i1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745d3_;8=7a=#=?l1h6sr}|9j56b=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>j4V012>7}#=>:1h6*:6g8g?x{zu2c:?h4?:%076?7402\9894>{M072?7|^l81>v*>bc827`=Q9:;1>v*:7182?!31n3;0qpsr;h30b?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{;6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=;81<7?>:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172>1/9;h57:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>57:&62c<03twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<03-?=j794}|~?l72<3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<794$44e>2=zutw0e<;::18'614=9:20Z?:;:0yO610=9r\n>74323_;8=72=#=?l1;6sr}|9j500=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;8;W305?4|,<=;6i5+57d9`>{zut1b=8650;&107<6;11]>9:51zN103<6s_o96?u+1c`950><^89:6?u+5629`>"2>o0o7psr}:k27g<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512`8R4562;q/9:>5d:&62c3b83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>m4V012>7}#=>:1h6*:6g8g?x{zu2c:?i4?:%076?7402\9894>{M072?7|^l81>v*>bc827a=Q9:;1>v*:718g?!31n3n0qpsr;h30a?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?747=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;58 00a2>1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172>1/9;h57:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>57:&62c<03twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<03-?=j794}|~?l72;3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<794$44e>2=zutw0e<;;:18'614=9:20Z?:;:0yO610=9r\n>74333_;8=72=#=?l1;6sr}|9j503=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8;4V012>7}#=>:1;6*:6g84?x{zu2c:9;4?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6=>0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9501<^89:6?u+56293>"2>o0<7psr}:k21=<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol514:8R4562;q/9:>5d:&62c3c83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>l4V012>7}#=>:1h6*:6g8g?x{zu2c:?n4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6;m0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3e9U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<=i;W305?4|,<=;6<5+57d95>{zut1b=9>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9516<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>>94?:783>5}#:=81=o=4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1=6*:6g82?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7182?!31n3;0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083;0(88i:09~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a1=b=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2190;6;4?:1y'614=9:<0D?=9;%36=?`<^;>?6P6;809w);80;38 00a281vqps4ie`94?"5<;0on6X=4582I43>3;pZ?52z&635<63-?=j7?4}|~?lbd290/>9<5db9U612=:rF98;4>{W3b7?4|,8hi6im4V012>7}#=>:1?6*:6g80?x{zD;>>69uY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;744>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;75b>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b2mh0(>>l:453?x"2ml097pX>b`81Sc72;q/9;k5db9'75e==>:0q);je;g8yx{?6?uC25495~P6i:09w)?mb;006>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<5;;1/?=m55628y!3bm3n0qps4i33f>5<#:=81>7}K:=<1=vX>a281!7ej38:i6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=1d9'75e==>:0q);je;f8yx{484?:283>5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi95850;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj<236=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<226=4::183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=n9<:1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74373_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi95o50;594?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083i0(88i:b9~yx{?65<0290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62c5183>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1o6*:6g8`?x{zu2c:9>4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6==0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9502<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>4n4?:883>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?3=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9j506=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;<;W305?4|,<=;6n5+57d9g>{zut1b=8:50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172j1/9;h5c:~yx=n974323_;8=7f=#=?l1o6sr}|9j500=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=1=1<7850;2x 72528h87E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 017281/9;h51:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>51:&62c<63twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;7e;293?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>57:&62c<03twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1h6*:6g8g?x{zu2c:884?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6=90;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+5629`>"2>o0o7psr}:k216<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>5d:&62c5583>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8:4V012>7}#=>:1h6*:6g8g?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`6gd<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<50z&107<6;?1C>>84$07:>c=n9:21<7*=43827==Q:=>1=vB=4782S7f;38p(!43:3ni7[<;4;3xH72128q]=l=52z&2fg7}#9kh1hn5Y12396~"2?9087);9f;18yx{K:=?18vX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:453?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:44e?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=lk1/?=m55628y!3bm380q[?ma;0xR`6=:r.>:h4kc:&04f<2?91v(8kj:d9~yx=n::81<7*=438177=Q:=>1>vB=4782S7f;38p(e:&04f<2?91v(8kj:e9~yx=h9k>1<7*=4382f1=53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>on4?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e=jn1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8k7:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm5df94?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg96>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0cf290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c7ff?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c3g1?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f4be29096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126==2.>:k4:;|~y>{e9m<1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8n<6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg7c13:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:hl4?:283>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56292>"2>o0=7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:h54?:283>5}#:=81=o=4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=im50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?7e383>7<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;78 00a2<1vqps4}c3g`?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`2``<7280;6=u+250961?<@;9=7b?m4;29 72528h?76sm1d294?5=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f4c629086=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&6353=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f4ba29086=4?{%076?7e;2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?77>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8o26=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:196*:6g86?x{zu2wi=h:50;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=53;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1:6*:6g85?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?7e983>6<729q/>9<5689K660<^;>?6:3y'126=>2.>:k49;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?7e783>6<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm1dc94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`2b5<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?3<,<50z&107<5;o1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6mj0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8om6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;48 00a2?1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8oo6=4<:183!43:3;i?6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>55:&62c<23twvq6sm1g094?5=83:p(?:=:31e?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<6290;w)<;2;07=>N5;?1d=o:50;&107<6j=10qo?i5;297?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>56:&62c<13twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`2b3<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1:6*:6g85?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`2b1<72:0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a5c>=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6nl0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083?0(88i:49~yx{53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:jl4?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e9oi1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083<0(88i:79~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>7?m3:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo?if;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56291>"2>o0>7psr}:a656=8391<7>t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f766290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c037?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd58=0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>56:&62c<13twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd58;0;6>4?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:9<1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th95}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7;4$44e>0=zutw0qo<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi>=o50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi>=l50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<4290;w)<;2;3a7>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c03`?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f77129096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126==2.>:k4:;|~y>{e:9o1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;:m6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg4693:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?050z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?050z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th9=94?:283>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6;5+57d92>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm20794?0=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?3=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?73<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<63-?=j7?4}|~?l72;3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7?4$44e>4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f77029096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e:8n1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90>7);9f;78yx{z3th9=54?:283>5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi><750;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj;;i6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;;h6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&6354=zutw0qo<>a;297?6=8r.98?4>b29K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c6g7?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=70=#=?l196sr}|9~f1b729086=4?{%076?44n2B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?h<4?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn9kn:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm4dg94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`7b5<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?3<,<50z&107<5;o1C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8hm50;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj=oo6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>56:&62c<13twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<13-?=j784}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo:jf;297?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=7a=#=?l1h6sr}|9j56g=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=:=1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>?o4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;;0;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<4290;w)<;2;00b>N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2;00;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<13-?=j784}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;9<5689K660<^;>?6:3y'126=?2.>:k48;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6:5+57d93>{zut1b=8:50;&107<6;11]>9:51zN103<6s_o96?u+1c`9502<^89:6?u+56293>"2>o0<7psr}:k210<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:985Y12396~"2?90<7);9f;58yx{z3`;>:7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=?1]=>?52z&635<03-?=j794}|~?l72?3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc8212=Q9:;1>v*:718g?!31n3n0qpsr;h3696<=7;W070?7|D;>=646X>3081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74j2\:?<4={%744?b<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=l;W305?4|,<=;6i5+57d9`>{zut1b=>j50;&107<6;11]>9:51zN103<6s_o96?u+1c`956b<^89:6?u+56295>"2>o0:7psr}:k27`<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?h5Y12396~"2?90:7);9f;38yx{z3`;8j7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30b>P6;809w);80;38 00a281vqps4i063>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>;7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm52f94?76290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172>1/9;h57:~yx=n9<=1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74303_;8=72=#=?l1;6sr}|9j50>=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<=m;W305?4|,<=;6i5+57d9`>{zut1b=>m50;&107<6;11]>9:51zN103<6s_o96?u+1c`956e<^89:6?u+5629`>"2>o0o7psr}:k27a<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?i5Y12396~"2?90:7);9f;38yx{z3`;8i7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30a>P6;809w);80;38 00a281vqps4i01e>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289m7[?<1;0x 017281/9;h51:~yx=n9=:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51528R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2;l0;696<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6:5+57d93>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56293>"2>o0<7psr}:k213<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51448R4562;q/9:>57:&62c<03twvq6g>5683>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=894V012>7}#=>:1h6*:6g8g?x{zu2c:954?:%076?7402\9894>{M072?7|^l81>v*>bc821==Q9:;1>v*:718g?!31n3n0qpsr;h30f?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3c9U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<=k;W305?4|,<=;6<5+57d95>{zut1b=>k50;&107<6;11]>9:51zN103<6s_o96?u+1c`956c<^89:6?u+56295>"2>o0:7psr}:k27c<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?k5Y12396~"2?90:7);9f;38yx{z3`;?<7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;374>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<9m6=4;:183!43:3;i?6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a131=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<23-?=j7;4}|~?xd2>=0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn88::182>5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=53;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?62wvqp5f12c94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c67`?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f12a29096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126==2.>:k4:;|~y>{e<=k1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj=>i6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg23k3:1?7>50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm45g94?0=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;f8 00a2m1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172m1/9;h5d:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>57:&62c<03twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635a=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1?229096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e<031<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?m<4?:783>5}#:=81=>84H315?!7213l0e<=7:18'614=9:20Z?:;:0yO610=9r\:m>4={%3af?7402\:?<4={%744?7<,<7}#9kh1ho5Y12396~"2?90:7);9f;38yx{z3`nh6=4+2509`f=Q:=>1>vB=4782S7f;38p(53:&62c<43twv@?:::5yU665=;r\n<7ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<0173t.>ih4=;|T2fd<5s_o;6?u+57g9`f=#;9i19:>4}%7fa?c4={%3af?44:2\:?<4={%744?b<,<l09??5+31a91269<520g8R7232;qG>9851zT2e6<5s-;in7<>e:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d815`=#;9i19:>4}%7fa?b07pl;9783>6<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm48594?7=83:p(?:=:36:?M44>2e:n94?:%076?7e<21vn977:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn97n:184>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?15$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&635{M072?7|^l81>v*>bc8215=Q9:;1>v*:718g?!31n3n0qpsr;h367?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=4k;%75b?b5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c6:f?6=?3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56293>"2>o0<7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5d:&62c4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1h6*:6g8g?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6=:0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+5629`>"2>o0o7psr}:k211<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:995Y12396~"2?90o7);9f;f8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c6:g?6=?3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56293>"2>o0<7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5d:&62c4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1h6*:6g8g?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6=:0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+5629`>"2>o0o7psr}:k211<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51468R4562;q/9:>5d:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd31m0;6:4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172>1/9;h57:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7a=#=?l1h6sr}|9j513=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;?;W305?4|,<=;6i5+57d9`>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172m1/9;h5d:~yx=n9<>1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51468R4562;q/9:>5d:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd31l0;6:4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7j4$44e>a=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083n0(88i:e9~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?b<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;f8 00a2m1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7j4$44e>a=zutw0e<;;:18'614=9:20Z?:;:0yO610=9r\n>74333_;8=7a=#=?l1h6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi84h50;494?6|,;>96o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6<5+57d95>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56295>"2>o0:7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c6a3?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1de29096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e5$361>45?3_8?87?tL365>4}Q9h91>v*>bc827==Q9:;1>v*:7182?!31n3;0qpsr;hfa>5<#:=81ho5Y25695~J5:3y'126=92.>:k4>;|~y>ock3:1(?:=:ea8R7232;qG>9851zT2e6<5s-;in7jl;W305?4|,<=;6>5+57d97>{zuE8?97:tV310>6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b8635=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b862c=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3ni7)=?c;744>{#=lo1>6sY1cc96~Pb838p(88j:ea8 66d2<=;7p*:ed8f?x{z3`88>7>5$361>7553_8?874}Q9h91>v*>bc8177=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=::80(>>l:453?x"2ml0o7psr;h02a?6=,;>96??j;W070?4|D;>=65$361>4d332wi8o650;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn9l6:182>5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=im7>53;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{io7>59;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1h6*:6g8g?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718g?!31n3n0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6==0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>559U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<;9;W305?4|,<=;6i5+57d9`>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a0gb=8331<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?b<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6i5+57d9`>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629`>"2>o0o7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90<7);9f;58yx{z3`;>?7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<03-?=j794}|~?l72<3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:718g?!31n3n0qpsr;h361?6=,;>96<=7;W070?7|D;>=696X>3081!3083n0(88i:e9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{<^;>?6:3y'126=l2.>:k4k;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=48;%75b?15Y12396~"2?90<7);9f;58yx{z3`;>87>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6==1]=>?52z&635a=zutw0e<;9:18'614=9:20Z?:;:0yO610=9r\n>74313_;8=7a=#=?l1h6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8oh50;;94?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7j4$44e>a=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7a=#=?l1h6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1h6*:6g8g?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7184?!31n3=0qpsr;h367?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?197>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;f8 00a2m1vqps4i075>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172m1/9;h5d:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm4b294?3=83:p(?:=:0`0?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{>6=4+250956><^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c726?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f07029096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126==2.>:k4:;|~y>{e=9n1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;?e;295?6=8r.98?4=489K660==4?:283>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>=<4?:783>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?3=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?76<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm50194?1=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;f8 00a2m1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?a=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=7a=#=?l1h6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1h6*:6g8g?x{zu2c:9>4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6==0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>559U567=:r.>;=4k;%75b?b50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6:5+57d93>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629`>"2>o0o7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5d:&62c5183>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1h6*:6g8g?x{zu2c:9>4?:%076?7402\9894>{M072?7|^l81>v*>bc8216=Q9:;1>v*:718g?!31n3n0qpsr;h360?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>559U567=:r.>;=4k;%75b?b5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c721?6=03:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629`>"2>o0o7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90o7);9f;f8yx{z3`;?97>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&635{M072?7|^l81>v*>bc8215=Q9:;1>v*:718g?!31n3n0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72<2\:?<4={%744?b<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;f8 00a2m1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<;=6=4;:183!43:3;i?6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a5d?=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6ih0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>7<1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo?nc;295?6=8r.98?4=489K6605}#:=81>974H315?j7e<3:1(?:=:0`7?>{e9hl1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4;;%75b?25$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}cc7>5<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:ae=<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126==2.>:k4:;|~y>{ei<0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{ei?0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`b=?6=<3:1N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172>1/9;h57:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{eih0;694?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?1<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vnll50;694?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=48;%75b?15$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}cc`>5<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56293>"2>o0<7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>57:&62c<03twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xdfl3:187>50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6:5+57d93>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172>1/9;h57:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>57:&62c<03twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xdfm3:1:7>50z&107<6j:1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6g>4483>!43:3;846X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&635<63-?=j7?4}|~?l7283:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7?4$44e>4=zutw0e<;<:18'614=9:20Z?:;:0yO610=9r\n>74343_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2win>4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qol8:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>55:&62c<23twvq6smb583>6<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6smb483>4<729q/>9<525;8L7513f;i87>5$361>4d332win;4?:283>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6;5+57d92>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56292>"2>o0=7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?9?4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo87:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm44;94?0=83:p(?:=:015?M44>2.:944>0:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf>7=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f13429086=4?{%076?44n2B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?994?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e<<<1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e<<=1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c661?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`72f<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e<>91<7850;2x 725289=7E<<6:&21<<682c:?54?:%076?7402\9894>{M072?7|^8k86?u+1c`956><^89:6?u+56295>"2>o0:7psr}:kgf?6=,;>96il4V367>4}K:=<1=vX>a281!7ej3ni7[?<1;0x 017281/9;h51:~yx=nlj0;6)<;2;f`?S43<38p@?:9:0yU5d5=:r.:no4kc:T274<5s-?<<7=4$44e>6=zutF9884;{W007?5|^l:1>v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a9126v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a913`v*:6d8gg>"48j0>;=5rVd396~"2>l0on6*<0b8635=z,7}Qm909w);9e;f`?!57k3?<<6s+5dg9a>{zu2c9??4?:%076?44:2\9894={M072?7|^8k86?u+1c`9664<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;997)=?c;744>{#=lo1h6sr}:k15`<72-8?>7<>e:T101<5sE8?:7?tV0c0>7}#9kh1>7}#=>:1h6*:6g8g?x{zD;>>6{zu2e:n94?:%076?7e<21vn98k:180>5<7s-8?>7<745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`72`<7280;6=u+250961?<@;9=7b?m4;29 72528h?76sm46294?2=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635f=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn98i:180>5<7s-8?>7?m3:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo:l5;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56291>"2>o0>7psr}:a0f0=8391<7>t$361>75a3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{h;7>51;294~"5<;09845G2248k4d3290/>9<51c68?xd3k00;694?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172?1/9;h56:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=73=#=?l1:6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1:6*:6g85?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`7gd<72<0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1:6*:6g85?x{zu2c:884?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=49;%75b?050z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?0<7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;48 00a2?1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj=i36=4;:183!43:3;i?6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a0c1=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd3nm0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083?0(88i:49~yx{m87>53;294~"5<;09?k5G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm4g794?7=83:p(?:=:36:?M44>2e:n94?:%076?7e<21vn9h9:186>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>56:&62c<13twvq6g>4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1:6*:6g85?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{m47>57;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1;6*:6g84?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7184?!31n3=0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4k;%75b?b9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;f8 00a2m1vqps4i077>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<7j4$44e>a=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1`>290<6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<03-?=j794}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?b<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;f8 00a2m1vqps4i077>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<7j4$44e>a=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1`f290<6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<03-?=j794}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083n0(88i:e9~yx{<^;>?69851zTf6?4|,8hi6<;<;W305?4|,<=;6i5+57d9`>{zut1b=8:50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172m1/9;h5d:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm4g`94?76290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172>1/9;h57:~yx=n9<=1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74303_;8=72=#=?l1;6sr}|9j50>=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<=m;W305?4|,<=;6i5+57d9`>{zut1b=>m50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289h7[?<1;0x 0172m1/9;h5d:~yx=n9:n1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512f8R4562;q/9:>5d:&62c3d83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;l1]=>?52z&635<63-?=j7?4}|~?l74n3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0e<:?:18'614=9:20Z?:;:0yO610=9r\n>74273_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8km50;794?6|,;>96o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6<5+57d95>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th><=4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;?4;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56291>"2>o0>7psr}:a157=8391<7>t$361>75a3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{7>51;294~"5<;09845G2248k4d3290/>9<51c68?xd28:0;684?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{>6=4+250956><^;>?69851zTf6?4|,8hi6<;?;W305?4|,<=;6;5+57d92>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a153=83;:6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<03-?=j794}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=72=#=?l1;6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1;6*:6g84?x{zu2c:9>4?:%076?7402\9894>{M072?7|^l81>v*>bc8216=Q9:;1>v*:7184?!31n3=0qpsr;h360?6=,;>96<=7;W070?7|D;>=686X>3081!3083=0(88i:69~yx{6=4+250956><^;>?69851zTf6?4|,8hi6<;9;W305?4|,<=;6:5+57d93>{zut1b=8950;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?<7[?<1;0x 0172m1/9;h5d:~yx=n9<21<7*=43827==Q:=>1=vB=4782Sc52;q/=ol514:8R4562;q/9:>5d:&62c3c83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>l4V012>7}#=>:1h6*:6g8g?x{zu2c:?n4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6;m0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3e9U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<=i;W305?4|,<=;6<5+57d95>{zut1b=9>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9516<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th><;4?:0394?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1;6*:6g84?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7184?!31n3=0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=?0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9500<^89:6?u+56293>"2>o0<7psr}:k212<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51458R4562;q/9:>57:&62c<03twvq6g>5983>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=864V012>7}#=>:1h6*:6g8g?x{zu2c:?o4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6;j0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956e<^89:6?u+5629`>"2>o0o7psr}:k27a<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?i5Y12396~"2?90o7);9f;f8yx{z3`;8i7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30a>P6;809w);80;38 00a281vqps4i01e>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289m7[?<1;0x 017281/9;h51:~yx=n9=:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51528R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd28>0;696<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6:5+57d93>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56293>"2>o0<7psr}:k213<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51448R4562;q/9:>57:&62c<03twvq6g>5683>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=894V012>7}#=>:1h6*:6g8g?x{zu2c:954?:%076?7402\9894>{M072?7|^l81>v*>bc821==Q9:;1>v*:718g?!31n3n0qpsr;h30f?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3c9U567=:r.>;=4k;%75b?b5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30`>P6;809w);80;f8 00a2m1vqps4i01f>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289n7[?<1;0x 017281/9;h51:~yx=n9:l1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512d8R4562;q/9:>51:&62c<63twvq6g>4183>!43:3;846X=4582I43>3;pZh<52z&2fg<6<91]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;?8;290?6=8r.98?4>b29K660<^;>?6:3y'126=92.>:k4>;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4>;%75b?77>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<8m6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9>:50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4:;%75b?36<729q/>9<522d8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f04e290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c71`?6==3:1N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172?1/9;h56:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>56:&62c<13twvq6g>4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1:6*:6g85?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?70<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;48 00a2?1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<784$44e>3=zutw0e<;?:18'614=9:20D?:>;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{?65<4290;w)<;2;3a7>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c704?6=<3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629`>"2>o0o7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5d:&62c4483>!43:3;846X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&6354=zutw0qo;<1;290?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=7a=#=?l1h6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1h6*:6g8g?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718g?!31n3n0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{7>57;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1;6*:6g84?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6i5+57d9`>{zut1b=8:50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172m1/9;h5d:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm52194?2=83:p(?:=:0`0?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{>6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{el;0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:196*:6g86?x{zu2wih>4?:283>5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wih94?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{el<0;6<4?:1y'614=9k80D?=9;n3a0?6=,;>963983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126==2.>:k4:;|~y>{ekl0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{eko0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>967<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}cg5>5<4290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718a?!31n3h0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083h0(88i:c9~yx{?65$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;58 00a2>1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172>1/9;h57:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=72=#=?l1;6sr}|9j506=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;<;W305?4|,<=;6i5+57d9`>{zut1b=8:50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172m1/9;h5d:~yx=n974323_;8=7a=#=?l1h6sr}|9j500=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=884V012>7}#=>:1h6*:6g8g?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`fN5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56293>"2>o0<7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90<7);9f;58yx{z3`;?97>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&635<03-?=j794}|~?l7283:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:718g?!31n3n0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72<2\:?<4={%744?b<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;f8 00a2m1vqps4i075>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172m1/9;h5d:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sme883><<729q/>9<5689K660<^;>?6:3y'126=?2.>:k48;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56293>"2>o0<7psr}:k215<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>57:&62c<03twvq6g>5283>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1h6*:6g8g?x{zu2c:994?:%076?7402B98<5Y25695~J50Z<=>:3y'126=l2.>:k4k;|~y>o6=<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+5629`>"2>o0o7psr}:k213<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9;5Y12396~"2?90o7);9f;f8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}cgb>5<>290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;f8 00a2m1vqps4i070>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172m1/9;h5d:~yx=n9<>1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74333_;8=7a=#=?l1h6sr}|9j503=83.98?4>399K617<^;>?6:3y'126=l2.>:k4k;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?79<5689K660<^;>?6:3y'126=?2.>:k48;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6:5+57d93>{zut1b=8:50;&107<6;11]>9:51zN103<6s_o96?u+1c`9502<^89:6?u+56293>"2>o0<7psr}:k210<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:985Y12396~"2?90<7);9f;58yx{z3`;>:7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=?1]=>?52z&635<03-?=j794}|~?l72?3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc8212=Q9:;1>v*:7184?!31n3=0qpsr;h3696<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>599U567=:r.>;=4k;%75b?b5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;j1]=>?52z&635a=zutw0e<=j:18'614=9:20Z?:;:0yO610=9r\n>745b3_;8=7a=#=?l1h6sr}|9j56`=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>h4V012>7}#=>:1=6*:6g82?x{zu2c:8=4?:%076?7402\9894>{M072?7|^l81>v*>bc8205=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6==0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>559U567=:r.>;=4>;%75b?73983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{eml0;696<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6:5+57d93>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56293>"2>o0<7psr}:k213<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51448R4562;q/9:>57:&62c<03twvq6g>5683>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=894V012>7}#=>:1h6*:6g8g?x{zu2c:954?:%076?7402\9894>{M072?7|^l81>v*>bc821==Q9:;1>v*:718g?!31n3n0qpsr;h30f?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3c9U567=:r.>;=4k;%75b?b5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30`>P6;809w);80;f8 00a2m1vqps4i01f>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289n7[?<1;0x 0172m1/9;h5d:~yx=n9:l1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512d8R4562;q/9:>51:&62c<63twvq6g>4183>!43:3;846X=4582I43>3;pZh<52z&2fg<6<91]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qoki:1825?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>57:&62c<03twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<03-?=j794}|~?l73=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<794$44e>2=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=72=#=?l1;6sr}|9j505=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1;6*:6g84?x{zu2c:994?:%076?7402\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:7184?!31n3=0qpsr;h361?6=,;>96<=7;W070?7|D;>=696X>3081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72>2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;363>P6;809w);80;58 00a2>1vqps4i07;>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:8:T274<5s-?<<7j4$44e>a=zutw0e<=m:18'614=9:20D?:>;W070?7|D;>=63081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74k2\:?<4={%744?b<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=k;W305?4|,<=;6i5+57d9`>{zut1b=>k50;&107<6;11]>9:51zN103<6s_o96?u+1c`956c<^89:6?u+5629`>"2>o0o7psr}:k27c<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?k5Y12396~"2?90:7);9f;38yx{z3`;?<7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;374>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zjo:1<7?>:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172>1/9;h57:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>57:&62c<03twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<03-?=j794}|~?l72<3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<794$44e>2=zutw0e<;::18'614=9:20Z?:;:0yO610=9r\n>74323_;8=72=#=?l1;6sr}|9j500=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;8;W305?4|,<=;6i5+57d9`>{zut1b=8650;&107<6;11]>9:51zN103<6s_o96?u+1c`950><^89:6?u+5629`>"2>o0o7psr}:k27g<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512`8R4562;q/9:>5d:&62c3b83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>m4V012>7}#=>:1h6*:6g8g?x{zu2c:?i4?:%076?7402\9894>{M072?7|^l81>v*>bc827a=Q9:;1>v*:718g?!31n3n0qpsr;h30a?6=,;>96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?7b29K660<^;>?6:3y'126=92.>:k4>;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4>;%75b?73983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{en:0;6??50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6:5+57d93>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56293>"2>o0<7psr}:k213<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9;5Y12396~"2?90<7);9f;58yx{z3`;>;7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;363>P6;809w);80;58 00a2>1vqps4i07;>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?37[?<1;0x 0172>1/9;h57:~yx=n9:h1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512`8R4562;q/9:>57:&62c<03twvq6g>3b83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;j1]=>?52z&635<03-?=j794}|~?l74l3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<=j:18'614=9:20Z?:;:0yO610=9r\n>745b3_;8=72=#=?l1;6sr}|9j56`=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>h4V012>7}#=>:1;6*:6g84?x{zu2c:8=4?:%076?7402\9894>{M072?7|^l81>v*>bc8205=Q9:;1>v*:7184?!31n3=0qpsr;h375?6=,;>96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{96=4+250956><^;>?6:3y'126=92.>:k4>;|~y>o6<=0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>459U567=:r.>;=4>;%75b?72\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<:8;W305?4|,<=;6<5+57d95>{zut1b=9650;&107<6;11]>9:51zN103<6s_o96?u+1c`951><^89:6?u+56295>"2>o0:7psr}:k20<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:845Y12396~"2?90:7);9f;38yx{z3`;?m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;37e>P6;809w);80;38 00a281vqps4i06a>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>i7[?<1;0x 017281/9;h51:~yx=n9=i1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol515a8R4562;q/9:>51:&62c<63twvq6g>4e83>!43:3;846X=4582I43>3;pZh<52z&2fg<6?52z&635<63-?=j7?4}|~?l73m3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;e:T274<5s-?<<7?4$44e>4=zutw0e<:i:18'614=9:20Z?:;:0yO610=9r\n>742a3_;8=74=#=?l1=6sr}|9j507=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8?4V012>7}#=>:1=6*:6g82?x{zu2c:9?4?:%076?7402\9894>{M072?7|^l81>v*>bc8217=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wij84?:283>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6o5+57d9f>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629f>"2>o0i7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3thm:7>57;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1;6*:6g84?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7184?!31n3=0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?b<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;f8 00a2m1vqps4i077>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172m1/9;h5d:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6smf683>=<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<794$44e>2=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=7a=#=?l1h6sr}|9j505=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;;;W305?4|,<=;6i5+57d9`>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+5629`>"2>o0o7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3thm47>58;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<=n;W305?4|,<=;6:5+57d93>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629`>"2>o0o7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90o7);9f;f8yx{z3`;>?7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635{M072?7|^l81>v*>bc8211=Q9:;1>v*:718g?!31n3n0qpsr;h361?6=,;>96<=7;W070?7|D;>=696X>3081!3083n0(88i:e9~yx{?62c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172>1/9;h57:~yx=n9<=1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74303_;8=72=#=?l1;6sr}|9j50>=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=864V012>7}#=>:1h6*:6g8g?x{zu2c:?o4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6;j0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956e<^89:6?u+5629`>"2>o0o7psr}:k27a<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512f8R4562;q/9:>5d:&62c3d83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;l1]=>?52z&6354=zutw0e<:?:18'614=9:20Z?:;:0yO610=9r\n>74273_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wijl4?:0394?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1;6*:6g84?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7184?!31n3=0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=?0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9500<^89:6?u+56293>"2>o0<7psr}:k212<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51458R4562;q/9:>57:&62c<03twvq6g>5983>!43:3;846X=4582I43>3;pZh<52z&2fg<6=11]=>?52z&635{M072?7|^l81>v*>bc827g=Q9:;1>v*:718g?!31n3n0qpsr;h30g?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3b9U567=:r.>;=4k;%75b?b5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30a>P6;809w);80;f8 00a2m1vqps4i01e>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289m7[?<1;0x 017281/9;h51:~yx=n9=:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51528R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xdaj3:1;7>50z&107<6j:1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6g>4483>!43:3;846X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&635<63-?=j7?4}|~?l7283:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7?4$44e>4=zutw0e<;<:18'614=9:20Z?:;:0yO610=9r\n>74343_;8=74=#=?l1=6sr}|9j502=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8:4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`eg?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~fcb=83;:6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<03-?=j794}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=72=#=?l1;6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1;6*:6g84?x{zu2c:9>4?:%076?7402\9894>{M072?7|^l81>v*>bc8216=Q9:;1>v*:7184?!31n3=0qpsr;h360?6=,;>96<=7;W070?7|D;>=686X>3081!3083=0(88i:69~yx{6=4+250956><^;>?69851zTf6?4|,8hi6<;9;W305?4|,<=;6:5+57d93>{zut1b=8950;&107<6;11]>9:51zN103<6s_o96?u+1c`9501<^89:6?u+5629`>"2>o0o7psr}:k21=<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:955Y12396~"2?90o7);9f;f8yx{z3`;8n7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;k1]=>?52z&635{M072?7|^l81>v*>bc827f=Q9:;1>v*:718g?!31n3n0qpsr;h30`?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3e9U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<=i;W305?4|,<=;6<5+57d95>{zut1b=9>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9516<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3thmi7>51083>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6:5+57d93>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56293>"2>o0<7psr}:k200<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:885Y12396~"2?90<7);9f;58yx{z3`;><7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;58 00a2>1vqps4i070>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172>1/9;h57:~yx=n9<>1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51468R4562;q/9:>57:&62c<03twvq6g>5483>!43:3;846X=4582I43>3;pZh<52z&2fg<6=<1]=>?52z&635<03-?=j794}|~?l72>3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc8213=Q9:;1>v*:7184?!31n3=0qpsr;h363?6=,;>96<=7;W070?7|D;>=6;6X>3081!3083n0(88i:e9~yx{<^;>?69851zTf6?4|,8hi6<=m;W305?4|,<=;6i5+57d9`>{zut1b=>m50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289h7[?<1;0x 0172m1/9;h5d:~yx=n9:n1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745c3_;8=7a=#=?l1h6sr}|9j56c=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>k4V012>7}#=>:1h6*:6g8g?x{zu2c:?k4?:%076?7402\9894>{M072?7|^l81>v*>bc827c=Q9:;1>v*:7182?!31n3;0qpsr;h374?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?62c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11]>9:51zN103<6s_o96?u+1c`9500<^89:6?u+56293>"2>o0<7psr}:k212<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9:5Y12396~"2?90<7);9f;58yx{z3`;>47>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;36<>P6;809w);80;58 00a2>1vqps4i01a>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289i7[?<1;0x 0172>1/9;h57:~yx=n9:i1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512a8R4562;q/9:>57:&62c<03twvq6g>3e83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;m1]=>?52z&635<03-?=j794}|~?l74m3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<=i:18'614=9:20Z?:;:0yO610=9r\n>745a3_;8=72=#=?l1;6sr}|9j516=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9>4V012>7}#=>:1;6*:6g84?x{zu2c:8<4?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6<;0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>439U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<:;;W305?4|,<=;6<5+57d95>{zut1b=9850;&107<6;11]>9:51zN103<6s_o96?u+1c`9510<^89:6?u+56295>"2>o0:7psr}:k202<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8:5Y12396~"2?90:7);9f;38yx{z3`;?47>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;37<>P6;809w);80;38 00a281vqps4i06:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>27[?<1;0x 017281/9;h51:~yx=n9=k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol515c8R4562;q/9:>51:&62c<63twvq6g>4c83>!43:3;846X=4582I43>3;pZh<52z&2fg<6?52z&635<63-?=j7?4}|~?l73k3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;c:T274<5s-?<<7?4$44e>4=zutw0e<:k:18'614=9:20Z?:;:0yO610=9r\n>742c3_;8=74=#=?l1=6sr}|9j51c=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9k4V012>7}#=>:1=6*:6g82?x{zu2c:8k4?:%076?7402\9894>{M072?7|^l81>v*>bc820c=Q9:;1>v*:7182?!31n3;0qpsr;h365?6=,;>96<=7;W070?7|D;>=6=6X>3081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e99:1<7<>:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172>1/9;h57:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>57:&62c<03twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<03-?=j794}|~?l72<3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<794$44e>2=zutw0e<;::18'614=9:20Z?:;:0yO610=9r\n>74323_;8=72=#=?l1;6sr}|9j500=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=884V012>7}#=>:1;6*:6g84?x{zu2c:9:4?:%076?7402\9894>{M072?7|^l81>v*>bc8212=Q9:;1>v*:7184?!31n3=0qpsr;h3696<=7;W070?7|D;>=646X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6;m0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3e9U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<=i;W305?4|,<=;6:5+57d93>{zut1b=9>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9516<^89:6?u+56293>"2>o0<7psr}:k204<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51538R4562;q/9:>57:&62c<03twvq6g>4383>!43:3;846X=4582I43>3;pZh<52z&2fg<6<;1]=>?52z&6354=zutw0e<:;:18'614=9:20Z?:;:0yO610=9r\n>74233_;8=74=#=?l1=6sr}|9j510=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=984V012>7}#=>:1=6*:6g82?x{zu2c:8:4?:%076?7402\9894>{M072?7|^l81>v*>bc8202=Q9:;1>v*:7182?!31n3;0qpsr;h3796<=7;W070?7|D;>=63081!3083;0(88i:09~yx{26=4+250956><^;>?6:3y'126=92.>:k4>;|~y>o6P5<=0:wA<;6;3xR`4=:r.:no4>4c9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<:k;W305?4|,<=;6<5+57d95>{zut1b=9k50;&107<6;11]>9:51zN103<6s_o96?u+1c`951c<^89:6?u+56295>"2>o0:7psr}:k20c<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8k5Y12396~"2?90:7);9f;38yx{z3`;>=7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;365>P6;809w);80;38 00a281vqps4i071>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?97[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm11394?46290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11]>9:51zN103<6s_o96?u+1c`9500<^89:6?u+56293>"2>o0<7psr}:k212<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9:5Y12396~"2?90<7);9f;58yx{z3`;>47>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;36<>P6;809w);80;58 00a2>1vqps4i01a>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289i7[?<1;0x 0172>1/9;h57:~yx=n9:i1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512a8R4562;q/9:>57:&62c<03twvq6g>3e83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;m1]=>?52z&635<03-?=j794}|~?l74m3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<=i:18'614=9:20Z?:;:0yO610=9r\n>745a3_;8=72=#=?l1;6sr}|9j516=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9>4V012>7}#=>:1;6*:6g84?x{zu2c:8<4?:%076?7402\9894>{M072?7|^l81>v*>bc8204=Q9:;1>v*:718g?!31n3n0qpsr;h376?6=,;>96<=7;W070?7|D;>=66X>3081!3083n0(88i:e9~yx{86=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>o6P5<=0:wA<;6;3xR`4=:r.:no4>479U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<:7;W305?4|,<=;6<5+57d95>{zut1b=9750;&107<6;11]>9:51zN103<6s_o96?u+1c`951?<^89:6?u+56295>"2>o0:7psr}:k20d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8l5Y12396~"2?90:7);9f;38yx{z3`;?n7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;37f>P6;809w);80;38 00a281vqps4i06`>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>h7[?<1;0x 017281/9;h51:~yx=n9=n1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol515f8R4562;q/9:>51:&62c<63twvq6g>4d83>!43:3;846X=4582I43>3;pZh<52z&2fg<6?52z&635<63-?=j7?4}|~?l73n3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;f:T274<5s-?<<7?4$44e>4=zutw0e<;>:18'614=9:20Z?:;:0yO610=9r\n>74363_;8=74=#=?l1=6sr}|9j504=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8<4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`247<72?0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56295>"2>o0:7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90:7);9f;38yx{z3`;>?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8:86=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi==:50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083n0(88i:e9~yx{?65<2290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4k;%75b?b<7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;f8 00a2m1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8:=6=49:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7j4$44e>a=zutw0e<;?:18'614=9:20D?:>;W070?7|D;>=6<6X>3081!3083n0(88i:e9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e99=1<7<>:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172>1/9;h57:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>57:&62c<03twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<03-?=j794}|~?l72<3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<794$44e>2=zutw0e<;::18'614=9:20Z?:;:0yO610=9r\n>74323_;8=72=#=?l1;6sr}|9j500=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=884V012>7}#=>:1;6*:6g84?x{zu2c:9:4?:%076?7402\9894>{M072?7|^l81>v*>bc8212=Q9:;1>v*:7184?!31n3=0qpsr;h3696<=7;W070?7|D;>=646X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6;m0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3e9U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<=i;W305?4|,<=;6:5+57d93>{zut1b=9>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9516<^89:6?u+56293>"2>o0<7psr}:k204<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8<5Y12396~"2?90o7);9f;f8yx{z3`;?>7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;376>P6;809w);80;38 00a281vqps4i060>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>87[?<1;0x 017281/9;h51:~yx=n9=>1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51568R4562;q/9:>51:&62c<63twvq6g>4783>!43:3;846X=4582I43>3;pZh<52z&2fg<6?52z&635<63-?=j7?4}|~?l73?3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;7:T274<5s-?<<7?4$44e>4=zutw0e<:7:18'614=9:20Z?:;:0yO610=9r\n>742?3_;8=74=#=?l1=6sr}|9j51?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=974V012>7}#=>:1=6*:6g82?x{zu2c:8l4?:%076?7402\9894>{M072?7|^l81>v*>bc820d=Q9:;1>v*:7182?!31n3;0qpsr;h37f?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{h6=4+250956><^;>?6:3y'126=92.>:k4>;|~y>o6P5<=0:wA<;6;3xR`4=:r.:no4>4d9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;>;W305?4|,<=;6<5+57d95>{zut1b=8<50;&107<6;11]>9:51zN103<6s_o96?u+1c`9504<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:<54?:3394?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1;6*:6g84?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7184?!31n3=0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=?0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>579U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;7;W305?4|,<=;6:5+57d93>{zut1b=>l50;&107<6;11]>9:51zN103<6s_o96?u+1c`956d<^89:6?u+56293>"2>o0<7psr}:k27f<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?n5Y12396~"2?90<7);9f;58yx{z3`;8h7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30`>P6;809w);80;58 00a2>1vqps4i01f>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289n7[?<1;0x 0172>1/9;h57:~yx=n9:l1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512d8R4562;q/9:>57:&62c<03twvq6g>4183>!43:3;846X=4582I43>3;pZh<52z&2fg<6<91]=>?52z&635<03-?=j794}|~?l7393:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;1:T274<5s-?<<7j4$44e>a=zutw0e<:=:18'614=9:20Z?:;:0yO610=9r\n>74253_;8=74=#=?l1=6sr}|9j515=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9=4V012>7}#=>:1=6*:6g82?x{zu2c:894?:%076?7402\9894>{M072?7|^l81>v*>bc8201=Q9:;1>v*:7182?!31n3;0qpsr;h372?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<6=4+250956><^;>?6:3y'126=92.>:k4>;|~y>o6<00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>489U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<:m;W305?4|,<=;6<5+57d95>{zut1b=9m50;&107<6;11]>9:51zN103<6s_o96?u+1c`951e<^89:6?u+56295>"2>o0:7psr}:k20a<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8i5Y12396~"2?90:7);9f;38yx{z3`;?i7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;37a>P6;809w);80;38 00a281vqps4i06e>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>m7[?<1;0x 017281/9;h51:~yx=n9<;1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51438R4562;q/9:>51:&62c<63twvq6g>5383>!43:3;846X=4582I43>3;pZh<52z&2fg<6=;1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo??9;292?6=8r.98?4>b29K660<^;>?6:3y'126=92.>:k4>;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a55g=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd68k0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172k1/9;h5b:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5b:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd68j0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172>1/9;h57:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7a=#=?l1h6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi==j50;694?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=48;%75b?15$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c33a?6=<3:1N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172>1/9;h57:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e99l1<7:50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?15$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;58 00a2>1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8;;6=4;:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>57:&62c<03twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1;6*:6g84?x{zu2c:884?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71083>2<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<63-?=j7?4}|~?l72;3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7?4$44e>4=zutw0e<;;:18'614=9:20Z?:;:0yO610=9r\n>74333_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=<<50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71283>1<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<794$44e>2=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f473290?6=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1;6*:6g84?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56293>"2>o0<7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:=84?:583>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;58 00a2>1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56293>"2>o0<7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>57:&62c<03twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd69>0;694?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?1<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>7?m3:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?l73=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7?4$44e>4=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=74=#=?l1=6sr}|9j505=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`25<<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=?2.>:k48;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=48;%75b?1<7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635{M072?7|^l81>v*>bc8216=Q9:;1>v*:718g?!31n3n0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1;6*:6g84?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7184?!31n3=0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4k;%75b?b9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;f8 00a2m1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8;h6=49:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<794$44e>2=zutw0e<;?:18'614=9:20D?:>;W070?7|D;>=6<6X>3081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72;2\:?<4={%744?b<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?19<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;f8 00a2m1vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172m1/9;h5d:~yx=n9<91<7*=43827==O:=;0Z?:;:0yO610=9r\n>74343_;8=7a=#=?l1h6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6:5+57d93>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56293>"2>o0<7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90<7);9f;58yx{z3`;>87>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;360>P6;809w);80;58 00a2>1vqps4i076>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?>7[?<1;0x 0172>1/9;h57:~yx=n9<<1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74313_;8=72=#=?l1;6sr}|9j501=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;7;W305?4|,<=;6i5+57d9`>{zut1b=>l50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289i7[?<1;0x 0172m1/9;h5d:~yx=n9:i1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512a8R4562;q/9:>5d:&62c3e83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;m1]=>?52z&635<63-?=j7?4}|~?l74m3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0e<=i:18'614=9:20Z?:;:0yO610=9r\n>745a3_;8=74=#=?l1=6sr}|9j516=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9>4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`25c<72?0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56295>"2>o0:7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90:7);9f;38yx{z3`;>?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj88;6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=??50;494?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083n0(88i:e9~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?b<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;f8 00a2m1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7j4$44e>a=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f445290=6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<03-?=j794}|~?l74i3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718g?!31n3n0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4k;%75b?b?7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&6354=zutw0qo?=3;292?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>57:&62c<03twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1h6*:6g8g?x{zu2c:884?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6=90;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+5629`>"2>o0o7psr}:k216<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>5d:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6:=0;654?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?1<,<9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6i5+57d9`>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172m1/9;h5d:~yx=n9<>1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74333_;8=7a=#=?l1h6sr}|9j503=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e9;?1<7650;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?15$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;f8 00a2m1vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172m1/9;h5d:~yx=n9<91<7*=43827==O:=;0Z?:;:0yO610=9r\n>74343_;8=7a=#=?l1h6sr}|9j502=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;:;W305?4|,<=;6i5+57d9`>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a570=83<1<7>t$361>4d43A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=74=#=?l1=6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1=6*:6g82?x{zu2c:9>4?:%076?7402\9894>{M072?7|^l81>v*>bc8216=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn<<7:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?19<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn<<6:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm13c94?76290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172>1/9;h57:~yx=n9<=1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74303_;8=7a=#=?l1h6sr}|9j50>=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=864V012>7}#=>:1h6*:6g8g?x{zu2c:?o4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6;j0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956e<^89:6?u+5629`>"2>o0o7psr}:k27a<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?i5Y12396~"2?90o7);9f;f8yx{z3`;8i7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30a>P6;809w);80;38 00a281vqps4i01e>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289m7[?<1;0x 017281/9;h51:~yx=n9=:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51528R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6:k0;696<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;58 00a2>1vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172>1/9;h57:~yx=n9<91<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>57:&62c<03twvq6g>5583>!43:3;846X=4582I43>3;pZh<52z&2fg<6==1]=>?52z&635<03-?=j794}|~?l72=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:5:T274<5s-?<<794$44e>2=zutw0e<;9:18'614=9:20Z?:;:0yO610=9r\n>74313_;8=72=#=?l1;6sr}|9j501=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=894V012>7}#=>:1;6*:6g84?x{zu2c:954?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6;k0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956d<^89:6?u+56293>"2>o0<7psr}:k27f<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512a8R4562;q/9:>5d:&62c3e83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;m1]=>?52z&635a=zutw0e<=i:18'614=9:20Z?:;:0yO610=9r\n>745a3_;8=74=#=?l1=6sr}|9j516=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9>4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`26f<728;1<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<794$44e>2=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=72=#=?l1;6sr}|9j505=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1;6*:6g84?x{zu2c:994?:%076?7402\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:7184?!31n3=0qpsr;h361?6=,;>96<=7;W070?7|D;>=696X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=10;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`950><^89:6?u+56293>"2>o0<7psr}:k27g<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512`8R4562;q/9:>5d:&62c3b83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;j1]=>?52z&635a=zutw0e<=j:18'614=9:20Z?:;:0yO610=9r\n>745b3_;8=7a=#=?l1h6sr}|9j56`=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>h4V012>7}#=>:1=6*:6g82?x{zu2c:8=4?:%076?7402\9894>{M072?7|^l81>v*>bc8205=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{51083>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6:5+57d93>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172>1/9;h57:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=72=#=?l1;6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1;6*:6g84?x{zu2c:9>4?:%076?7402\9894>{M072?7|^l81>v*>bc8216=Q9:;1>v*:7184?!31n3=0qpsr;h360?6=,;>96<=7;W070?7|D;>=686X>3081!3083=0(88i:69~yx{6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=>0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>569U567=:r.>;=48;%75b?15$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;k1]=>?52z&635<03-?=j794}|~?l74k3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827f=Q9:;1>v*:718g?!31n3n0qpsr;h30`?6=,;>96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6<90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>419U567=:r.>;=4>;%75b?701C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172>1/9;h57:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399K617<^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11]>9:51zN103<6s_o96?u+1c`9500<^89:6?u+56293>"2>o0<7psr}:k212<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9:5Y12396~"2?90<7);9f;58yx{z3`;>47>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=11]=>?52z&635<03-?=j794}|~?l74j3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827g=Q9:;1>v*:718g?!31n3n0qpsr;h30g?6=,;>96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{<^;>?6:3y'126=l2.>:k4k;|~y>o6;o0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3g9U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn<5<7s-8?>7?m3:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?l73=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7?4$44e>4=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=74=#=?l1=6sr}|9j505=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|q:;d93;846s|c083>=}:k80:n952207956?<5o91=>74=gd956?<58:;6<=6;<335?74127:<:4>389>55>=9:30q~79:1808?028h>7S79;45?3tyh<7>58z?`4?7e<279389>b6<6;h16jk4>3`9>556=9:k01<>>:01b?877?3;8m63>09827d=z{>?1<7=t=6495g3?014h512:8yv?a2903w07i:0`7?87ak3;8563i3;371>;an3;?963>018200=:99;1=9;4=024>42234;;47?;5:p36<72:q6;94>b49]36=:1l0:?55rs8g94?7?s43n6389>0dd=9:3019oj:01:?83593;8563:23827<=:9o?1=>74=41`>45>34>mn7?<9:?640<6;016io4>389>a`<6;016j>4>519>b<<6;016ji4>389>bc<6=916==>51428946628?;70??7;364>;6810:9=5210g956?<588i6<=6;<31g?7412wx;<4?:2y>37<6j<1U;<529e827==z{0n1<7?7{<;g>4d3349;:7?<9:?74d<6;h168lm512;891gb289j70;=3;30=>;6ml0:?45252f956?<5<9n6<=6;<732?74127><:4>389>ac<6;016j=4>389>b6<6=:16jl4>389>b`<6;016jk4>529>556=9<901<>>:070?877?3;>?63>098216=:9;k1=>74=00g>45>34;9i7?<9:p2c<72:q6;=4>b49]2c=:1j0:?55rs8a94?>|50i1=o:4=0g4>45>34l86<;;;43334;;<7?:4:?244<6==16==951468946?28??7p}9d;297~;1m3;i96P9d:?:f?7402wx5o4?:9y>=g<6j=16=h>512;89c5=970??1;361>;68>0:985211:95034d23Wi3:14v36a;3a0>;6l00:?452f28213=:no0:9;521129500<58::6<;9;<333?72>27:<54>579~w=>=839p15751c78Z=><5k31=>64}r`:>5<3?r7i57?m4:?76d<6;0168?l512;89664289270=?4;30=>;61?0:?45230:956?<5:8>6<=6;<105?741278??4>389>051=9:3019>7:01:?82713;8563;0`8200=:74=5cf>42234?i<7?<9:?6f4<6;0169l=512;890g3289270;ma;30=>;3<80:?452450956?<5<2;6<=6;<7;5?74127?>=4>389>1a3=9:301<66:01:?87?i3;856374=2g2>45>34?3o7?<9:?154<6;016><;512c891?f289270:6b;30=>;2980:?452a8827<=:ih0:?452ac827<=:ij0:?452ae827<=:74=40g>45>34?9i7?<9:?e7?72?27m;7?<9:?e16==?514589462289270??7;363>;6810:9:5211f956?<58:n6<=6;<33b?74127:==4>389>545=9:30117827<=:98=1=>74=002>45>34;987?<9:?260<6;016=?l512c8944d289j70?=d;30e>;6:l0:?l5rs9794?5|51<1=o;4^9789g>=9:20q~l7:1864~;e03;i863;2b827<=:<;n1=>74=220>45f349;87?;4;:0:?452326956?<5:9>6<=6;<633?74i27?<54>3`9>05g=9<:019o6:01:?82fi3;8m63;ad8215=:=k:1=>o4=4`2>45f34?j?7?;2080:?l52432956g<5>=4>389>1=e=9:k01??=:01:?846=3;?963;9b827<=:<0n1=>74=432>45f34k26<=n;45f34ki6<=n;45f34ko6<=n;<6`e?74127?oo4>389>0c>=9:3019h6:01:?82ai3;8563:2e827d=:m>0:?452e9827<=:m00:?452e`827<=:n:0:9552f7827<=:n>0:?l52f9827d=:no0:9552112950><58::6<;7;<332?74127:<:4>599>55>=9<201<>k:01b?877m3;8m63>0g827d=:98:1=>o4=030>45f34;:87?b;30=>;69j0:?45210f956?<58896<=6;<310?74i27:>84>3`9>57d=9=?01<2d8200=z{191<7=t=9695g3;3:j0:?l523119513<5::?6<::;<132?74i278>;4>3`9>77>=9:k01>=<:01b?854<3;8m63;08827d=:o4=5ca>45f34>jo7?;2:;0:?l52531956g<5<9h6<=n;<70`?74i27>?h4>3`9>e<<6<<16n;4>389>f3<6;h168no512c891`?289j70:ib;30e>;28<0:?l52514956g<5<:<6<=n;<71`?73=27>>h4>3`9>a2<6;h16i54>3`9>ag<6;h16ih4>3`9>ac<6;h16j=4>3`9>b6<6;k16j44>3`9>bd<6;h16ji4>3`9>b`<6;h16jk4>3c9>556=9:h01<>>:01a?877?3;8n63>09827g=:99n1=9;4=034>45f34;:m7?;6:j0:9=5213f9506<588n6<;?;|q;5?6=;r73>7?m5:\;5>;e93;846s|b083>6g|5k;1=o:4=50g>45f349;?7?:0:?041<6=916?=8515789640289j70==8;371>;4;<0:?l524159513<5=:26<::;<6bf?73=27?mn4>449>7a`=9=?01845>34?8o7?;5:?67a<6<<169>k5157891ee289j70:i9;30e>;3nh0:?l524g`9513<5<:>6<::;<732?73=27><:4>449>17b=9<:018289j70kn:01b?8ce28>>70kj:066?8ca28>>70h?:066?8`4289h70h9:01b?8`>28>>70hn:066?8`c28>>70hj:066?8`a289h70??0;30g>;6880:?n52114956g<58:<6<=l;<334>449>541=9=?011d8200=:9;k1=9;4=00a>43434;9o7?:3:?26a<6=:16=?k51418yv1c2908w09j:0`6?[1c34k86<=7;|qb7?6=:1q6m>4>b59>750=9<:01><7:073?82fj3;><63;ab8215=:=;;1=8>4=401>43734?9?7?:0:?15f<6;0169>m51428905c28?;70;;fi3;?963;fc8215=:=9?1=8>4=425>43734?;;7?:0:?ff?72827ni7?:0:?fb?72827m<7?:0:?e7?74l27m57?:0:?ee?72827mh7?:0:?ea?72827mj7?;68l0:885210g9506<58836<=6;<31l4>519>57d=9<>01<863>2d8211=z{>h1<7=t=6a95g3h01l<512:8yvg529095v3n2;3a0>;48?0:9>5233:9505<5=:36<::;<6bf?72;27?mn4>529>177=9<9018<=:070?835;3;>?63=10827d=::881=>o4=330>45>348:87?<9:?67f<6=:169>j51418905b28?870:ib;367>;28<0:9>525149505<5<:<6<;<;43434on6<;<;43434l;6<;<;45b34l26<;<;43434lo6<;<;43434lm6<=j;<334?74m27:<<4>3d9>551=9:o01<>7:01f?876<3;?963>1d8216=:9;k1=8=4=00a>43234;9o7?:5:?26a<6=<16=?k51478yv1>2908w09n:0`6?[1>34k:6<=7;|qb5?6=:?q6m<4>b59>750=9<>01><7:077?82fj3;>863;ab8211=:=;;1=8:4=401>43334?9?7?:4:?14g<6;0169>m51468905c28??70;;fj3;?963;fc8211=:=9?1=8:4=425>43334?;;7?:4:?ff?72<27ni7?:4:?fb?72<27m<7?:4:?e7?74n27m57?:4:?ee?72<27mh7?:4:?ea?72<27mj7?;69<0:885210g9502<588j6<;;;<31f?72>27:>n4>579>57b=9<<01<X0?27j<7?<8:pe5<72;51c68966128?>70==8;361>;3ik0:98524`a9503<5<8:6<;:;<716?72=27>>>4>549>655=9:3018=l:076?834l3;>963:3d8210=:ij0:88524g`9503<5<:>6<;:;<732?72=27><:4>549>ag<6=<16ih4>549>ac<6=<16j=4>549>b6<6<916j44>549>bd<6=<16ji4>549>b`<6=<16jk4>419>556=9=:01<>>:063?877?3;?<63>098205=:98<1=9;4=03f>43234;9m7?:5:?26g<6=>16=?m51458944c28?<70?=e;363>{tk<0;69u2c782f0=Yk<16o:4>399>g=<6;11vn950;378e028h?70;:e;f`?85d83nh70=nb;f`?85e>3nh70=91;f`?851j3nh70=86;f`?850n3nh70=7a;f`?871=3nh70?81;f`?853n3nh70=61;f`?85>l3nh70=k8;f`?8062mi01>:<:ea8963?2mi01>ml:ea8yve?290jw0m7:0`7?82103nh70:j6;f`?83283nh70=n3;f`?85313nh70=k2;f`?854l3nh70=l6;f`?82d:3nh7p}>3383>1}:9:91=o;4^011?874<3;8463>34827==z{89?6=4;0z?271<6j=168?h5db9>666=lj16>;m5db9>6ad=lj16>h85db9>6c7=lj16>::5db9>62e=lj16>5m5db9>6<2=lj16>4m5db9>6d2=lj16>lm5db9>6g2=lj16>om5db9>6f2=lj16>nm5db9>75>=lj16=475db9>6=2=lj16>k65db9>1f?=lj16=:h5db9>5d4=lj16>?;5db9>6a2=lj16?77g=lj16?>95db9>7c7=lj16?kk5db9>05b=lj168<;5db9>02`=lj168o>5db9>0<7=lj1694j5db9>1g5=lj169l85db9>1f6=lj169h95db9>013=lj1695=5db9>06>=lj16>8l5db9>632=lj16?ho5db9>074=lj169:85db9>1ad=lj169h?5db9>61`=lj16>kk5db9>225=4kc:?6gcii4kc:?71<4kc:p563=839p1<=::0`7?856:3nh70:n1;f`?xu3=h0;6>u244`95g3{t<44>389>07g=9:k019o4=05a>45>349:;7?<9:?05g<6;016??:512;89656289j70=<3;371>;4;<0:8852417956?<5==j6<=6;<64g?74127>554>389>1d`=9:3018l?:066?83f;3;?963:be827<=:<=;1=>o4=560>42234?3<7?;5:?75`<6;0169::512;894>>289j70=>0;30=>;4990:?l523d2956g<5<8:6<;9;<717?72>27>4l4>389>1=c=9:3019kk:01:?834i3;8563:3e8213=:=?<1=>74=432>42234?:87?<9:?7g<<6;h168no5157891ee28>>70:i6;30=>;3n00:88524g`9500<5<:86<=6;<732?72>27>??4>389>a2<6<<16i44>449>ag<6=?16ik4>579>b2<6<<16j44>579>bd<6=?16jk4>409>556=9=;01<>;:01:?877k3;8563>1`8200=:98i1=9;4=03f>43134;9?7?<9:?261<6<<16=?l514:8944c28?37p}:6c83>6}:=?i1=o;4^44a?831l3;846s|57f94?4bs4?=h7?m4:?043<6=?16??65144896`f289270=ib;30=>;3ik0:9;524`a9500<5:o;6<::;<1f5?74i27>><4>569>174=9<<018<<:074?82bl3;8m63:3`827d=:=:i1=884=41g>43034?8i7?:6:?b`?73=27?o44>449>0fg=9<:019mm:073?82a>3;8m63;fc8212=:=991=>o4=426>43134?;:7?:7:?642<6=?16i:4>519>a=<6<<16i44>519>ad<6<<16io4>569>a`<6=?16ik4>569>b5<6=?16j44>569>bd<6=>16ji4>579>b`<6=?16==h51578947b28?<70?=a;362>;6:k0:?o5213a950><588o6<=m;<31a?7202wx9<650;6x94d7289370;>9;3a1>X291169<651c68yv7e83:1;v3>b182f1=:;931=>74=22:>45f349h87?<9:?0g1<6;h16?no512;896ef289j7p};d683>6}:389>7``=9:k019h9:066?xu2<80;6>u255095g3:70;;3;30<>{t==91<7;t=460>4d334;2j7?;4j;0:9=523c19506<5:h?6<;?;<355?73=27::?4>449>535=9=?01<8l:073?871l3;><63>6d8215=:9?l1=8>4=56f>42234>io7?:0:?7fa<6=9168ok5142891da28?;7p}ka;296~;ci3;i863<968gg>{t<181<7=t=5:0>4d23W>3>63;85827==z{=2?6=4k{<6;0?7e<27::n4>529>53b=9<901<8j:070?871n3;>?63<3c827<=:;:h1=>o4=262>45>349?=7?{tm:0;6>u2e582f0=Ym:16=>>512:8yv7483:19v3>3182f1=:;0?1=>74=2;6>45f348?h7?<9:?10a<6;h1v9j;:18082c=3;i96P;d59>0a0=9:20q~:k6;297~;3l?0:n9522ga956?<5;lh6<=n;|q7f7<72:q68o=51c78Z1d534>i87?<8:p0g2=83?p19l;:0`7?853?3;8563<46827d=:;=n1=>74=26g>45f3ty>:54?:2y>13?=9k?0R887;<75e?7402wx9;o50;7x900f28h?70=k0;30=>;4l90:?l523e4956?<5:n=6<=n;|q4b?6=;r73<7?m5:\4b>;e83;846s|b183>7}:j90:n9521ec956g4d23W2j70ln:01;?xuei3:1>v3ma;3a0>;6m80:?l5rs9a94?5|51n1=o;4^9a89gd=9:20q~lm:1818de28h?70?j8;30e>{t0l0;6>u28g82f0=Y0l16nn4>399~wge=838p1om51c6894ca289j7p}60;297~;>93;i96P60:?a`?7402wxni4?:3y>fa<6j=16=k8512c8yv?52908w07<:0`6?[?534hn6<=7;|qaa?6=:r7ii7?m4:?2ba<6;h1v4:50;1x9<3=9k?0R4:4=cd956>4d3348;87?9?4>b59~w0312909w0;:2;30<>;2=?0:n95rs476>5<5s4?>>7ji;<761?7e<2wx88j50;1x913b28h>7S::d:?71a<6j=1v9;i:181822l3;8463;5g82f1=z{=<;6=4={<66`?ba34>=<7?m4:p0ag=839p19jm:0`6?[2ci27?hl4>b59~w1bd2909w0:ka;30<>;3lj0:n95rs5fg>5<5s4>om7ji;<6g`?7e<2wx99:50;1x902228h>7S;;4:?601<6j=1v8:9:181833<3;8463:4782f1=z{<><6=4={<770?ba34??;7?m4:p022=839p199::0`6?[20<27?;94>b59~w1112909w0:84;30<>;3??0:n95rs5c1>5<4s4>j?7?m5:\7e7=:399>0d2=9k>0q~:75;297~;30?0:n85Q497891>228h?7p};8983>7}:<1?1=>64=5:;>4d33ty:nn4?:2y>5gb=9k?0R{t9j91<7=t=0a7>4d23W;h?63>c282f1=z{8nh6=4={<3`7?74027:hn4>b59~w4e22908w0?l6;3a1>X6k<16=n;51c68yv7b;3:1>v3>c4827==:9l91=o:4}r3`3?6=;r7:o54>b49]5f1<58i<6c883>6}:9jk1=o;4^0a:?87d13;i86s|1g394?4|58i26<=7;<3e5?7e<2wx=nl50;1x94ed28h>7S?lb:?2gg<6j=1vf982f1=z{8io6=4<{<3`a?7e=2T:oi521bf95g252z?2ga<6;116=kh51c68yv7dn3:1?v3>d182f0=Y9jl01<5;:=6:01;?847l3;i86s|1e194?5|58n?6;6l:0:n95rs334>5<5s4;o?7?<8:?152<6j=1v9mk:18082dm3;i96P;ce9>0fb=9k>0q~:lf;296~;3km0:?5524bd95g2n47>53z?7a<<6j<1U8h64=5g;>4d33ty?il4?:3y>0`>=9:2019kn:0`7?xu2;<0;6>u252495g370;<5;3a0>{t=:=1<745?34?8;7?m4:p137=839p188=:0`6?[31927>:<4>b59~w0042909w0;91;30<>;2>:0:n95rs564>5<4s4>?47?m5:\702=:<==1=o:4}r67=?6=:r7?8:4>399>01?=9k>0q~:63;297~;31=0:n85Q481891?428h?7p};9483>7}:<091=>64=5;6>4d33ty?n84?:2y>0g0=9k?0R9l:;<6a1?7e<2wx8o950;0x91d2289370:m7;3a0>{t=9k1<7=t=42a>4d23W?;m63:0`82f1=z{<:h6=4={<73e?74027>b59~w4g?2909w0;>8;30<>;6i10:n95rs0c:>5<5s4?:47ji;<3b=?7e<2wx=n?50;1x94e528h>7S?l1:?2g4<6j=1vl:50;0x94e6289370o;:0`7?xu6jl0;6>u21cd95g3{tj:0;6?u21cg956><5k91=o:4}r664?6=;r7?9<4>b49]006<5=?;6512:8913528h?7p};6`83>6}:7S:l3:?7g6<6j=1v9m::18182d;3;8463;c482f1=z{=l:6=4<{<6e6?7e=2T?j<524g395g2m?7>52z?7b4<6;1168k=51c68yv2am3:1?v3;fg82f0=Y<5<:;66}:kj0:n85Qcc9>gg<6j=1vi<50;0x9fd=9:201i<51c68yve>2908w0mn:0`6?[e>34i26;2>90:?l5254g95g287>54z?611<6j=1698l512;8903d289270=7f;30=>{t=<=1<745?34?>;7?m4:p10`=838p18;8:01;?832n3;i86s|54:94?4|5<<;6<=6;<7650;0x900728h?70;:e;30<>{t9:=1<7lk{<303?7e<27>9h4=339>10c=:8o01987:311?821038:i63;e78177=:75534?><7<>e:?76c<5;;168?h520g897572;9970<<0;02a>;5>j09??5227a964c<5;ni6?==;<0gf?46m279i;4=339>6`0=:8o01?h>:311?84a938:i63=758177=::>>1>755348e:?15m520g897?32;9970<64;02a>;51j09??5228a964c<5;k?6?==;<0b0?46m279mn4=339>6de=:8o01?l;:311?84e<38:i63=bb8177=::ki1>755348h87<>e:?1gf<5;;16>nm520g8966f289j70=?8;006>;61009??5218;964c<5;2?6?==;<0;0?46m279j54=339>6c>=:8o018m6:311?83d138:i63>7g8177=:9>l1>75534;j>7<>e:?160<5;;16>?;520g897b32;9970;49l09??5230g964c<5:8j6?==;<11e?46m278?:4=339>761=:8o01>h>:311?85a938:i6375534>;h7<>e:?750<5;;168<;520g8911a2;9970:8f;02a>;3j909??524c2964c<5=3:6?==;<6:5?46m27>5i4=339>175534?h<7<>e:?0g5<5;;16?n>520g896ge2;9970=nb;02a>;4j?09??523c4964c<5013=:8o01>8>:311?851938:i63<6c8177=:;?h1>75534?3?7<>e:?033<5;;16?:8520g8915?2;9970:<8;02a>;4?o09??5236d964c<5:2j6?==;<1;e?46m2799o4=339>60d=:8o01?8;:311?841<38:i63755349j?7<>e:?767<5;;168?<520g894022;9970?95;02a>;6?809??52163964c<5:3<6?==;<1:3?46m27>;;4=339>120=:8o018jm:311?83cj38:i63:e08177=:=l;1>755348?j7<>e:?1b`<5;;16>kk520g8962>2;9970=;9;02a>;47j=:311?85c:38:i6375534;3o7<>e:?110<5;;16>8;520g896752;9970=>2;02a>;4;m09??5232f964c<5:>86?==;<177?46m278954=339>70>=:8o01>m9:311?85d>38:i63755349n87<>e:?663<5;;169?8520g890?72;9970;60;02a>;2ko09??525bd964c<50d7=:8o019m=:311?82d:38:i63;588177=:<<31>75534>e:p66>=83;;nv3=3982f1=:=<59n4>3e9>10e=9:o018;l:01e?832k3;?<63;698gf>;3m?0on63:518gf>;3:o0on63=73827g=::>81=>m4=351>45c348<>7?:<51528971f289h70<8a;30`>;5?h0:?h5226c956`<5;=j6<:?;<0`e?720279ol4>3c9>6fg=9:i01?mn:01g?84di3;8i63=c`827c=::jk1=9>4=22;>ad<5::=6<=k;<132?74m278<;4>3g9>750=9=:01<76:e`897>5289o70<72;30a>;50;0:?k522909516<545b348o>7?77g=lk16??6512f8964?289n70==8;30b>;4:10:8=523259`g=:;oo1ho5241f9`g=:<>l1ho524c29`g=:k4=5ca>45a34>jn7?;0:?7ef<6;l168lm512d891gd28>;70:61;fa?83>l3ni70;m3;fa?83f>3ni70;l0;fa?85d83ni70=nb;fa?85e>3ni70;j7;fa?823=3ni70=91;fa?851j3ni70;73;fa?850>3ni70:<8;fa?850n3ni70=7a;fa?842j3ni70<94;fa?85bi3ni70=n3;fa?825:3ni70?95;fa?87093ni70=67;fa?830>3ni70;kb;fa?83b93ni70<;f;fa?84am3ni70=;9;fa?853n3ni70=61;fa?85>l3ni70=k2;fa?85c03ni708>:e`8931=lk16=5m5dc9>603=lk16?<<5dc9>76b=lk16?9=5dc9>70>=lk16?n85dc9>7fe=lk16?h:5dc9>170=lk169??512g89046289m70;=1;374>;2:;0:?h52530956`<5<896<:?;<717?74m27>>>4>3g9>175=9=:0187?:e`890ea2mh018kk:e`8905d289o70;;2;j0:?k5252a9516<5<9o6<=k;<70`?74m27>?i4>3g9>16b=9=:018=j:01g?834m3;8i63:3d827c=:=:o1=9>4=5c2>ad<5=i96il4=57:>ad<5==86il4=5da>45b34>mn7?;28?0:?h52514956`<5<:=6<:?;<733?74m27><:4>3g9>151=9=:01hl512d89`d=9=:01hk512d89`c=9=:01hh512d89``=9=:01k>512d89c6=9=:01k=515189c5=9=>01k=515489c5=9==01k=515:89c5=9=301k=515c89c5=9=h01k=515a89c5=9=n01k=515g89c5=9=l01k=514389c5=9<801k7512d89c?=9=:01ko512d89cg=9=:01kj512d89cb=9=:01kk512d89cc=9=:01kh515189c`=9=>01kh515489c`=9==01kh515:89c`=9=301kh515c89c`=9=h01kh515a89c`=9=n01kh515g89c`=9=l01kh514389c`=9<801<>?:060?87783;?863>018203=:99:1=994=023>42?34;;<7?;9:?245<6515`8946728>h70??0;37`>;6890:8h52112951`<58:;6<;>;<334?72:27:<<4>429>557=9=>01<>>:065?87793;?;63>00820==:99;1=974=022>42f34;;=7?;b:?244<6n70??1;37b>;6880:9<521139504<58:<6<:=;<333?73;27:<:4>459>551=9=<01<>8:064?877?3;?463>06820<=:99=1=9o4=024>42e34;;;7?;c:?242<6m70??7;365>;68>0:9?5211:9514<58:36<:<;<33479>55>=9==01<>7:06;?87703;?563>09820d=:9921=9l4=02;>42d34;;47?;d:?24=<6;69l0:?i5210g956c<58;n6<=i;<32a?73827:>l4>3d9>57g=9:l01<2c8205=:9;i1=>h4=00`>42734;9h7?;7p}:5883>7}:=<21=>74=47:>4d33ty>9l4?:3y>10>=9:k018;n:0`7?xu4=00;6<>t=47a>45f34?>o7?;4>>0:?45237:956?<5:=;6<=6;<147?741278;n4>389>72b=9:301>69:01:?85??3;8563<89827<=:;1l1=>o4}r157?6=nr7>9o4>449>10e=9=?01>;j:01b?852n3;8m63<6282f1=:;?=1=>o4=24;>45f349<=7?<9:?036<6;h16?:m512c8961c289j70=76;30e>;40>0:?l5239:956g<5:2m6<::;|q02f<72oq698l51428903d28?;70=:e;371>;4=o0:885237a95g2<5:=;6<=n;<145?74i278;>4>449>722=9:301>9l:066?850l3;?963<878200=:;1=1=9;4=2:;>4223493j7?:0:p721=83hp18;m:070?832k3;>?63<5d8215=:;4=257>45f349<;7?m4:?03g<6;016?585142896>028?;70=78;364>;40o0:9>5rs2:2>5<>s4?>n7?:4:?61f<6==16?8k51418963a28?870=84;371>;4080:n952397956?<5:236<;<;<1;b?72<2wx98l50;0x903e28h?70;:a;30=>{t9432349n47?<9:?0a=<6;h16=8o51c68yv5dl3:1>v3:5b8213=:;jn1=o:4}r1g7?6=:r7>9n4>569>7a5=9k>0q~;:c;296~;2=j0:n95254c956g9k4>3`9>03?=9:301986:01b?82b?3;8563;e6827d=:=<;1=>74=472>45f349ii7?<9:?013<6;0168i<512;89f4=9k>0199?:01:?xu3>>0;6?u244d956><5=<36512:8910628h?7p};6883>7}:64=54:>4d33ty?:?4?:3y>03>=9:20198=:0`7?xu3>:0;6?u2470956?<5=<8676|5=<>6<=6;<6f6?74127?i94>389>11e=9:3018:j:01:?85ek3;8563o4=2c7>4d3349j47?<9:?0f5<6;016?o?512;896d5289270=m3;30=>;4j=0:?45214d956?<58<:6<=6;<356?74127::>4>389>53d=9:301<8l:01:?871l3;8563>6d827<=:9?l1=>74=4g956?<5:?=6<=n;<67a?74127?nl4>389>0ge=9:3019lk:01:?82em3;8563;bg827<=z{:kh6=4=0z?720<6;h168;8512;891c5289j70:j3;30=>;2449>7d?=9:301>ol:0`7?85e83;8m63o4=2`0>45f349i87?512;8940e289j70?9c;30e>;6>m0:?l5217g956g<5845f34?m6<=6;<160?7412789;4>449>0gg=9:k019ll:01b?82el3;8m63;bd827d=:o4}r651?6=:r7?:84>b59>032=9:30q~=m8;295c}:o4=5g0>45f34>n87?;4i10:?l523`;956g<5:h;6<::;<1a5?73=278n?4>449>7g5=9=?01>l;:066?87183;8m63>60827d=:9?81=>o4=040>45f34;=n7?;5:?22f<6<<16=;j51578940b28>>70?9f;371>;2n3;8m63<54827<=:;<<1=8>4=56f>45f34>io7?;5:?7fa<6<<168ok5157891da28>>7p};6783>7}:45f3ty?i84?:3y>0ae=9:2019k9:0`7?xu3ll0;6?u24ef956><5=nn67}:64=5fe>4d33ty?i=4?:3y>0a`=9:3019k?:0`7?xu3m80;6?u24ed956g<5=o:6>70:j4;371>;6?:0:n95rs5g1>5<5s4>n>7?m4:?7a4<6;01v9k<:18182b;3;i863;e0827d=z{82o6=4m{<6f0?72827>8h4>449>5=b=9k>01<7<:01:?87><3;8563>94827<=:90<1=9;4=0;4>45>349>87?v3;e582f1=:8;4>399>106=9k>0q~;;8;296~;2<>0:?55255:95g2=7>52z?60=<6;11698?51c68yv3313:1>v3:51827==:==31=o:4}r77e?6=:r7>844>389>11g=9k>0q~;;b;296~;2<00:?l5255`95g254z?60f<6<<1699j51578902b28?;70?6a;3a0>{t==i1<74d334??n7?<9:p11b=838p18:k:0`7?833j3;8m6s|55g94?4|5<>n6;3:o0:n95rs500>5<41r7?>>4>b59>07?=9:k0194=0;6>45f349m:7?<9:?0b3<6;h168:l512;8911d289j70:8d;30=>;3<80:8852450956g<5=>86<;?;<62e?74127?=l4>3`9>5=?=9=?01<6n:01b?85b83;><6342234?9=7?:8:?667<6=>169?=514:8905d28?<70;;2;l0:9:52501956?<5<;?6<=n;<721?74127?j54>449>0c?=9<:019hn:066?82aj3;>463:048212=:=9<1=864=424>43034o<6<;<;43734o26<;<;43734oi6<;7;43034om6<;7;43034;:m7?:0:?25g<6<<16=>70?>e;36<>;6:h0:9:5213`956e<588h6<=m;<31`?74k27:>h4>3c9~w1432909w0:<0;30=>;3:=0:n95rs513>5<5s4>8<7?m4:?76c<6;11v9<::181825<3;8563;2482f1=z{=8=6=4={<610?74i27?>;4>b59~w07b2908w0:=7;30=>;3:>0:?l5250g95g29;7>52z?762<6j=168?8512;8yv7513:1?v3;29827<=:<;21=>o4=00:>4d33ty?>54?:3y>07>=9k>019<9:01b?xu48m0;6>7t=50:>42234>9n7?;5:?041<6=:16=4951578941e289j70=>7;30e>;49k0:?l52336956g<5:996<=n;<100?73=27?<84>3`9>02g=9:k0199k:01b?83>13;8563:ag827d=:=k;1=9;4=4c7>42234?ii7?<9:?707<6<<1695?51578917b289j70;84;30e>;60h0:885231f95g2<5:o:6<;?;<72b?72827>>=4>519>174=9<20186n:01b?83?m3;8m63:3b821==:=:o1=864=436>45f34>m47?:0:?7bd<6=9169=;514:8906028?370;<2;30e>;b03;>?63ja;367>;bm3;>463i0;36<>;a;3;?=63i6;371>;a03;?963id;363>;am3;>;63>008204=:99>1=>o4=02`>45f34;:n7?:0:?25a<6=916=?=512c8944228>>70?=a;36<>;6:j0:?n5213g956e957>52z?76<<6j=168?851578yv2413:1??u243c9506<5=8i6<;?;<61g?72;27?>i4>529>5<5=9:k01<9;:01:?87>j3;8563h1=>o4=55`>42234>512;8912628?;70:;2;364>;3<:0:9>52427956?<5=9>6<=n;<62b?74127=97?<9:?2<3<6;016=59512;896bd289270=ke;30=>;4m90:9>523d39505<5<;m6<;<;<714?72;27>><4>3c9>174=9:h018<<:01a?836;3;8m63:158200=:=8?1=9;4=5d;>43434>m57?:3:?7bd<6=:168kl512`89062289i70;?6;30f>;28>0:?o52e68211=:m10:9952e88211=:mh0:9952ec827g=:ml0:?o52eg827g=:n90:?o5213c956d8=7>537y>07g=9<9019863;2e8211=:90>1=>o4=057>45f34;2n7?h512;89127289j70:;1;367>;3<;0:9>524519502<5=9:63`9>20<6;h16=58512c894>?289270?79;364>;60h0:9=523ea956g<5:nn6<=n;<1f4?72<278i<4>559>14`=9<>018m4=41`>45e34?8h7?28??70:ia;360>;3nk0:?n52517956e<5<:=6<=l;<733?74k27n;7?:5:?f529>54d=9<901?63>1d827g=:9;k1=>m4}r61e?6=:r7?>l4>b59>070=9<:0q~:=b;296~;3:k0:n95243495059o7>52z?76f<6j=168?851468yv25l3:1>v3;2e82f1=:<;<1=8;4}r01b?6=;r79>;4>399>667=9:k01?=?:0`7?xu5:?0;6;u223495g2<5;8n6<::;<0e2?728279>>4>449>1`d=9:3018km:01b?xu5:10;6?u2223956?<5;836>?51c68975728937p}=2683>7}::::1ho5223595g252z?16=<6;016>?751c68yv45i3:1>v3=29827d=::;k1=o:4}r0g1?6=ir79>o4>389>67e=9:301?74=3g0>45>348no7?<9:?1a`<6;016>k8512;8yv4cl3:1mv3=2c827d=::;i1=>o4=30g>45f348oh7?m4:?1a7<6;h16>h=512c897cc289270;5n?0:?l52231956?59z?16g<6<<16>?m51578974c28>>70;5mj0:?l522df956g<5;on6<::;<0e2?73=279>>4>3`9~w72f290:jv3=2c8215=::;o1=>74=304>45>348=;7?<9:?1`<<6;h16>i8512;897c3289270;5mo0:?4522d:956?<5;389>6=`=9:301?78:01:?84>n3;8563=a6827<=::hl1=>74=3`4>45>348ij7?<9:?1g2<6;016>:h512;897`4289270<=0;30=>;5ko0:?45224;956?<5;<:6<=6;<056?7412798l4>b59>605=9:301?;<:01b?xu5:k0;6?u223`95g2<5;8j6<=6;|q115<728np1?o4=3f:>422348o:7?ik512c897ca289j70;5>o0:?l52265956g<5;2<6<=n;<0;b?74i2795:4>3`9>6<`=9:k01?o8:01b?84fn3;8m63=b6827d=::kl1=>o4=3a4>45f348?>512c897ea289j70<:9;30e>;5>80:?l52270956g<5;?;6?m51c68974f289j7p}=f383>41|5;8o6<;?;<01a?728279::4>449>6a?=9<:01?k;:066?84bn3;?963=6g8200=::>=1=9;4=3:4>4223483j7?;5:?1=2<6<<16>4h5157897g028>>70;5j>0:88522cd9513<5;i<6<::;<04b?73=279j?4>b59>6f`=9=?01?;6:066?84193;?963=638200=z{;8o6=4={<01`?7e<279>l4>449~w74b2909w0<=e;3a0>;5:h0:9=5rs34a>5<4s48=:7?<8:?12a<6;h16>;m51c68yv41>3:1jv3=6782f1=::>81=>74=35b>45>3483m7?<9:?14<512;897?f289270;5ih0:?4522c0956?<5;hj6<=6;<0`6?741279ol4>389>6=4=9:301?j=:01:?xu5>10;6?u227f956?<5;<36;j51c68970d28937p}=6683>7}::?i1ho5227595g252z?12=<6;016>;751c68yv41i3:1>v3=69827d=::?k1=o:4}r0ge?6=;r79h84>399>6ae=9:k01?jm:0`7?xu5l>0;6?u22ea956?<5;n<6im51c6897be28937p}=d783>7}::mh1ho522e495g252z?1`2<6;016>i651c68yv4c13:1>v3=d6827d=::m31=o:4}r0f1?6=:r79hi4>399>6`0=9k>0q~52z?1a3b59~w7c72909w0;5m90:n95rs3g2>5<5s48oj7?3`9~w7c32909w0;5m80:885rs3d3>5<5s48n;7?<8:?1b4<6j=1v?k6:18184a93;8463=e882f1=z{;o36=4={<0e5?be348n47?m4:p6`g=838p1?k6:01:?84bi3;i86s|2d`94?4|5;o26<=n;<0ff?7e<2wx>hm50;0x97cd28h?70{t:ln1<74d3348nn7?:=50;1x970b289370<85;30e>;5?=0:n95rs34f>5<3s48=i7?m4:?13d<6;k16>5<512`897b5289i7p}=7183>7}::>?1=>74=353>4d33ty9;84?:3y>623=9k>01?9;:01;?xu5>o0;6?u22669`g=::?l1=o:4}r045?6=:r79;=4>389>627=9k>0q~<82;296~;5?90:?l5226095g25dz?137<6;h16>:o512c897>128h?70<62;30e>;51h0:?l522`0956g<5;kj6<=n;<0a6?74i279nl4>3`9>6f4=9:k01?mn:01b?84?:3;8m63=d3827d=z{;2n6=4l{<046?73=279;l4>449>6=c=9k>01?7n:066?84f:3;?963=a`8200=::k81=9;4=3`b>422348h>7?;5:?1gd<6<<16>5<5157897b528>>7p}=9783>g}::>81=8>4=35b>4373482:7?m4:?1e7<6=916>lo5142897d528?;70;5k;0:9=522bc9506<5;296<;?;<0g6?7282wx>4k50;cx971528?870<8a;367>;51l0:n9522`c9505<5;h96<;<;<0ae?72;279o?4>529>6fg=9<901?6=:070?84c:3;>?6s|2`494??|5;=96<;;;<04e?72<279m;4>b59>6g4=9<>01?ln:077?84d:3;>863=c`8211=::181=8:4=3f1>4333ty9mh4?:9y>624=94323483>7?:5:?1`7<6=<1v?l9:184840:3;>:63=7`8213=::k<1=o:4=3a1>431348hm7?:6:?1<7<6=?16>i<51448yv4em3:1:v3=738212=::>k1=894=3`f>4d3348hm7?:7:?1<7<6=>16>i<51458yv4d>3:19v3=73821==::>k1=864=3a5>4d33483>7?:8:?1`7<6=11v?9m:180840>3;8463=7e827d=::>i1=o:4}r042?6=;r79;;4>b59>6=4=9:i01?j=:01`?xu5?10;6?u226f956?<5;=36:j51c68971d28937p}=7683>7}::>i1ho5226595g252z?13=<6;016>:751c68yv40i3:1>v3=79827d=::>k1=o:4}r0;f?6=;r794;4>399>6=b=9:k01?6l:0`7?xu5010;6?u229f956?<5;2365j51c6897>d28937p}=8683>7}::1i1ho5229595g252z?1<=<6;016>5751c68yv4?i3:1>v3=89827d=::1k1=o:4}r0:7?6=;r794h4>399>6<3=9:k01?7;:0`7?xu5190;6?u2287956?<5;3;64;51c6897?328937p}=8g83>7}::0>1ho5229d95g252z?1=5<6;016>4?51c68yv4>:3:1>v3=91827d=::081=o:4}r0:f?6=;r795;4>399>64j51c6897?d28937p}=9683>7}::0i1ho5228595g252z?1==<6;016>4751c68yv4>i3:1>v3=99827d=::0k1=o:4}r0b7?6=;r795h4>399>6d3=9:k01?o;:0`7?xu5i90;6?u22`7956?<5;k;6l;51c6897g328937p}=9g83>7}::h>1ho5228d95g252z?1e5<6;016>l?51c68yv4f:3:1>v3=a1827d=::h81=o:4}r0bf?6=;r79m;4>399>6db=9:k01?ol:0`7?xu5i10;6?u22`f956?<5;k36lj51c6897gd28937p}=a683>7}::hi1ho522`595g252z?1e=<6;016>l751c68yv4fi3:1>v3=a9827d=::hk1=o:4}r0a7?6=;r79mh4>399>6g3=9:k01?l;:0`7?xu5j90;6?u22c7956?<5;h;6o;51c6897d328937p}=ag83>7}::k>1ho522`d95g252z?1f5<6;016>o?51c68yv4e:3:1>v3=b1827d=::k81=o:4}r0af?6=;r79n;4>399>6gb=9:k01?ll:0`7?xu5j10;6?u22cf956?<5;h36oj51c6897dd28937p}=b683>7}::ki1ho522c595g252z?1f=<6;016>o751c68yv4ei3:1>v3=b9827d=::kk1=o:4}r0`7?6=;r79nh4>399>6f3=9:k01?m;:0`7?xu5k90;6?u22b7956?<5;i;6n;51c6897e328937p}=bg83>7}::j>1ho522cd95g252z?1g5<6;016>n?51c68yv4d:3:1>v3=c1827d=::j81=o:4}r0`f?6=;r79o;4>399>6fb=9:k01?ml:0`7?xu5k10;6?u22bf956?<5;i36nj51c6897ed28937p}=c683>7}::ji1ho522b595g252z?1g=<6;016>n751c68yv4di3:1>v3=c9827d=::jk1=o:4}r133?6=;r79jk4>399>75d=9:k01>>7:0`7?xu5no0;6>9t=3de>4d3349;?7?:4:?041<6==16?=851458967?28>>70==8;363>;3ih0:88524``9501<5=kh6<;8;<7a4?72827>n<4>519>1d5=9<:018o;:073?83ek3;8563:818215=:=1;1=8>4=503>42234?o;7?<9:?6`=<6;0169??512f89045289o70;=3;30`>;20k0:?45259a9513<5;;86<=n;<021?72827>?n4>3b9>16b=9:i018=j:01`?82>m3;8563:108215=:j4=426>45c34?;:7?512f89c1=9<:01k6514289c?=9<201ko514:89cb=9<201kk514:8947728>>70?>e;30g>;6:=0:9=521379506<588j6<=k;<31f?74l27:>n4>3e9>57b=9:n01<<5::=6{t;9:1<745>349;<7?m4:p75d=838p1>>m:0`7?85703;846s|31;94?4|5::j6<=6;<13=?7e<2wx?=o50;0x966f28h?70=?8;02a>{t;9;1<745>349;=7?m4:p754=838p1>>?:01b?857:3;i86s|31194?4|5::86{t;8l1<7?7{<132?720278=k4>b59>773=9:k01><9:066?855?3;?963<29821==:;:;1=9;4=211>4223498?7?:0:?071<6=916?>;5142891g>28>>70:nb;36<>;3ij0:9552f28207=:n?0:9=52f8827g=:nh0:?o52fe827g=:nl0:?o52fg8207=:99?1=>o4=025>42234;;;7?;1:p056=83;hw0=?6;30f>;4:<0:88523349506<5:8<6<;?;<11519>764=9<:01>=<:070?854<3;>?63<348216=:<9:1=o:4=526>42234>j57?:0:?7eg<6;k168lm512`8907428>>70;>4;364>;29<0:9=52f78216=:n00:?n52f`827f=:nm0:?n52fd827f=:99:1=9<4=022>42534;;97?;5:?243<6=916==651538yv0?2909iv3<07827f=:90?1=9;4=0;5>43734;2;7?:0:?23=<6;016=:7512;894?a289270?n0;30=>;4:10:?n523g5956?<5:l<6<=n;<64f?73=27?;n4>519>02b=9<:019om:01`?82fk3;8o63;418200=:<8h1=>74=53a>45f34;347?;4m80:98525019506<5<;?6<;<;<721?72;27=47?m4:?712<6;016i:4>579>a=<6=?16i44>579>ad<6=?16io4>3d9>a`<6;l16ik4>3d9>b5<6;l16j44>3e9>bd<6;m16ji4>3e9>b`<6;m16=?l512g8944d289n70?=d;30a>;6:l0:?h5rs0;;>5<5s4;3h7?<8:?2=<<6j=1v<6j:18187>13;8463>8d82f1=z{82m6=4={<3;a?74127:4k4>b59~w4?72909w0?7e;30e>;6190:n95rsd794?5|583:6<=6;<3:5?74i27n97?m4:p5<7=838p1<7>:0`7?87>83;856s|3ef94?5|58396<=6;<3:6?74i278hi4>b59~w4?52909w0?62;3a0>;6190:?l5rs0;0>5<5s4;2?7?m4:?2=5<6<<1v<7;:18187><3;i863>918215=z{83>6=4={<3:1?7e<27:5=4>529~w4?12909w0?66;3a0>;6190:995rs0;4>5<5s4;2;7?m4:?2=5<6=<1v?6<:180840m3;8463=84827d=::1>1=o:4}r04a?6=:r79;h4>b59>6a4=9:n0q~<70;296~;50<0:?45229295g252z?1<0<6j=16>5:512:8yv40n3:1>v3=858gf>;5?o0:n95rs3:2>5<5s483<7?<9:?1<4<6j=1v?6=:18184?83;8m63=8382f1=z{;l<6=4={<0e6?740279j54>b59~w7`32909w0;5n=0:n95rs3d0>5<5s48m47jm;<0e7?7e<2wx>k;50;0x97`3289270{t:o<1<745f348m:7?m4:p1f>=838p18m=:01;?83d13;i86s|5b094?2|5i84>389>1a5=9:30q~;l3;296~;2k00:?5525b195g252z?6g6<6;0169n:51c68yv3d=3:1>v3:c2827d=:=j?1=o:4}r7gg?6=o;4>3`9>1f1=9:3018j<:01b?83ck3;i86s|5b494?4|57c8200=:9>i1=>74=23b>45>349:n7?;5:?6==<6;h16947512c890?f289270;6b;30=>;2i10:n9525`0956?<5nl4>3`9>1gd=9:k018ll:01b?83el3;8m63:bd827d=:=>n1=>74=4f6>45f34?o:7?>289270;7a;371>;20k0:?l5259a9506<5<2n6<::;<7``?74127?5l4>3`9>0l3;8m63;9d827d=:=::1=>74=411>42234;9=7?{t=0o1<7<:{<7`3?73=27:;o4>519>52e=9:k01>?m:073?83>?3;8563:9`827d=:=hn1=>74=4cf>45>34?jj7?;5:?6f5<6=:169o?5141890?b28h?70;n3;360>;2i=0:99525cc9513<5ni4>449>1gc=9=?0189k:01b?830m3;8563:7g827<=:=1:1=8=4=4:2>43434?357?d28?870;7e;364>;2km0:?l5248c9513<5=3i6<::;<6:g?73=27?5i4>449>0:01:?834:3;><6s|5b594?4|5{t9>n1<745?34;6=4={<34b?74027:;84>b59~w4132909w0?8f;fa?870<3;i86s|16494?4|58=>6<=6;<342?7e<2wx=:950;0x9412289j70?87;3a0>{t9>21<74d334;<;7?<9:p7`d=838p1<96:01b?85bj3;i86s|16;94?4|58=26?63>7b8200=:;8k1=>o4=4;4>45f34?247?;5:?6=<<6<<1694o5157890?e289j70;nd;30e>;2il0:?l525`d9506<5m?4>3`9>1d5=94=4``>43734?ih7?:0:?6f`<6=9169i;5157890b128>>70;k7;371>;2l10:885259;9513<5<2j6<;<;<7;f?72827>4n4>559>1=c=9<90197n:073?82>j3;><63;9b8215=:<0n1=8>4=5;f>43734?8<7?<51418944628>>70?=2;371>;6::0:9=521369502<588>6<;;;|q23g<72;q6=:l51c68941c28927p}:e383>4b|58=h6<;?;<12f?72;27>5o4>449>1db=9=?018oj:066?83fn3;>?63:be8216=:=ko1=8=4=4g1>4d334?;2l10:9=5259;9506<5<2j6<;;;<7;f?72;27>4n4>549>1=c=9<>0197n:070?82>j3;>?63;9b8216=:<0n1=8=4=5;f>43434?8>7?:4:?264<6=916=?<51428944428?87p}:7683>47|58=h6<;<;<7b7?72>27>m94>579>121=9k>0189k:066?830m3;?963:7g8200=:=1:1=8:4=4:2>43334?3o7?:6:?654<6=:168k851428906428>>70;<0;371>;2;80:88521369503<588>6<;:;|q23f<72;q6=:m51c68941c289j7p}>a083>7}:90k1=>64=0c1>4d33ty:5n4?:3y>5d4=9:201<7l:0`7?xu61k0;6?u21`09`g=:90h1=o:4}r3:`?6=:r7:5n4>389>50q~?6e;296~;61j0:?l5218g95g252z?2=c<6j=16=4k512;8yv27n3:1>v3>a1827d=:<9l1=o:4}r3b4?6=:r7:m=4>b59>553z?15c<6j=169ih512;890ba289j7p}=2083>7}::;?1=>64=302>4d33ty9>=4?:3y>673=lk16>?>51c68yv45:3:1>v3=20827<=::;81=o:4}r017?6=:r79><4>3`9>675=9k>0q~54z?1g`<6j=16>8751428970628?;70<92;364>{t:m:1<745?348o<7?m4:p6f`=838p1?j;:e`897ea28h?7p}=d083>7}::m:1=>74=3f2>4d33ty9h?4?:3y>6a6=9:k01?j=:0`7?xu49m0;6?u2301956><5:;n6;a?3;>?63i8;367>{t;8i1<745?349:o7?m4:p74?=839p1>?6:0`7?83fk3;8563:ab827d=z{:;?6=4={<12a?740278=94>b59~w6722909w0=>4;30=>;49<0:n95rs235>5<5s49:87??8:181856?3;i863<17827<=z{<2?6=4:{<12529>1=2=9k>01k9514689c>=9<>0q~=>8;296~;4910:n952304956g52z?05d<6j=16?v3<1c82f1=:;8i1=>o4}r11=?6=:r78=k4>399>77g=9k>0q~==0;296~;4:h0:?55233295g252z?065<6;016???51c68yv55:3:1>v3<21827d=:;;81=o:4}r117?6=:r78>>4>b59>774=9:30q~==4;296~;4:=0:n952330956g52z?060<6j=16??<51578yv55>3:1>v3<2782f1=:;;81=8>4}r113?6=:r78>:4>b59>774=9<90q~==8;296~;4:10:n952330950252z?06g<6;116?>951c68yv55j3:1iv3<2c82f1=:;:;1=8=4=211>4343498?7?:4:?071<6==16?>;514689001289j70h9:077?8`028?>70h7:076?8`>289n70hn:01f?8`c289n70hj:01f?xu4:j0;6?u2325956><5:8h67}:;;i1=>o4=20f>4d33tym87>53z?06c<6;016??h512c89c2=9k>0q~==f;296~;4:o0:n95233g956?3`9>bf<6j=1v>=?:18185483;i863<2d827d=z{:9:6=4={<105?7e<278>h4>449~w6552909w0=<2;3a0>;4:l0:9=5rs210>5<5s498?7?m4:?06`<6=:1v>=;:181854<3;i863<2d8211=z{:9>6=4={<101?7e<278>h4>549~w6`72909w0=jb;30<>;4n80:n95rs2gg>5<5s49m=7?<8:?0aa<6j=1v>kl:18185a93ni70=jc;3a0>{t;lo1<745>349ni7?m4:p7``=838p1>kk:01b?85bn3;i86s|40494?1|5:oh6<=6;<1fg?74i27?==4>389>046=9:k019?9:0`7?822>3;8563;57827d=z{:lo6=4<{<1e6?740278jk4>3`9>7cc=9k>0q~=i2;290~;4n;0:n9523gc956g<5:li6<=n;<1ga?7282wx?k=50;0x96`a289270=i3;3a0>{t;ol1<74d3349mi7?<8:p7c2=838p1>h<:01:?85a<3;i86s|3g794?4|5:l86<=n;<1e1?7e<2wx?k850;0x96`128h?70=i5;30=>{t;o=1<74d3349m97?h7:01:?85a03;8m63>0`82f1=z{:l36=4={<1e449~w4752908w0=i9;30=>;4n00:?l5210095g252z?0b<<6j=16?k;51428yv5ai3:1>v3b59>7c3=9<>0q~=ic;296~;4nj0:n9523g79503;o7>53z?745<6;1168=k512c8916c28h?7p};0c83>7}:<9<1=>64=52a>4d33ty?<;4?:2y>050=9k>019?l:01:?826k3;8m6s|41394?4|5=:n6<=6;<635?7e<2wx8=k50;0x916b28h?70:?d;30<>{t<981<745>34>;>7?m4:p055=838p19>>:01b?827;3;i86s|50094?5|5=:?6<=6;<630?74i27>=?4>b59~w1632909w0:?4;3a0>;38:0:?45rs526>5<5s4>;97?m4:?746<6;h1v9>8:181827?3;i863;0c827<=z{=:36=4={<633`9~w16>2909w0:?9;3a0>;38k0:885rs52b>5<5s4>;m7?m4:?74g<6=91v9?;:181827n3;8463;1482f1=z{=;:6=4={<621?74027?=<4>b59~w1772909w0:>5;fa?82683;i86s|40094?4|5=;:6<=6;<626?7e<2wx8<=50;0x9176289j70:>3;3a0>{t<>o1<7:t=555>45?34>3<7?<8:?7<4<6;h168:h51c68yv2?83:19v3;8182f1=:<>k1=9;4=55a>43734>399~w11?2909w0:87;30=>;3?10:n95rs55:>5<5s4><;7?6=4n{<64f?72;27?;n4>559>02b=9<>019=i:01b?824>3;8m63o4=430>43434?:87?:4:?650<6==1v<89:1824~;3?k0:995246a9503<5==o6<;:;<352?7e<27::o4>519>53e=9<>01<8k:077?871m3;>863>6g8211=:43334>ii7?:4:?7fc<6==169<=51468907328?>70;>5;361>{t<>h1<74d334><57?;3j90:n95rs5`2>5<0s4>i=7?m4:?7e<<6=:168lo5146891ge289o70:nc;30`>;68<0:9=521149505ji7>52z?7ea<6;1168lk51c68yv2fl3:1?v3;ae82f1=:99h1=>74=02a>45f3ty?m84?:3y>0g6=9:2019o::0`7?xu3i?0;6?u24`7956?<5=k=60283>6}:74=5c;>45f34;;?7?m4:p0d>=838p19o7:0`7?82f?3;856s|4`;94?4|5=k26{t4d334>j;7?:0:p0de=838p19ol:0`7?82f?3;>?6s|48294?2|5=236<=7;<6;3?74027?5?4>3`9>0<7=9k>0q~:77;290~;30>0:n95249a956?<5=2o6<=6;<645?7412wx85h50;0x91>b289370:7f;3a0>{t<1o1<7=t=5:f>4d334?:h7?<9:?65a<6;h1v966:18182>:3;8563;8882f1=z{=396=4={<6:6?7e<27?5<4>399~w1>f2909w0:79;30=>;30h0:n95rs5:a>5<5s4>357?74=375>4d3348>57?:3:?124<6=:16>;<514189117289j70:81;30e>{t:42234>3h7?;5:?78751468973c28h?70<91;360>;5>;0:99524629513<5==:6<::;|q7e28927p}=f883>6}:<1n1=8>4=37:>432348m57?m4:p0=b=838p196k:0`7?82?j3;8m6s|58a94?4|5<3:6<=7;<7:`?7e<2wx94?50;3483>93;i863>d8827d=:9mk1=>74=0g3>45f34;n=7?<9:?2a2<6;h16=h6512;894cb289j70?jf;30=>;6n<0:?l521g4956?<58lh6<=n;<3e`?741279<>4>3`9>652=9:301?>n:01b?847j3;8m63=15827d=::8?1=8=4=33a>45f348:o7?:3:1>v3:9e827==:=081=o:4}r7:7?6=:r7>5?4>389>1<5=9k>0q~;64;296~;21;0:?l5258695g253z?6=0<6;01694;512c8944728h?7p}:9483>7}:=0?1=o:4=4;7>45>3ty>h94?:2y>1<0=9:301879:01b?83c<3;i86s|58494?4|5<3=6{t=021<74d334?287?:0:p1<3;>?6s|58c94?4|5<3j6{t=k81<7=t=4c;>45?34?i87?n>4>399~w0gf2909w0;n9;30=>;2ih0:n95rs4ca>5<5s4?j57?;h4>519>1a6=9k>018j8:070?83?j3;>863;9`8211=:<0i1=8:4=002>43434;9>7?:3:p1db=838p18ok:0`7?83fj3;8m6s|56394??|5;<4>b59>1a3=9<9018j9:070?83c03;>?63;9c8211=:<0n1=8:4=5;f>4333ty>mh4?:3y>1dc=9k>018om:066?xu2io0;6?u25`d95g2<551c6890ge28?87p}:b083>7}:=k;1=o:4=4ca>4333ty>m84?:2y>13;i86s|58d94?4|5{t=h:1<745>34?j<7?m4:p1d7=838p187i:01b?83f93;i86s|5`094?4|5{t=h>1<74d334?j=7?;5:p1g`=839p18l::01;?83d93;8m63:c182f1=z{n;4>b59~w0e62909w0;l1;3a0>;2k90:?55rs4`4>5<5s4?i:7?<9:?6f2<6j=1v8l7:18183e>3;8m63:b982f1=z{n54>389~w0df2909w0;ma;3a0>;2j10:?l5rs4`a>5<5s4?in7?m4:?6f=<6<<1v8ll:18183ek3;i863:b98215=z{n54>529~w0db2909w0;me;3a0>;2j10:995rs2`e>5<5s49i47?<8:?0g5<6j=1v>l6:18185d83;8463b59~w6de2909w0=m9;30e>;4jk0:n95rs2``>5<5s49io7?m4:?0fg<6;01v>lk:18185el3;i863b59>536=9=?018h51578963128?87p}7}:;ko1=o:4=2`a>4223ty8ml4?:3y>7d2=9:201>om:0`7?xu4i<0;6?u23``956><5:k>67}:;h?1=>o4=2c4>4d33ty8m54?:3y>7d>=9k>01>o8:01:?xu4i00;6?u23`;95g2<5:k<6<=n;|q0f0<72:q6?lm512:896d0289j70=m6;3a0>{t;hn1<745>349jh7?m4:p7g1=838p1>l8:0`7?85e>3;846s|3`g94?4|5:ko6<=6;<1ba?7e<2wx?lh50;0x96gc289j70=nf;3a0>{t;k:1<74d3349jj7?<9:p7=e=83?p1>l>:070?87193;><63>6b8210=:9?n1=8;4=2:`>4d33ty8n<4?:3y>7g7=9k>01>oi:01b?xu4;10;68u23c09505<58<96<;?;<35a?72=278?54>b59>0gb=9579~w6d52909w0=m2;3a0>;4io0:885rs267>5<2s49i?7?:3:?226<6=916=;h51478962328h?70:me;361>{t;=k1<7;t=2`0>43334;=?7?:3:?22c<6=?16?9o51c6891db28?=7p}7}:;k91=o:4=2ce>4373ty8o<4?:4y>7g2=9<901>m>:0`7?823m3;><63;bb8210=:559>7f1=9k>019:j:070?82ek3;>:63;bg8213=z{:h?6=4={<1a0?7e<278mk4>529~w0c12909w0;j2;30<>;2m>0:n95rs4g0>5<5s4?n;7?<8:?6a6<6j=1v8k;:18183b;3;8563:e582f1=z{6=4={<7f7?74i27>i84>b59~w0c?2909w0;j5;30e>;2m10:n95rs567>5<4s4>857?<8:?703<6;h1689;51c68yv24i3:1>v3;47827<=:<:k1=o:4}r672?6=:r7?8;4>b59>013=9:20q~:8o7>52z?77d<6;h168>m51c68yv2a?3:1?v3;3e827<=:<:n1=>o4=5d4>4d33ty??i4?:3y>06b=9k>019=l:01:?xu28=0;6>u242g956?<5=9n6<=n;<730?7e<2wx8>k50;0x915b28h?70:{t<:l1<74d334>8o7?;5:p016=838p19:?:0`7?824k3;><6s|45394?4|5=>:6{t<=91<74d334>8o7?:5:p736=839p1>;6:01;?851:3;8m63<6082f1=z{:?j6=4={<156?7412789l4>b59~w6052909w0=92;3a0>;4>80:?55rs27a>5<5s49>m7?<9:?01g<6j=1v>;l:181852i3;8m63<5b82f1=z{:396=4m{<16`?74i2789h4>559>73?=9:301>9=:01:?850j3;8m63<7b8215=:;1?1=>o4=2:5>4343492>7?m4:?0=g<6;016?4l512c8yv5>03:15v3<5e8200=:;45f349<>7?228>>70=77;367>;4110:n95rs27g>5<5s49>h7?m4:?01f<6;01v>;j:181852m3;i863<5b827d=z{:?m6=4={<16b?7e<2789n4>449~w60f2909w0=93;30<>;4>k0:n95rs247>5<5s49=n7?<8:?021<6j=1v>8::181851<3;8563<6482f1=z{:<=6=4={<150?74i278:;4>b59~w6002909w0=97;3a0>;4>?0:?45rs24;>5<5s49=47?m4:?023<6;h1v>86:18185113;i863<678200=z{<296=4={<743?74027>4>4>b59~w01?2909w0;73;30<>;2?10:n95rs45:>5<5s4?<47?<9:?63<<6j=1v89n:18183003;8m63:7`82f1=z{<8m6=4<{<74f?74127>;o4>3`9>17`=9k>0q~;8b;296~;2?k0:n95256c956?53z?63f<6;0169:m512c890>c28h?7p}:7b83>7}:=>i1=o:4=45b>45f3ty>;i4?:3y>12b=9k>0189n:066?xu2?l0;6?u256g95g2<5<=j6<;?;|q63c<72;q69:h51c68901f28?87p}:8183>7}:=1:1=o:4=45b>4333ty>4<4?:3y>1=7=9k>0189n:076?xu4?<0;6?u237a956><5:==67}:;?n1=>74=24f>4d33ty8:k4?:3y>73b=9:k01>8i:0`7?xu4?90;6?u236295g2<5:7}:;>81=o:4=24e>4223ty8;>4?:3y>725=9k>01>8i:073?xu4?=0;6?u236695g2<5:?512:8915?28h?7p};3383>7}:<:21=>64=511>4d33ty??>4?:3y>064=9:3019=<:0`7?xu3;=0;6?u2420956g<5=9?6;51c68915328927p};3783>7}:<:<1=o:4=517>45f3ty8;h4?:2y>721=9:201>6?:01b?850n3;i86s|36:94?4|5:2;6<=6;<1450;0x96>728h?70=8f;30<>{t;>31<745>349<57?m4:p72g=838p1>97:01b?850i3;i86s|36`94?4|5:=i6{t;>n1<74d33496>:01;?85?j3;8m63<8`82f1=z{:296=4={<1;f?7412784?4>b59~w6>e2909w0=7b;3a0>;40h0:?55rs2:0>5<5s493>7?<9:?0<6<6j=1v>6;:18185?:3;8m63<8582f1=z{:2>6=4={<1;1?7e<278494>389~w6>12909w0=76;3a0>;40=0:?l5rs2:4>5<5s493;7?m4:?0<1<6<<1v>67:18185?03;i863<858215=z{;?j6=4<{<062?7402799n4>3`9>60d=9k>0q~<:7;296~;5=j0:?45224595g2o7>52z?11f<6j=16>8l512:8yv4203:1>v3=56827<=::<21=o:4}r06=?6=:r799:4>3`9>60?=9k>0q~<93;297~;5=m0:?552277956g<5;;;512;8973b28h?7p}=6483>7}::??1=o:4=347>45?3ty99k4?:3y>60c=9:301?;i:0`7?xu5>90;6?u224g956g<5;<;6;?51c68970728927p}=6383>7}::?81=o:4=343>45f3ty8i44?:3y>7`3=9:201>kn:0`7?xu4m?0;6?u23dc956><5:o=67}:;l<1=>o4=2g;>4d33ty8m?4?:3y>7o<:0`7?xu41o0;6?u23`1956><5:3m67}:;0l1=>o4=2c2>4d33ty:4=4?:2y>7d7=9:301>o>:01b?87?83;i86s|43394?4|5=;=6<=7;<616?7e<2wx8<950;0x9145289370:>7;3a0>{t<821<745>34>:47?m4:p04?=838p19?8:01b?82613;i86s|40c94?4|5=;j69;30e>{t<8i1<74d334>:57?;5:pe=<72:q6828?87p};1g83>7}:<8l1=o:4=53:>4333ty?>=4?:3y>076=9k>019?6:076?xu6>=0;6?u214c956><58<>65b83>7}:974=07`>4d33ty:9i4?:3y>50d=9:k01<;k:0`7?xu3u214g956?<58?n6<=n;<67`?7e<2wx=8k50;0x943b28h?70?:d;30=>{t94d334;>h7?{t9?91<74d334;>h7?:4:p526=839p1<89:01;?870:3;8m63>7082f1=z{8<<6=4={<346?74127:::4>b59~w4152909w0?82;3a0>;6?80:?55rs04;>5<5s4;=;7?<9:?22=<6j=1v<86:181871?3;8m63>6882f1=z{=hi6=4<{<35e?74127::l4>3`9>0gd=9k>0q~?9a;296~;6>h0:n95217;956?52z?22g<6j=16=;7512c8yv71k3:1>v3>6b82f1=:9?31=9;4}r35`?6=:r7::i4>b59>53?=9<:0q~?9e;296~;6>l0:n95217;950552z?22c<6j=16=;751468yv5>>3:1>v3<93827==:;0=1=o:4}r1:7?6=:r785:4>399>7<5=9k>0q~=64;296~;41:0:?45238695g252z?0=6<6;h16?4;51c68yv30=3:1>v3:70827==:=><1=o:4}r746?6=:r7>;;4>399>124=9k>0q~;83;296~;2?;0:?45256195g252z?637<6;h169::51c68yv3ci3:1>v3:d1827==:=mh1=o:4}r7g=?6=:r7>h94>399>1a?=9k>0q~;k1;296~;2lk0:?5525e395g27>52z?6`4<6;0169i<51c68yv3c;3:1>v3:d0827d=:=m91=o:4}r7g1?6=:r7>h84>b59>1a?=9:30q~;k6;296~;2l?0:n9525e;956g52z?6`2<6j=169i751578yv3c03:1>v3:d982f1=:=m31=8>4}r7f4?6=:r7>hn4>399>1`7=9k>0q~;kd;296~;2m80:?5525ef95g252z?6`a<6;0169ik51c68yv3cn3:1>v3:de827d=:=ml1=o:4}r07a?6=:r798l4>399>61`=9k>0q~<;b;296~;552z?10g<6;016>9m51c68yv43l3:1>v3=4c827d=::=n1=o:4}r0e`?6=:r79j44>399>6cc=9k>0q~52z?1bd<6;016>kl51c68yv4ak3:1>v3=f`827d=::oi1=o:4}r17399>71?=9k>0q~=;5;296~;4<00:?55235795g252z?000<6;016?9851c68yv53?3:1>v3<44827d=:;==1=o:4}r17a?6=:r788l4>399>71`=9k>0q~=;b;296~;452z?00g<6;016?9m51c68yv53l3:1>v3<4c827d=:;=n1=o:4}r1:4?6=:r784n4>399>7<7=9k>0q~=7d;296~;4180:?55239f95g252z?0v3<8e827d=:;1l1=o:4}r1:g?6=:r78554>399>70q~=69;296~;41m0:?55238;95g252z?0=<<6;016?4o51c68yv5>j3:1>v3<98827d=:;0h1=o:4}r1g5?6=:r78oi4>399>7a4=9k>0q~=le;296~;4l;0:?5523bg95g252z?0g`<6;016?nh51c68yv5c83:1>v34>399>7a>=9k>0q~=k4;296~;4l10:?5523e695g252z?0`1<6;016?i;51c68yv5c>3:1>v35<5s4?j6<=7;<42>4d33ty>m7>52z?6e?7e<27?8n4>389~w0d=838p1;?512:890d=9k>0q~;l:18183e289270;l:0`7?xu2l3:1>v3:b;30e>;2l3;i86s|5d83>7}:=l0:n9525e827<=z{o4}r45>5<5s4<96<=7;<44>4d33ty=>7>52z?56?7e<27?8n4>3`9~w35=838p1;9512:8935=9k>0q~8;:1818042892708;:0`7?xu1=3:1>v393;30e>;1=3;i86s|19`94?4|582;6<=7;<3;g?7e<2wx=5?50;0x94>d289370?71;3a0>{t9181<745>34;3>7?m4:p5=5=838p1<6>:01b?87?;3;i86s|10;94?5|582?6<=6;<3;0?74i27:=44>b59~w4>32909w0?74;3a0>;60:0:?45rs41a>5<4s4;397?<9:?2<0<6;h169>l51c68yv7?=3:1>v3>8482f1=:9191=>o4}r3;2?6=:r7:4;4>b59>5=5=9=?0q~?77;296~;60>0:n952191950652z?2<=<6j=16=5=51418yv7?13:1>v3>8882f1=:9191=8:4}r3;e?6=:r7:4l4>b59>5=5=9=7>52z?110<6;116>8?51c68yv42:3:1>v3=50827<=::<81=o:4}r067?6=:r799<4>3`9>605=9k>0q~=>1;296~;48m0:?55230095g252z?057<6;116?=k51c68yv57n3:1>v3<0d827<=:;9l1=o:4}r124?6=:r78

3`9>746=9k>0q~=52z?07a<6;116?>751c68yv54i3:1>v3<38827<=:;:k1=o:4}r10f?6=:r78?44>3`9>76d=9k>0q~=;2;296~;4;l0:?55235195g252z?006<6;116?>h51c68yv5383:1>v3<3g827<=:;=:1=o:4}r175?6=:r78?k4>3`9>717=9k>0q~=:7;296~;4=90:?55234:95g2<7>52z?015<6j=168i<512c8yv5293:1>v3<59827==:;<;1=o:4}r166?6=:r789<4>389>704=9k>0q~=:3;296~;4=80:?l5234195g287>52z?011<6j=16?8=512;8yv52=3:1>v3<5482f1=:;<91=>o4}r162?6=:r789;4>b59>705=9=?0q~=l5;296~;4k80:?5523b495g27>52z?0g3<6;116?n<51c68yv5d;3:1>v33`9>7f2=9k>0q~=lb;296~;4k>0:?5523ba95g252z?0gf<6;116?n651c68yv5d13:1>v33`9>7fg=9k>0q~=j3;296~;4l00:?5523d695g252z?0`<<6j=16889512c8yv5b:3:1>v3399>7ag=9k>0q~=kb;296~;4lh0:?4523e`95g252z?0`d<6;h16?im51c68yv5cm3:1>v374}r1gb?6=:r78hk4>b59>7`4=9:k0q~=j0;296~;4m90:n9523d0951352z?0a4<6j=16?h<51428yv35=3:1>v3:1`827==:=;<1=o:4}r72e?6=;r7>=l4>b59>5d`=9:301<5<8?67}:=8h1=>74=43`>4d33ty>=i4?:3y>14d=9:k018?k:0`7?xu29o0;6?u250d95g2<5<8?6<=6;|q665<72;q69?>51c689043289j7p}:2083>7}:=;;1=o:4=407>4223ty>>?4?:3y>174=9k>018<;:073?xu2::0;6?u253195g2<5<8?6<;<;|q67}:=1n1=>64=4:f>4d33ty>484?:3y>1<6=9:20186::0`7?xu20?0;6?u2597956?<5<2=6028h?7p};9883>6}:=121=>74=4:;>45f34>257?m4:p1=>=838p1867:0`7?83??3;856s|59;94?4|5<226f28h?70;77;371>{t=1h1<74d334?3;7?:0:p1=e=838p186l:0`7?83??3;>?6s|5bg94?4|50;30=>;2990:?l5rs4aa>5<5s4?hj7?<8:?6gg<6j=1v8ml:18183dj3;8563:cb82f1=z{oi4>b59~w0cd2909w0;j8;30<>;2mm0:n95rs4g:>5<5s4?nh7?<8:?6a<<6j=1v8kn:18183b13;8563:e`82f1=z{io4>b59~w4be2909w0?k5;30<>;6lk0:n95rs0f5>5<5s4;on7?<8:?2`3<6j=1v3;8563>d682f1=z{8n36=4={<3g2?74i27:h54>b59~w4b>2909w0?k9;3a0>;6l10:?45rs0fb>5<5s4;om7?m4:?2`=<6;h1ve382f1=z{8no6=4={<3f6?74027:hi4>b59~w4bb2909w0?kd;30=>;6ll0:n95rs0fe>5<5s4;oh7?dg827<=z{8o:6=4={<3f5?7e<27:hk4>3`9~w4c>2909w0?j3;30<>;6m00:n95rs0g7>5<5s4;n57?<8:?2a1<6j=1ve482f1=z{8o=6=4={<3f0?74i27:i;4>b59~w4c02909w0?j7;3a0>;6m?0:?45rs0g;>5<5s4;n47?m4:?2a3<6;h1vf182f1=z{8oi6=4={<3e4?74027:io4>b59~w4cd2909w0?jb;30=>;6mj0:n95rs0gg>5<5s4;nn7?ee827<=z{8om6=4={<3fb?7e<27:ii4>3`9~w4`02909w0?i1;30<>;6n>0:n95rs0d1>5<5s4;m;7?<8:?2b7<6j=1vf282f1=z{8l?6=4={<3e6?74i27:j94>b59~w4`22909w0?i5;3a0>;6n=0:?45rs0d5>5<5s4;m:7?m4:?2b1<6;h1vfd82f1=z{8l26=4={<3ea?74027:j44>b59~w4`f2909w0?i9;30=>;6nh0:n95rs0da>5<5s4;m57?fc827<=z{8lo6=4={<3e`?7e<27:jo4>3`9~w7622909w0?if;30<>;58<0:n95rs323>5<5s48;97?<8:?145<6j=1v?>>:18184783;8563=0082f1=z{;:96=4={<034?74i279b59~w7642909w0;58;0:?45rs327>5<5s48;87?m4:?147<6;h1v?>l:181847>3;8463=0b82f1=z{;:<6=4={<03g?740279<:4>b59~w76?2909w0;5810:n95rs32:>5<5s48;;7?n:181847i3;i863=08827<=z{;:i6=4={<03f?7e<279<44>3`9~w7712909w0;59?0:n95rs32f>5<5s48::7?<8:?14`<6j=1v?>i:181847m3;8563=0g82f1=z{;;;6=4={<03a?74i279==4>b59~w7762909w0<>1;3a0>;5990:?45rs331>5<5s48:>7?m4:?155<6;h1v??<:181846;3;i863=118200=z{;;?6=4={<020?7e<279==4>519~w7722909w0<>5;3a0>;5990:9>5rs33g>5<5s48:;7?<8:?15a<6j=1v??7:181846l3;8463=1982f1=z{;;26=4={<02b59~w77f2909w0<>8;30e>;59h0:n95rs33a>5<5s48:n7?m4:?15d<6;01v??l:181846k3;i863=1`827d=z{=n86=4={<6`b?74027?h>4>b59~w1b72909w0:k3;30<>;3l90:n95rs5f2>5<5s4>o<7?<9:?7`4<6j=1v9j=:18182c83;8m63;d382f1=z{=l;6=4={<6fe?74027?j=4>b59~w1ca2909w0:je;30<>;3mo0:n95rs5gf>5<4s4>ni7?m4:?7==<6;016846512c8yv2bj3:1>v3;f1827==:389>0`e=9k>0q~:jd;296~;3mk0:?l524df95g252z?672<6;11699>51c68yv34n3:1>v3:3c827==:=:l1=o:4}r708=4>399>16>=9k>0q~;<9;296~;2;10:?45252;95g252z?67=<6;h169>o51c68yv34k3:1>v3:3b82f1=:=:l1=>74}r70`?6=:r7>?i4>b59>16`=9:k0q~;52z?626<6;1169;951c68yv31<3:1>v3:66827==:=?>1=o:4}r751?6=:r7>:94>389>133=9k>0q~;96;296~;2>=0:?l5257495g2?j7>52z?70<<6;11689h51c68yv23m3:1>v3;4e827==:<=o1=o:4}r67e?6=:r7?8k4>399>01g=9k>0q~:;b;296~;3?o7>52z?70d<6;h1689m51c68yv2f83:1>v3;94827==:399>0<`=9k>0q~:66;296~;3i80:?55248495g22;7>52z?7=3<6;01684951c68yv2>03:1>v3;97827d=:<021=o:4}r6:e?6=:r7?5l4>b59>0<`=9:30q~:6b;296~;31k0:n95248d956g2o7>52z?7=f<6j=1684h51578yv2>l3:1>v3;9e82f1=:<0l1=8>4}r6:a?6=:r7?5h4>b59>0<`=9<90q~:l1;296~;3j>0:?5524b095g2h<7>52z?7fg<6;1168n>51c68yv2e03:1>v3;c3827==:389>0g?=9k>0q~:ma;296~;3j10:?l524cc95g2io7>52z?7ff<6j=168n>512;8yv2el3:1>v3;be82f1=:o4}r6aa?6=:r7?nh4>b59>0f6=9=?0q~:mf;296~;3jo0:n9524b2950652z?64f<6;1169<951c68yv36>3:1>v3:13827==:=8<1=o:4}r73`?6=:r7>=:4>399>15b=9k>0q~;?e;296~;28m0:?45251g95g252z?64a<6;h169=h51c68yv3683:1>v3:1182f1=:=9l1=>74}r725?6=:r7>=<4>b59>15`=9:k0q~;>3;296~;29:0:n952504956?52z?651<6j=169<8512c8yv36=3:1>v3:1482f1=:=8<1=9;4}r3ba?6=:r7:m54>399>5dc=9k>0q~?na;296~;6i00:?5521`c95g252z?2ed<6;116=lh51c68yv7fj3:1>v3>ad827==:9hh1=o:4}r3bg?6=:r7:mo4>389>5de=9k>0q~?nd;296~;6ik0:?l521`f95g245?34km6ec<6;116m84>b59~wd0=838p1l;512;89d0=9k>0q~o8:1818g2289j70o8:0`7?xu6:>0;6>u2a6827<=:i>0:?l5213595g24d334kn6<=6;|qbe?6=:r7jm7?m4:?ba?74i2wxmo4?:3y>eg<6j=16mh4>449~wde=838p1lm51c689dc=9<:0q~ok:1818gc28h?70oj:070?xue?3:1>v3m3;30<>;e?3;i86s|b583>7}:j>0:?552b582f1=z{k?1<75<5s4h?6<=n;<`5>4d33ty?954?:2y>004=9:201;6512:8913>28h?7p};5283>7}:<<31=>64=570>4d33ty?994?:3y>005=9:3019;;:0`7?xu3=<0;6?u2441956g<5=?>67}:<<=1=o:4=576>45f3ty?;?4?:2y>03e=9:201n<512:8911428h?7p};6e83>7}:<>91=>64=54g>4d33ty?:h4?:3y>03b=9:30198j:0`7?xu3>o0;6?u247f956g<5=51c68910a28927p};7083>7}:<>;1=o:4=54e>45f3ty?on4?:3y>0f3=9:2019ml:0`7?xu3k?0;6?u24ba956><5=i=67}:o4=5a;>4d33ty?o44?:3y>0f?=9k>019m7:01:?xu3kh0;6?u24bc95g2<5=i36<=n;|q7gg<72;q68nl51c6891e?28>>7p};fe83>7}:64=5dg>4d33ty?jn4?:3y>0c1=9:2019hl:0`7?xu3n=0;6?u24gf956><5=l?67}:1=>o4=5d5>4d33ty?j54?:3y>0c>=9k>019hl:01:?xu3n00;6?u24g;95g2<5=lh6<=n;|q7bd<72;q68ko51c6891`d28>>7p};fc83>7}:4373ty><44?:3y>156=9:2018>6:0`7?xu2810;6?u2516956><5<:367}:=9;1=>74=421>4d33ty><>4?:3y>157=9:k018><:0`7?xu28<0;6?u251795g2<5<:36<=6;|q643<72;q69=851c68906?289j7p}:0683>7}:=9=1=o:4=42;>4223ty>?94?:3y>17?=9:2018=;:0`7?xu2;:0;6?u253d956><5<986:512:8904f28h?7p}:2c83>7}:=;k1=>74=40a>4d33ty>>n4?:3y>17g=9:k0187}:=::1=o:4=410>45>3ty>?<4?:3y>167=9k>018=<:01b?xu2;;0;6?u252095g2<5<986<::;|qg2?6=:r7o>7?<8:?g2?7e<2wxh>4?:3y>`3<6;116h>4>b59~wa2=838p1i=512;89a2=9k>0q~j::1818b4289j70j::0`7?xuc93:1>v3ld;30<>;c93;i86s|cd83>7}:l80:?552cd82f1=z{jl1<75<5s4in6<=n;4d33tyno7>52z?f1?74027no7?m4:paa<72:q6i;4>389>a3<6;h16ii4>b59~w`0=838p1h851c689`e=9:30q~k8:1818c028h?70kl:01b?xub03:1>v3j8;3a0>;bk3;?96s|e883>7}:m00:n952eb8215=z{lk1<75<5s4oi64333tym=7>52z?f`?74027m=7?m4:pa`<72;q6ih4>b59>b4<6;01vhh50;0x9``=9k>01k?512c8yv`72909w0h?:0`7?8`628>>7p}i3;296~;a:3;8463i3;3a0>{tn;0;6>u2f382f1=:n<0:?452f4827d=z{oh1<7<5oh1=o:4}rd6>5<5s4l>645>3tym:7>52z?e2?7e<27mn7?b59>bg<6<<1vk650;0x9c>=9k>01kl51428yv`>2909w0h6:0`7?8`e28?87p}ia;296~;ai3;i863ib;360>{t9981<7<58:9601<>=:01b?xuan3:1>v3if;3a0>;68;0:885rs023>5<5s4;;<7?m4:?247<6=91v<>>:18187793;i863>038216=z{8:26=4={<337?74027:<44>b59~w4632909w0??4;3a0>;6800:?45rs026>5<5s4;;97?m4:?24<<6;h1v<>9:181877>3;i863>088200=z{8:<6=4={<333?7e<27:<44>519~w46?2909w0??8;3a0>;6800:9>5rs032>5<5s4;;m7?<8:?254<6j=1v<>m:181877j3;i863>10827<=z{8:h6=4={<33g?7e<27:=<4>3`9~w46c2909w0??d;3a0>;6980:885rs02f>5<5s4;;i7?m4:?254<6=91v<>i:181877n3;i863>108216=z{8;;6=4={<324?7e<27:=<4>559~w47?2909w0?>2;30<>;6910:n95rs030>5<5s4;:?7?m4:?25=<6;01v19827d=z{8;>6=4={<321?7e<27:=54>449~w4712909w0?>6;3a0>;6910:9=5rs034>5<5s4;:;7?m4:?25=<6=:1v1g82f1=z{8;j6=4={<32e?7e<27:=k4>389~w47e2909w0?>b;3a0>;69o0:?l5rs03`>5<5s4;:o7?m4:?25c<6<<1v1g8215=z{8;n6=4={<32a?7e<27:=k4>529~w4412909w0?=0;30<>;6:?0:n95rs002>5<5s4;9=7?m4:?263<6;01v<<=:181875:3;i863>27827d=z{8886=4={<317?7e<27:>;4>449~w4432909w0?=4;3a0>;6:?0:9=5rs006>5<5s4;997?m4:?263<6=:1v<<7:181875?3;8463>2982f1=z{88m6=4={<31=?74027:>k4>b59~w44f2909w0?=a;3a0>;6:o0:?45rs00a>5<5s4;9n7?m4:?26c<6;h1v<2g8200=z{88o6=4={<31`?7e<27:>k4>519~w44b2909w0?=e;3a0>;6:o0:9>5r}o7g25<728qvb8j91;295~{i=m<96=4>{|l6`35=83;pqc;k6583>4}zf51zm1a01290:wp`:d7594?7|ug?o:54?:0y~j0b113:1=vsa5e4b>5<6std>h;l50;3xyk3c>j0;6{|l6`25=83;pqc;k7583>4}zf51zm1a11290:wp`:d6594?7|ug?o;54?:0y~j0b013:1=vsa5e5b>5<6std>h:l50;3xyk3c?j0;6l1<7?t}o7g<5<728qvb8j71;295~{i=m296=4>{|l6`=5=83;pqc;k8583>4}zf51zm1a>1290:wp`:d9594?7|ug?o454?:0y~j0b?13:1=vsa5e:b>5<6std>h5l50;3xyk3c0j0;6{|l6`<5=83;pqc;k9583>4}zf51zm1a?1290:wp`:d8594?7|ug?o554?:0y~j0b>13:1=vsa5e;b>5<6std>h4l50;3xyk3c1j0;6{|l6`d5=83;pqc;ka583>4}zf51zm1ag1290:wp`:d`594?7|ug?om54?:0y~j0bf13:1=vsa5ecb>5<6std>hll50;3xyk3cij0;6{|l6`g5=83;pqc;kb583>4}zf51zm1ad1290:wp`:dc594?7|ug?on54?:0y~j0be13:1=vsa5e`b>5<6std>hol50;3xyk3cjj0;6{|l6`f5=83;pqc;kc583>4}zf51zm1ae1290:wp`:db594?7|ug?oo54?:0y~j0bd13:1=vsa5eab>5<6std>hnl50;3xyk3ckj0;6{|l6`a5=83;pqc;kd583>4}zf51zm1ab1290:wp`:de594?7|ug?oh54?:0y~j0bc13:1=vsa5efb>5<6std>hil50;3xyk3clj0;6{|l6``5=83;pqc;ke583>4}zf51zm1ac1290:wp`:dd594?7|ug?oi54?:0y~j0bb13:1=vsa5egb>5<6std>hhl50;3xyk3cmj0;6{|l6`c5=83;pqc;kf583>4}zf51zm1a`1290:wp`:dg594?7|ug?oj54?:0y~j0ba13:1=vsa5edb>5<6std>hkl50;3xyk3cnj0;6{|l6a55=83;pqc;j0583>4}zf51zm1`61290:wp`:e1594?7|ug?n<54?:0y~j0c713:1=vsa5d2b>5<6std>i=l50;3xyk3b8j0;6j:182xh2m9l1<7?t}o7f55<728qvb8k>1;295~{i=l;96=4>{|l6a45=83;pqc;j1583>4}zf51zm1`71290:wp`:e0594?7|ug?n=54?:0y~j0c613:1=vsa5d3b>5<6std>i{|l6a75=83;pqc;j2583>4}zf51zm1`41290:wp`:e3594?7|ug?n>54?:0y~j0c513:1=vsa5d0b>5<6std>i?l50;3xyk3b:j0;6{|l6a65=83;pqc;j3583>4}zf51zm1`51290:wp`:e2594?7|ug?n?54?:0y~j0c413:1=vsa5d1b>5<6std>i>l50;3xyk3b;j0;696=4>{|l6a15=83;pqc;j4583>4}zf51zm1`21290:wp`:e5594?7|ug?n854?:0y~j0c313:1=vsa5d6b>5<6std>i9l50;3xyk3b{|l6a05=83;pqc;j5583>4}zf97>51zm1`31290:wp`:e4594?7|ug?n954?:0y~j0c213:1=vsa5d7b>5<6std>i8l50;3xyk3b=j0;6{|l6a35=83;pqc;j6583>4}zf51zm1`01290:wp`:e7594?7|ug?n:54?:0y~j0c113:1=vsa5d4b>5<6std>i;l50;3xyk3b>j0;6{|l6a25=83;pqc;j7583>4}zf51zm1`11290:wp`:e6594?7|ug?n;54?:0y~j0c013:1=vsa5d5b>5<6std>i:l50;3xyk3b?j0;6l1<7?t}o7f<5<728qvb8k71;295~{i=l296=4>{|l6a=5=83;pqc;j8583>4}zf51zm1`>1290:wp`:e9594?7|ug?n454?:0y~j0c?13:1=vsa5d:b>5<6std>i5l50;3xyk3b0j0;6{|l6a<5=83;pqc;j9583>4}zf51zm1`?1290:wp`:e8594?7|ug?n554?:0y~j0c>13:1=vsa5d;b>5<6std>i4l50;3xyk3b1j0;6{|l6ad5=83;pqc;ja583>4}zf51zm1`g1290:wp`:e`594?7|ug?nm54?:0y~j0cf13:1=vsa5dcb>5<6std>ill50;3xyk3bij0;6{|l6ag5=83;pqc;jb583>4}zf51zm1`d1290:wp`:ec594?7|ug?nn54?:0y~j0ce13:1=vsa5d`b>5<6std>iol50;3xyk3bjj0;6{|l6af5=83;pqc;jc583>4}zf51zm1`e1290:wp`:eb594?7|ug?no54?:0y~j0cd13:1=vsa5dab>5<6std>inl50;3xyk3bkj0;6{|l6aa5=83;pqc;jd583>4}zf51zm1`b1290:wp`:ee594?7|ug?nh54?:0y~j0cc13:1=vsa5dfb>5<6std>iil50;3xyk3blj0;6{|l6a`5=83;pqc;je583>4}zf51zm1`c1290:wp`:ed594?7|ug?ni54?:0y~j0cb13:1=vsa5dgb>5<6std>ihl50;3xyk3bmj0;6{|l6ac5=83;pqc;jf583>4}zf51zm1``1290:wp`:eg594?7|ug?nj54?:0y~j0ca13:1=vsa5ddb>5<6std>ikl50;3xyk3bnj0;6{|l6b55=83;pqc;i0583>4}zf51zm1c61290:wp`:f1594?7|ug?m<54?:0y~j0`713:1=vsa5g2b>5<6std>j=l50;3xyk3a8j0;6j:182xh2n9l1<7?t}o7e55<728qvb8h>1;295~{i=o;96=4>{|l6b45=83;pqc;i1583>4}zf51zm1c71290:wp`:f0594?7|ug?m=54?:0y~j0`613:1=vsa5g3b>5<6std>j{|l6b75=83;pqc;i2583>4}zf51zm1c41290:wp`:f3594?7|ug?m>54?:0y~j0`513:1=vsa5g0b>5<6std>j?l50;3xyk3a:j0;6{|l6b65=83;pqc;i3583>4}zf51zm1c51290:wp`:f2594?7|ug?m?54?:0y~j0`413:1=vsa5g1b>5<6std>j>l50;3xyk3a;j0;696=4>{|l6b15=83;pqc;i4583>4}zf51zm1c21290:wp`:f5594?7|ug?m854?:0y~j0`313:1=vsa5g6b>5<6std>j9l50;3xyk3a{|l6b05=83;pqc;i5583>4}zf97>51zm1c31290:wp`:f4594?7|ug?m954?:0y~j0`213:1=vsa5g7b>5<6std>j8l50;3xyk3a=j0;6{|l6b35=83;pqc;i6583>4}zf51zm1c01290:wp`:f7594?7|ug?m:54?:0y~j0`113:1=vsa5g4b>5<6std>j;l50;3xyk3a>j0;6{|l6b25=83;pqc;i7583>4}zf51zm1c11290:wp`:f6594?7|ug?m;54?:0y~j0`013:1=vsa5g5b>5<6std>j:l50;3xyk3a?j0;6l1<7?t}o7e<5<728qvb8h71;295~{i=o296=4>{|l6b=5=83;pqc;i8583>4}zf51zm1c>1290:wp`:f9594?7|ug?m454?:0y~j0`?13:1=vsa5g:b>5<6std>j5l50;3xyk3a0j0;6{|l6b<5=83;pqc;i9583>4}zf51zm1c?1290:wp`:f8594?7|ug?m554?:0y~j0`>13:1=vsa5g;b>5<6std>j4l50;3xyk3a1j0;6{|l6bd5=83;pqc;ia583>4}zf51zm1cg1290:wp`:f`594?7|ug?mm54?:0y~j0`f13:1=vsa5gcb>5<6std>jll50;3xyk3aij0;6{|l6bg5=83;pqc;ib583>4}zf51zm1cd1290:wp`:fc594?7|ug?mn54?:0y~j0`e13:1=vsa5g`b>5<6std>jol50;3xyk3ajj0;6{|l6bf5=83;pqc;ic583>4}zf51zm1ce1290:wp`:fb594?7|ug?mo54?:0y~j0`d13:1=vsa5gab>5<6std>jnl50;3xyk3akj0;6{|l6ba5=83;pqc;id583>4}zf51zm1cb1290:wp`:fe594?7|ug?mh54?:0y~j0`c13:1=vsa5gfb>5<6std>jil50;3xyk3alj0;6{|l6b`5=83;pqc;ie583>4}zf51zm1cc1290:wp`:fd594?7|ug?mi54?:0y~j0`b13:1=vsa5ggb>5<6std>jhl50;3xyk3amj0;6{|l6bc5=83;pqc;if583>4}zf51zm1c`1290:wp`:fg594?7|ug?mj54?:0y~j0`a13:1=vsa5gdb>5<6std>jkl50;3xyk3anj0;6?1;295~{i>9:96=4>{|l5455=83;pqc8?0583>4}zf?:;97>51zm2561290:wp`901594?7|ug<;<54?:0y~j36713:1=vsa612b>5<6std=<=l50;3xyk078j0;6j:182xh189l1<7?t}o4355<728qvb;>>1;295~{i>9;96=4>{|l5445=83;pqc8?1583>4}zf?::97>51zm2571290:wp`900594?7|ug<;=54?:0y~j36613:1=vsa613b>5<6std=<=1;295~{i>9896=4>{|l5475=83;pqc8?2583>4}zf?:997>51zm2541290:wp`903594?7|ug<;>54?:0y~j36513:1=vsa610b>5<6std=<1;295~{i>9996=4>{|l5465=83;pqc8?3583>4}zf?:897>51zm2551290:wp`902594?7|ug<;?54?:0y~j36413:1=vsa611b>5<6std=<>l50;3xyk07;j0;6;1;295~{i>9>96=4>{|l5415=83;pqc8?4583>4}zf?:?97>51zm2521290:wp`905594?7|ug<;854?:0y~j36313:1=vsa616b>5<6std=<9l50;3xyk07:1;295~{i>9?96=4>{|l5405=83;pqc8?5583>4}zf?:>97>51zm2531290:wp`904594?7|ug<;954?:0y~j36213:1=vsa617b>5<6std=<8l50;3xyk07=j0;691;295~{i>9<96=4>{|l5435=83;pqc8?6583>4}zf?:=97>51zm2501290:wp`907594?7|ug<;:54?:0y~j36113:1=vsa614b>5<6std=<;l50;3xyk07>j0;681;295~{i>9=96=4>{|l5425=83;pqc8?7583>4}zf?:<97>51zm2511290:wp`906594?7|ug<;;54?:0y~j36013:1=vsa615b>5<6std=<:l50;3xyk07?j0;6l1<7?t}o43<5<728qvb;>71;295~{i>9296=4>{|l54=5=83;pqc8?8583>4}zf?:397>51zm25>1290:wp`909594?7|ug<;454?:0y~j36?13:1=vsa61:b>5<6std=<5l50;3xyk070j0;661;295~{i>9396=4>{|l54<5=83;pqc8?9583>4}zf?:297>51zm25?1290:wp`908594?7|ug<;554?:0y~j36>13:1=vsa61;b>5<6std=<4l50;3xyk071j0;6n1;295~{i>9k96=4>{|l54d5=83;pqc8?a583>4}zf?:j97>51zm25g1290:wp`90`594?7|ug<;m54?:0y~j36f13:1=vsa61cb>5<6std=m1;295~{i>9h96=4>{|l54g5=83;pqc8?b583>4}zf?:i97>51zm25d1290:wp`90c594?7|ug<;n54?:0y~j36e13:1=vsa61`b>5<6std=l1;295~{i>9i96=4>{|l54f5=83;pqc8?c583>4}zf?:h97>51zm25e1290:wp`90b594?7|ug<;o54?:0y~j36d13:1=vsa61ab>5<6std=k1;295~{i>9n96=4>{|l54a5=83;pqc8?d583>4}zf?:o97>51zm25b1290:wp`90e594?7|ug<;h54?:0y~j36c13:1=vsa61fb>5<6std=j1;295~{i>9o96=4>{|l54`5=83;pqc8?e583>4}zf?:n97>51zm25c1290:wp`90d594?7|ug<;i54?:0y~j36b13:1=vsa61gb>5<6std=i1;295~{i>9l96=4>{|l54c5=83;pqc8?f583>4}zf?:m97>51zm25`1290:wp`90g594?7|ug<;j54?:0y~j36a13:1=vsa61db>5<6std=8:96=4>{|l5555=83;pqc8>0583>4}zf?;;97>51zm2461290:wp`911594?7|ug<:<54?:0y~j37713:1=vsa602b>5<6std===l50;3xyk068j0;6j:182xh199l1<7?t}o4255<728qvb;?>1;295~{i>8;96=4>{|l5545=83;pqc8>1583>4}zf?;:97>51zm2471290:wp`910594?7|ug<:=54?:0y~j37613:1=vsa603b>5<6std==8896=4>{|l5575=83;pqc8>2583>4}zf?;997>51zm2441290:wp`913594?7|ug<:>54?:0y~j37513:1=vsa600b>5<6std==?l50;3xyk06:j0;68996=4>{|l5565=83;pqc8>3583>4}zf?;897>51zm2451290:wp`912594?7|ug<:?54?:0y~j37413:1=vsa601b>5<6std==>l50;3xyk06;j0;68>96=4>{|l5515=83;pqc8>4583>4}zf?;?97>51zm2421290:wp`915594?7|ug<:854?:0y~j37313:1=vsa606b>5<6std==9l50;3xyk068?96=4>{|l5505=83;pqc8>5583>4}zf?;>97>51zm2431290:wp`914594?7|ug<:954?:0y~j37213:1=vsa607b>5<6std==8l50;3xyk06=j0;68<96=4>{|l5535=83;pqc8>6583>4}zf?;=97>51zm2401290:wp`917594?7|ug<::54?:0y~j37113:1=vsa604b>5<6std==;l50;3xyk06>j0;68=96=4>{|l5525=83;pqc8>7583>4}zf?;<97>51zm2411290:wp`916594?7|ug<:;54?:0y~j37013:1=vsa605b>5<6std==:l50;3xyk06?j0;6l1<7?t}o42<5<728qvb;?71;295~{i>8296=4>{|l55=5=83;pqc8>8583>4}zf?;397>51zm24>1290:wp`919594?7|ug<:454?:0y~j37?13:1=vsa60:b>5<6std==5l50;3xyk060j0;68396=4>{|l55<5=83;pqc8>9583>4}zf?;297>51zm24?1290:wp`918594?7|ug<:554?:0y~j37>13:1=vsa60;b>5<6std==4l50;3xyk061j0;68k96=4>{|l55d5=83;pqc8>a583>4}zf?;j97>51zm24g1290:wp`91`594?7|ug<:m54?:0y~j37f13:1=vsa60cb>5<6std==ll50;3xyk06ij0;68h96=4>{|l55g5=83;pqc8>b583>4}zf?;i97>51zm24d1290:wp`91c594?7|ug<:n54?:0y~j37e13:1=vsa60`b>5<6std==ol50;3xyk06jj0;68i96=4>{|l55f5=83;pqc8>c583>4}zf?;h97>51zm24e1290:wp`91b594?7|ug<:o54?:0y~j37d13:1=vsa60ab>5<6std==nl50;3xyk06kj0;68n96=4>{|l55a5=83;pqc8>d583>4}zf?;o97>51zm24b1290:wp`91e594?7|ug<:h54?:0y~j37c13:1=vsa60fb>5<6std==il50;3xyk06lj0;68o96=4>{|l55`5=83;pqc8>e583>4}zf?;n97>51zm24c1290:wp`91d594?7|ug<:i54?:0y~j37b13:1=vsa60gb>5<6std==hl50;3xyk06mj0;67AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?0167?DJB9j1J@H?P_np3457c3HFN=RQ`r123542GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5=2HJOY|;;CGQV0=EM[X::6LJRSpe=>DBZ[xmSC>n;CGQVw`XF9;=7OK]Rspf?GCUZ{xTbbz?013e?GCUZ{xTbbz?0132<>DR[VCEJB?4C59@53K>3J;=ARGMUG48G76JMGh0O?>BEO]LFP@13J8>AH@m;B06I@HXGK_M?6M7M99@EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV0i;Bnfew7Xign;<=>>1:F4?ARFKBxm;6J[ABIqvc=C\HI@~Qaou2344773M^JOF|}_omw45669>1Omyo30?58@drf484=7IaztNGg?Air|FOTc>?01g8@jssGLUd~=>?00g8@jssGLUd~=>?03g8@jssGLUd~=>?02g8@jssGLUd~=>?05g8@jssGLUd~=>?04g8@jssGLUd~=>?07g8@jssGLUd~=>?06g8@jssGLUd~=>?0938A0=BNhfg:6KIamn22>CAief996K\<1<6?@U;97?0I^2=>49FW959=2OX090;;DQ\4a=B[V:TSl`k0123a>CTW9UTmcj?0122a>CTW9UTmcj?0121a>CTW9UTmcj?0120a>CTW9UTmcj?0127a>CTW9UTmcj?0126a>CTW9UTmcj?01250>CTW8n0I^Q>_^cm`5678l1N_R?P_`lg45679l1N_R?P_`lg4567:=1N_RCTW:UTmcj?012f?@UX;VUjbi>?013f?@UX;VUjbi>?0107?@UXPMymq[Wct}e~7?3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1:1189FWZKflmUTmij?012\[dhc89:;=l5JS^Ob`aYXimn;<=>P_`lg45679830I^QBaef\[dbc89:;SRoad12354g?103:?@UXEhnoSRokd1234ZYffm:;?0^]bja678;;o7H]PQ^3\kw6789o0I^Q^_0]lv56788l0I^Q^_0]lv56788:m7H]PQ^3\kw6789;:j6K\_P]2[jt789::>h5JS^S\5Ziu89:;>h5JS^S\5Ziu89:;?h5JS^S\5Ziu89:;8h5JS^S\5Ziu89:;9h5JS^S\5Ziu89:;:h5JS^S\5Ziu89:;;h5JS^S\5Ziu89:;4h5JS^S\5Ziu89:;5h5JS^]b`a67896:2h5JS^]b`a6789692h5JS^]b`a6789682h5JS^]b`a67896?285JT@AH`>CSIJATmcj?012f?@RFKBUjbi>?013f?@RFKBUjbi>?010f?@RFKBUjbi>?011f?@RFKBUjbi>?016f?@RFKBUjbi>?017f?@RFKBUjbi>?0145?@YNJ\L97H|<;Dp11>Cu494>7H|31?68AwY7<2OyS7H|PN168BFJL12LH@FQFBTD1?CB33ONHI>5ISC38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ6?LGD[8?0ELM\249JEFU4<2CIYK74IOKWWQGSM>1BBDZ\TB:8MKOS[]I:i6GAIUQ\BVKXNOn:=<5FNHVP[CUJWOLo= Ga139JJLRTWOYFSKHk1,Km544OIA]YTJ^CPFGf1)Lh59;1BBDZ\_GQN[C@c:$Ce?<<4IOKWWZ@TEVLMh?#Fn531?LHN\ZUM_@QIFe0.Mk3b3@DBX^QISL]Lqq5a3@DBX^QISL]Lqq56n2CEEY]PFRO\Kpr4:8:0ECG[S^antZcv89:8?6GAV39JK0=NGHI_h6G@ABV\ekb789:n7DANCU]bja6789;m7DANCU]bja6789;;i6G@ABV\ekb789:9i6G@ABV\ekb789:8i6G@ABV\ekb789:?i6G@ABV\ekb789:>i6G@ABV\ekb789:=i6G@ABV\ekb789:n7DANCU]nq}6789?n7DANCU]nq}6789>0:KLF@TUWds<=>?1033?LIEM[XTaxv?0122646m7DAMESP\ip~789:9j6G@BDPQ[hs89:;?k5FOCGQVZkrp9:;<9h4IN@FVWYj}q:;<=;i;HMAAWTXe|r;<=>9f:KLF@TUWds<=>?7g9JKGCUZVg~t=>?09d8MJDBZ[Ufyu>?01;7?LIEE=1BCO]n;HMAWZTbimsi7DAMS^Pfea6j2CDN^Q]e`fz6g=NGKYT^hoky2`8MJDTW[ojht:9;HMAQCC33@EH_85FOBQ22>OHKZ;;:6G@CR322>OHKZ;996G@CR06?LID[:?0EBM\449JKFU2=2CDO^8:;HM@W23OHD9i0EBB?_lw{4567l2CD@=Qbuy23457c3@EGOHD9Ufyu>?0177?LIK9j1BCA?Pnnv3457c3@EG=R``t123543k4INPFUZkrp9:;<9k4INPFUZkrp9:;<8k4INPFUZkrp9:;<;k4INPFUZkrp9:;<:k4INPFUZkrp9:;<5k4INPFUZkrp9:;<484INPFUw3n7DA]W1]mkq6788?n7DA]W1]mkq67887DA]W0f8MJTP9Vkeh=>?0d9JKWQ6Whdo<=>?1d9JKWQ6Whdo<=>?2d9JKWQ6Whdo<=>?3d9JKWQ6Whdo<=>?4d9JKWQ6Whdo<=>?5d9JKWQ6Whdo<=>?669JKWcflp;;7DA]e`fz[Zgil9:;<?1038MJTbimsTSl`k01236c=NG[ojhtQPos2345773@EYiljv_^mq456798:0EB\jae{\[jt789:9==5FOSgb`|YXg{:;<==>0:KLV`gcqVUd~=>?0533?LIUmhnrSRa}0123146<>4INQ\BVKXNOn:!D`<119JKVYA[DUMJi?"Io6`?LITWOYFSKHk2g9JKVYA[DUMJi<"Io33?LITWOYFSKHk2,Km5472038MJUXNZGTJKj=-Hl27474INQ\BVKXNOn9!D`=119JKVYA[DUMJi<"Io124>OH[VLXARHId3/Jj1773@EXSK]B_GDg6(Oi=8:0EB]PFRO\BCb5%@d===5FOR]EWHYANm8&Ec9>0:KLWZ@TEVLMh?#Fn933?LITWOYFSKHk2,Km=f=NGZUM_@QIFe1e?LITWOYFSKHk3,Km55=NGZUM_@QIFe1.Mk7682CD_RH\M^DE`6+Nf;;;7DA\_GQN[C@c;$Ce?<>4INQ\BVKXNOn8!D`;119JKVYA[DUMJi="Io724>OH[VLXARHId2/Jj3773@EXSK]B_GDg7(Oi?8:0EB]PFRO\BCb4%@d3==5FOR]EWHYANm9&Ec7;;HMV4f=NG\:Taxv?012g?LIR8Vg~t=>?00f8MJS7Wds<=>?2e9JKP6Xe|r;<=>OH]8Uecy>?00f8MJS6Wge<=>>149JKPBT<2CD[Hk4KDGS[MOBZHXHDT94LNEJGDJf3EZFSUA]2^3:?IRnelx7<3o4LUknaw:687k0@Ygbes>25;g2?;8HQojm{6:245CThofv94912F_e`k}<2<:?IRnelx78374LUknaw:2601GXdcjr=4==>JSadoy0:06;MVji`t;0730@Ygbes>::==K\`gn~YM>3:NWmhcu\JUha}Qjq123442?01020>JSadoyXNQlmq]fu5678:;?7AZfmdpWGZejxVoz<=>?4068HQojm{^HSnc_ds3456202F_e`k}_1:8HQojm{U:56B[ilgq[46>3E^bah|P10;8HQojm{U:>55CThofvZ4?3E^bah|P399OPlkbzV>37AZfmdp\1==K\`gn~R87;MVji`tX?11GXdcjr^:;?IRnelxT5?:4LUknawYJimnTSljk0123[H~hzVXnxb{<02=61=K\`gn~RCnde]\eab789:TAua}_Sgpqir;984986B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2>2?00?IRnelxTAljk_^cg`5678VGscQ]erwop979::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7>3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=1=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;<7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1;1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?2;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz38?00?IRnelxTAljk_^cg`5678VGscQ]erwop9?9:?1GXdcjr^Ob`aYXimn;<=>PRdqvhqY7Wge<=>?10f8HQojm{UFmijP_`fg4567WVkeh=>?00g8HQojm{UFmijP_`fg4567WVkeh=>?003g?IRnelxTAljk_^cg`5678VUjbi>?003f?IRnelxTAljk_^cg`5678VUjbi>?0032`>JSadoyS@okd^]b`a6789UTmcj?0102a>JSadoyS@okd^]b`a6789UTmcj?01025a=K\`gn~RCnde]\eab789:TSl`k01205`=K\`gn~RCnde]\eab789:TSl`k012054bP_`lg45609l1GXdcjr^Ob`aYXimn;<=>P_`lg456098n0@Ygbes]NeabXWhno<=>?_^cm`56708o0@Ygbes]NeabXWhno<=>?_^cm`56708;o7AZfmdp\IdbcWVkoh=>?0^]bja6780;n7AZfmdp\IdbcWVkoh=>?0^]bja6780;:h6B[ilgq[HgclVUjhi>?01]\ekb788::i6B[ilgq[HgclVUjhi>?01]\ekb788::=?5CThofvZYflm:;<=2?>018HQojm{UTmij?012?5586;2F_e`k}_^cg`56785;:2<=4LUknawYXimn;<=>313<26>JSadoySRokd12349799;1GXdcjr^]b`a6789692<<4LUknawYXimn;<=>33?31?IRnelxTSljk01238186:2F_e`k}_^cg`56785?5=?5CThofvZYflm:;<=29>008HQojm{UTmij?012?3;753E^bah|P_`fg4567414:>6B[ilgq[Zgcl9:;<1715:Nlea7b3Eejh>2:L23>HB\^EYG<5@4:MAQC35@R318KW543FX?86Aacda8KkebWVey<=>?d:Mmg`YXg{:;<=?k;Nl`aZYhz9:;VTWOYFSKHk1,Kmb>VTWOYFSKHk1,Km5c=W[VLXARHId0/Jj7d<>4PR]EWHYANm8&Ec? Ga2g9SWZ@TEVLMh?#Fn2d8TVYA[DUMJi<"Io6e?UUXNZGTJKj=-Hl6b>VTWOYFSKHk2,Km2c=W[VLXARHId3/Jj2`a3YYTJ^CPFGf1)Lh>j2ZXSK]B_Nww4f=W[VLXARAzt13`?UUXNZGTCxz?2e9SWZejxVoz<=>?1:S6?WG;87<0^L2>0?:8VD:693:5:6\N<03=1>TF484>7_O32?78VD:46<1YM1:15:PB80823[K7:3;4R@>4:0=UI52596\N<8<0?WGJ=2XJAN]9;SCNGV713[KFO^<9;SCNGV523[KFICj4R@OFJZkrp9:;>3g9QEHCIWds<=>?15d8VDKBFVg~t=>?007e?WGJMGUfyu>?0135b>TFELDTaxv?01223c=UIDOES`{w01235=`i6\NMDL\ip~789:=i6\NMDL\ip~789:4R@OQadb~Wds<=>?109QEHTbimsTaxv?012257=UIDXnmiwPmtz345668880^LC]e`fz[hs89:;=?00025>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;:?WGJW[ojhto4R@O\V`gcq8k0^LCPRdcg}73>4g9QEVCKWds<=>?14d8VDUBDVg~t=>?004e?WGTMEUfyu>?0134b>TF[LFTaxv?012212XJS=Q@BTD`?WGX8Vg~t=>?0e9QEZ6Xe|r;<=>>d:PB[5Yj}q:;<=j4R@]3[hs89:;8l5]A^33[JDRNh1YMR?>_N@VB<=UIV;TCO[Ic:PB[4Yj}q:;<=j4R@]2[hs89:;=i5]A^3\ip~789:9h6\N_0]nq}67899o7_OP1^ov|5678=30^LQ=_N@VBf=UIV8Taxv?012g?WGX:Vg~t=>?00f8VDY5Wds<=>?2e9QEZ4Xe|r;<=>Qbuy23457c3[KT?Rczx12347bTFW=UDNXHl;SC\0Zkrp9:;TFW?01f8VDY2Wds<=>?1e9QEZ3Xe|r;<=>=d:PB[0Yj}q:;<==k;SC\1Zkrp9:;<974R@]5[JDRNj1YMR8Pmtz3456c3[KT:Rczx12344bTFW?Ufyu>?016:?WGX?VEIYKm4R@]4[hs89:;h6\N_6]nq}6789;o7_OP7^ov|5678;n0^LQ8_lw{4567;m1YMR9Pmtz3456312XJS5Q@BTD`?WGX0Vg~t=>?0e9QEZ>Xe|r;<=>>d:PB[=Yj}q:;<=j4R@];[hs89:;8i5]A^:\ip~789:>h6\N_9]nq}6789<27_OP9^MAQCeTFW0Ufyu>?013g?WGX1Vg~t=>?03f8VDY>Wds<=>?3e9QEZ?Xe|r;<=>;3:PFW1=UMZ;>7_K\rg78V@Uuzm1YI^|}_omw4566m2XN_|Pnnv34576=2XDAN]9;SMNGV713[EFO^<9;SMNGV513[EFO^:9;SMNGV313[EFO^89;SMNGV1e3[YTJ^CPFGf2a>TTWOYFSKHk1,Kmb>TTWOYFSKHk1,Km5c=U[VLXARHId0/Jj7`TTWOYFSKHk2,Kmb>TTWOYFSKHk2,Km546 Ga7g9QWZ@TEVLMh?#Fn9d8VVYA[DUMJi<"Io;a?WUXNZGTJKj @Q@ML2^T\VMEH:5WSU]UGF0^h494:?6V|t29`jq4?0068mjdt12dJHb{{LD2f?kGCg|~GI=Q@BTD24>hFLf@H>Pos2345763gKOcxzCE1]lv56788;:7cOKotvOA5Yhz9:;;oCGkprKM9Ud~=>?02;8jDBh}}FN=l5aAEmvpIC69l1eMIaztMG2[JDRN:1eM^94n@Q\BVDd3gKXSK]M_H@VB2=iIZUBCOj4n@Q\MJDXGK_MIl5aAR]JKGir|?1eM^aztd9mEVir|Vddx=>?1g9mEVir|Vddx=>?10d8jDUh}}Uecy>?000e?kGTg|~Tbbz?0130b>hF[fSca{012202=iIfCH64n@mvpJC6k2dJcxz@E^MAQC3?1008jGCUZVLXNRQ`r12347753gHN^_QISC]\kw6789927cLJRS]JKGc<>4nCP\MJDXe|r;<=><119mFWYNGKUfyu>?01624>hEZVCDNRczx12340773gHYSDAM_lw{4567>8:0bO\PIN@\ip~789:<86`LARf8jFGTWJf`SD@Y169mGDUXF930bNO\_N@VBf=iKHYTbbz?013g?kEF[Vddx=>?1048jARFKBk0bIZNCJ]EWG`hC\HI@SRa}0123b>hC\HI@SRa}01235c=iL]KHGRQ`r123470hCagEN?o5aDhlLAZIE]O=0bIaztNG;?kBh}}EN=55aDnwwK@4?3gNdyyAJ3b9m@jssGLUDNXH:;oKSH7gRGMUG48jLVK:{>0bAJ\8:lO@VYA[Kn0bAJ\_GQA[LDRN11e@I]PIN@f?kJC[VCDNRAMUGGa?kJC[VCDNb{{c:lO@VYffm:;<?000`?kJC[Vey<=>>3b9mHAUXg{:;<<:l;oNGWZiu89::9n5aLEQ\kw6788hK_LUjbi>?013g?kJPMVkeh=>?03f8jIQBWhdo<=>?3e9mHRCXign;<=>;3:lLA1=iGL;?7cAJ299mK@YHJ\L37cAyesnb}44;oMuawjfqVkeh=>?0332?kIqm{fjuRoad12346763gE}ibny^cm`5678=;:7cAyesnb}Zgil9:;<8?>;oMuawjfqVkeh=>?0758jWGJD^Oh7c\NMMUF[JDRN>1e^LCZWDa8jWGJ]^OTCO[I4:lQEV?hUMZUecy>?00f8jWCTWge<=>>169mV@Uh}}<0b_ABCR58jWIJKZ;i7c\@MBQ\KGSA>2dYC@YJ7:lQKHQB9k1e^BCXE^MAQC2?0e9mQRCXign;<=>>d:lVS@Yffm:;<=j4nTUF[dhc89:;895aVLC;?kPJIVCDNh5aVLC\MJDXGK_MIo5aVLC\MJDh}}n0b[CN_^cm`5678l1eZ@OP_`lg45679j1eZ@OP_np3456c3g\FMRQ`r12344bhQEHUTc>?016g?kPJIVUd~=>?04f8jSKFWVey<=>?6e9mRHGXWfx;<=>8d:lUIDYXg{:;<=6k;oTNEZYhz9:;<4m4nWOB[dhc89:;h6`YM@]bja6789;o7cXBA^cm`5678;n0b[CN_`lg4567;m1eZ@OPaof34563l2d]ALQnne23453c3g\FMRoad12343b7cX_A078jSVF:11eZ]OPFR@g?kPWIVLXNRAMUG:8jSVFW@EIh6`YP@]JKGYNJ\L87cYJ7:lTAZ@TJj1e[HQISC]JFP@43{kf46vl9423|i{GHy;9h<5O@y1;f?@=:3;p_5953879675=9:>im6;3706g:0yP<2<41<09>>4>35`3f3<6<=:n7{Z<4c83>4<62::8w^68:2;6>744289?n=l9:0674`=#;>n1=o64$307>1333k93n7>54c862?g0sA95;29?j1d2900c>j?:188m6g02900c9:l:188m1462900c9?k:188k2c=831d8<=50;9j7ge=831d8=h50;9l7f?=831d?oh50;9j=a<722cnm7>5;h1g6?6=3f9j57>5;n1a6?6=3`9n97>5;n625?6=3`>?i7>5;n617?6=3f>;=7>5;h:3>5<k1<75`38f94?=h;h91<75f3d;94?=n;ko1<75`40c94?=h;m=1<75`3d`94?=h<:81<75`3e`94?=h;l:1<75f44394?=h;k<1<75`25094?"5:?098<5a23794>=h:=:1<7*=278104=i:;?1=65`25`94?"5:?098<5a23796>=h:=k1<7*=278104=i:;?1?65`25;94?"5:?098<5a23790>=h:=21<7*=278104=i:;?1965`25594?"5:?098<5a23792>=h:=<1<7*=278104=i:;?1;65`25794?"5:?098<5a2379<>=h:=>1<7*=278104=i:;?1565`25194?"5:?098<5a2379e>=h::l1<7*=278104=i:;?1n65f4583>!45>3>87c<=5;28?l25290/>?85429m673=921b8<4?:%012?243g8997<4;h63>5<#:;<18>5a23797>=n;l0;6)<=6;60?k45=3>07d=k:18'670=<:1e>?;55:9j7f<72-89:7:<;o011?0<3`9i6=4+234906=i:;?1;65f3`83>!45>3>87c<=5;:8?l5>290/>?85429m673=121b?54?:%012?243g8997o4;h14>5<#:;<18>5a2379f>=n;?0;6)<=6;60?k45=3i07d=::18'670=<:1e>?;5d:9j0f<72-89:7:<;o011?c<3`>i6=4+234906=i:;?1j65f4`83>!45>3>87c<=5;33?>o313:1(?<9:518j74228;07d:7:18'670=<:1e>?;51398m11=83.9>;4;3:l160<6;21b8;4?:%012?243g8997?;;:k71?6=,;8=69=4n306>43<3`9m6=4+234906=i:;?1=;54i26e>5<#:;<1?9k4n306>5=o6=4+234971c6<54i26`>5<#:;<1?9k4n306>7=:183!52:3l;7E=7e:J03g=hmo0;66sm38294?7=83:p(>;=:25`?M5?m2B8;o5`23094?=zj:3<6=4>a;07>6>|@:=i7)=:2;:;?_1?28q96p*>b68014=n0:0;66a>5983>>i4=90;66g9c;29?l26=3:17b?;0;29?l2283:17b<;d;29?j4cj3:17bhn:188m40=83.9>;4>5:l160<732c:87>5$305>436<54i0194?"5:?0:96`=2481?>o693:1(?<9:078j7422:10ek4?:%012?723g8997:4;hg94?"5:?0:96`=2486?>oc290/>?85149m673=>21bo7>5$305>436:54ic83>!45>3;>7c<=5;:8?lg=83.9>;4>5:l160<>32c26=4+234950=i:;?1m65f8;29 74128?0b?<::c98m2<72-89:7?:;o011?e<3`<1<7*=27821>h5:<0o76g>e;29 74128?0b?<::d98m4b=83.9>;4>5:l1605}#;<81?:m4H2:f?M50j2e9>?4?::a053=83?1<7>t$271>`b<@:2n7E=8b:&eb?6>o013:17d6l:188k75b2900qo?:9;296?6=8r.89?4>109K7=c<@:=i7dh>:188k7762900qo?:a;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a050=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::abg<7200;6=u+34096c=O;1o0D>9m;hd1>5<>oa=3:17dh9:188mc1=831bj54?::ke=?6=3f8:=7>5;|`eg?6=13:1N40l1C?:l4ig094?=nn:0;66gi4;29?l`22900ek850;9jb2<722cm47>5;hd:>5<5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd56j;I14f>oa93:17b<>1;29?xd56j;I14f>oa93:17b<>1;29?xd5=90;694?:1y'704=:k1C?5k4H25a?l`52900ek=50;9jb1<722e9=<4?::a05?=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<;m7>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<;n7>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<;o7>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<;h7>53;294~"4=;09m6F<8d9K72d>i5980;66sm41g94?2=83:p(>;=:3`8L6>b3A95<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn?jk:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn?jj:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn?ji:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn?k?:180>5<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a6`7=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<7>54;294~"4=;09n6F<8d9K72d>oa<3:17b<>1;29?xd6<80;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn<:::186>5<7s-9>>7N4?k1bj?4?::ke7?6=3`l?6=44ig794?=h:8;1<75rb065>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6<>0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qo?;8;296?6=8r.89?4>109K7=c<@:=i7dh>:188k7762900qo?;9;297?6=8r.89?4=159K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th:8o4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb06`>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6<;0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qo?;3;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a512=8391<7>t$271>7733A93i6F<7c9jb7<722cm?7>5;n025?6=3ty=6=4={_489cd=n=1v:4?:3y]3>;aj3l>7p}7:181[><5oh1j;5rs883>6}Y127mn7h8;<373?`53tyj6=4<{_c89cd=n116=995f29~wg<72;qUn63ib;d:?xud2908wSm4=ga9b7=:9=?1j?5rse83>6}Yl27mo7h<;<371?`43tyn6=4<{_g89ce=n=16=9;5f59~wc<72:qUj63ic;d6?873=3l>7p}>1;291~X6927mo7h9;<63e?`6348oh7h>;<377?`53ty:?7>56z\27>;ak3l<70:?b;d2?84cm3l:70?;1;d1?873>3l97p}>4;293~X6<27mo7h7;<07a?`634>;57h<;<0f4?`534;?=7h<;<376?`53ty::7>56z\22>;ak3l270<;f;d2?827k3l:70d;296~X6l27mn7h=;|q2a?6=:rT:i63ib;d0?xu1k3:1>vP9c:?74<4?:3y]<6=:<9?1;45rsgc94?4|Vok01kj52038yv`e2909w0hm:332?8`c2o80q~hl:1818`d2;;:70hk:g18yv7383:1>vP>419>512=:8;0q~?;1;296~;6<809=<5215;9b6=z{8>96=4={<376?46927:8>4i3:p515=838p1<:<:332?873<3l87p}>4483>7}:9=?1>c452z?203<59816=9l5f39~w4202909w0?;7;025>;66s|15:94?4|58>36??>;<37e?`43ty:844?:3y>51?=:8;01<:;:g08yv73i3:1>v3>4`8154=:9=h1j>5rs06a>5<5s4;?n7<>1:?20f5883>7}:9<31>c552z?0=5<5:;168=;58b9~w72c290?wS<;d:?21d510=n:1v?:j:181843m38:=63=518e6>{t:=l1<7776348><7h;;|q1`g<72;qU>il4=3g1>7763ty9hn4?:3y>6ae=:8;01?k?:g18yv4cl3:1>v3=de8154=::l81j?5rs3ff>5<5s48oi7<>1:?1a4ih50;0x97ba2;;:706`4=n=1v9>::180827=388i63=518e7>;5lj0m=6s|41594?4|5=:>65:4=525>7763ty?<54?:3y>053=0;168=k52038yv2713:1>v3;088154=:<9o1j>5rs52b>5<5s4>;m7<>1:?74a05c=n;1v9?::181[26=27?<;4i1:p006=839pR9;?;<36=?`634;?47h>;|a005=83986n65e6yK72d<,:?96?:l;[5;>7}62:0v(42900c9m6:188m3e=831b?9;50;9l62d=831d8=?50;9j7`>=831b?>650;9j006=831b8?>50;9j61b=831d8;4=409m673=821d>9>50;&163<5<81e>?;51:9l61d=83.9>;4=409m673=:21d>9o50;&163<5<81e>?;53:9l61?=83.9>;4=409m673=<21d>9650;&163<5<81e>?;55:9l611=83.9>;4=409m673=>21d>9850;&163<5<81e>?;57:9l613=83.9>;4=409m673=021d>9:50;&163<5<81e>?;59:9l615=83.9>;4=409m673=i21d>>h50;&163<5<81e>?;5b:9j56<72-89:7?=;o011?6<3`;:6=4+234957=i:;?1=65ff;29 7412880b?<::398m`<72-89:7?=;o011?5<3`n1<7*=27826>h5:<0?76gl:18'670=9;1e>?;55:9jf?6=,;8=6<<4n306>3=847;:k;>5<#:;<1=?5a2379=>=n?3:1(?<9:008j7422h10e;4?:%012?753g8997l4;h3f>5<#:;<1=?5a2379g>=n9m0;6)<=6;31?k45=3n07d?l:18'670=9;1e>?;5e:9j5g<72-89:7?=;o011?`<3`;j6=4+234957=i:;?1==54i0;94?"5:?0:>6`=24825>=n910;6)<=6;31?k45=3;976g>7;29 7412880b?<::018?l77290/>?85139m673=9=10n>6i:182>5<7s-9>>7h?;I1;a>N4?k1dik4?::a7<6=83;1<7>t$271>61d3A93i6F<7c9l674=831vn>?7:186>5<7s-9>>7kk;I1;a>N4?k1b4?4?::k;0?6=3`=26=44i9a94?=h::o1<75rb267>5<4290;w)=:2;g`?M5?m2B8;o5f7883>>o?k3:17b<0;6>4?:1y'704=mj1C?5k4H25a?l1>2900e5m50;9l66c=831vn>:6:180>5<7s-9>>7kl;I1;a>N4?k1b;44?::k;g?6=3f88i7>5;|`07<<72:0;6=u+3409af=O;1o0D>9m;h5:>5<6j;I14f>o013:17d6l:188k75b2900qo<:1;297?6=8r.89?4jc:J0<`=O;>h0(kh50:k4=?6=3`2h6=44o31f>5<53;294~"4=;0no6F<8d9K72d<,ol1<6g89;29?l>d2900c?=j:188yg40m3:1?7>50z&0175;h:`>5<N40l1C?:l4i6;94?=n0j0;66a=3d83>>{e:l91<7=50;2x 6352li0D>6j;I14f>"an3:0e:750;9j=8391<7>t$271>`e<@:2n7E=8b:&eb?631<75f8b83>>i5;l0;66sm2g794?5=83:p(>;=:da8L6>b3A9:m50;094?6|,:?96;I1;a>N4?k1bj<4?::m154<722wi>:j50;194?6|,:?96??;;I1;a>N4?k1bj?4?::ke7?6=3f8:=7>5;|`76g<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`0bg<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`75g<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`07g<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`07f<72:0;6=u+34096d=O;1o0D>9m;hd1>5<<6=4=:183!52:3;:=6F<8d9K72d36=4<:183!52:38j7E=7e:J03g=nn;0;66gi3;29?j4693:17pl7<729q/?8<51038L6>b3A97<729q/?8<51038L6>b3A96<729q/?8<52068L6>b3A9:188yg27:3:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg27;3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn95<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn95<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn95<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn9=?:186>5<7s-9>>7N4?k1bj?4?::ke7?6=3`l?6=44ig794?=h:8;1<75rb2dg>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb2df>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb2de>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb523>5<2290;w)=:2;0`?M5?m2B8;o5ff383>>oa;3:17dh;:188mc3=831d>5;hd7>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e:021<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e:031<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo<6a;297?6=8r.89?4=159K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th9m=4?:383>5}#;<81=5;n025?6=3th9m<4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb3c1>5<4290;w)=:2;020>N40l1C?:l4ig094?=nn:0;66a=1083>>{e:h?1<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo109K7=c<@:=i7dh>:188k7762900qoh0ek<50;9jb6<722e9=<4?::a6d>=8391<7>t$271>7733A93i6F<7c9jb7<722cm?7>5;n025?6=3th9mo4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb3c`>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb3cg>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd5il0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qoh0ek<50;9jb6<722e9=<4?::a6g4=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a6g5=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<53;294~"4=;09=95G39g8L61e3`l96=44ig194?=h:8;1<75rb3`4>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd5j10;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd5j00;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn?ln:180>5<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a6gb=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<53;294~"4=;09m6F<8d9K72d>i5980;66sm2b294?5=83:p(>;=:337?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd5k:0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn?m;:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn?m::180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`1g3<72:0;6=u+3409642<@:2n7E=8b:ke6?6=3`l86=44o332>5<53;294~"4=;09m6F<8d9K72d>i5980;66sm2e094?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm2e194?5=83:p(>;=:3c8L6>b3A9:188yg4c<3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn?h8:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>>=:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>>9:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>>8:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`04=<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`04<<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`04d<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`04g<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`04f<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`1b=<72:0;6=u+34096d=O;1o0D>9m;hd1>5<6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>5;hd7>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e:on1<7=50;2x 6352;;?7E=7e:J03g=nn;0;66gi3;29?j4693:17pl=fd83>6<729q/?8<52068L6>b3A9:188yg4an3:1?7>50z&017<5i2B84h5G36`8mc4=831bj>4?::m154<722wi?=>50;194?6|,:?96??;;I1;a>N4?k1bj?4?::ke7?6=3f8:=7>5;|`044<72:0;6=u+34096d=O;1o0D>9m;hd1>5<>i5980;66sm31694?5=83:p(>;=:3c8L6>b3A9:188yg57=3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn?kn:180>5<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a6`b=83>1<7>t$271>7d<@:2n7E=8b:ke6?6=3`l86=44ig694?=h:8;1<75rb3gf>5<4290;w)=:2;020>N40l1C?:l4ig094?=nn:0;66a=1083>>{e:ll1<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e:o:1<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e:o;1<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e:o81<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qoh0ek<50;9jb6<722cm87>5;hd6>5<>i5980;66sm2d`94?5=83:p(>;=:3c8L6>b3A9:188yg4bk3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn?k::181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn?k9:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`1a2<72:0;6=u+3409642<@:2n7E=8b:ke6?6=3`l86=44o332>5<52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<54;294~"4=;09n6F<8d9K72d>oa<3:17b<>1;29?xd5kj0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qoh0ek<50;9jb6<722e9=<4?::a6fc=8391<7>t$271>7733A93i6F<7c9jb7<722cm?7>5;n025?6=3th8>k4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb213>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb212>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb211>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb210>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb217>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd4;<0;694?:1y'704=:k1C?5k4H25a?l`52900ek=50;9jb1<722e9=<4?::a760=83>1<7>t$271>7d<@:2n7E=8b:ke6?6=3`l86=44ig694?=h:8;1<75rb203>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb205>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd4:>0;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd4:10;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd4:00;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd4:h0;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd4:k0;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd4:j0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`064<72:0;6=u+34096d=O;1o0D>9m;hd1>5<>i5980;66sm33194?5=83:p(>;=:3c8L6>b3A9:188yg55<3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn><::187>5<7s-9>>7N4?k1bj?4?::ke7?6=3`l?6=44o332>5<53;294~"4=;084i5G39g8L61e3`l96=44ig194?=h:8;1<75rb23a>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb23`>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb23g>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd49l0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qo<9f;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a625=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a622=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a623=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a620=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a621=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a62>=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a62?=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a62g=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<56;294~"4=;09h6F<8d9K72d>oa<3:17dh::188mc0=831d>4?::m154<722wi>:<50;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<9m;hd1>5<7<729q/?8<51038L6>b3A97<729q/?8<51038L6>b3A97<729q/?8<51038L6>b3A97<729q/?8<51038L6>b3A97<729q/?8<51038L6>b3A96<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>629096=4?{%166?7692B84h5G36`8mc7=831d>5290?6=4?{%166?4e3A93i6F<7c9jb7<722cm?7>5;hd7>5<9m;hd1>5<6<729q/?8<52068L6>b3A9:188yg4?>3:1?7>50z&017<5i2B84h5G36`8mc4=831bj>4?::m154<722wi>5950;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e:131<7=50;2x 6352;;?7E=7e:J03g=nn;0;66gi3;29?j4693:17pl=8`83>6<729q/?8<52068L6>b3A9:188yg4?k3:1?7>50z&017<5i2B84h5G36`8mc4=831bj>4?::m154<722wi>5j50;194?6|,:?96??;;I1;a>N4?k1bj?4?::ke7?6=3f8:=7>5;|`116<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`11`<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`121<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`120<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`123<72:0;6=u+34096d=O;1o0D>9m;hd1>5<6=4=:183!52:3;:=6F<8d9K72d6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>29086=4?{%166?4f3A93i6F<7c9jb7<722cm?7>5;n025?6=3th99l4?:483>5}#;<81>n5G39g8L61e3`l96=44ig194?=nn=0;66gi5;29?j4693:17pl=5c83>6<729q/?8<52068L6>b3A9:188yg42k3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn?;k:180>5<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a60`=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<54;294~"4=;09n6F<8d9K72d>oa<3:17b<>1;29?xd5>80;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qo<92;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a635=8391<7>t$271>7733A93i6F<7c9jb7<722cm?7>5;n025?6=3ty=6=4={_4897?>2o80q~950;0xZ2=::h;1j?5rs983>7}Y0279m:4i2:p=?6=:rT270c46g?=n;1vn4?:3y]g>;5jo0m>6s|d;296~Xc348h97h=;|qf>5<5sWo01?mk:g08yv772909wS??;<0:3?`43ty:=7>52z\25>;5l80m?6s|1683>7}Y9>16>4h5f29~w4>=838pR<64=3c6>c5a;296~X6i279n<4i3:p5g<72;qU=o522c59b6=z{8i1<7{t>j0;6iuQ6b9>2ck4i2:?065;4i3:?1=575?=n816??95f09>6=`=n81v5=50;gxZ=5<5:;36:74=267>2?<5:9<6:74=26:>2?<5:926:74=7d93<=::<;1;45227`93<=::>o1;4522d193<=::l21;4522g793<=:;jk1j<5rs32g>5<5s493j7ki;<1233ty9?k4?:3y]66`<5;3j6??>;|q105<72;qU=>5Q2528yv43:3:1?vPi;_076>;5l:0m>6s|25194?4|V;>870{t:=>1<7>70{t:=<1<7<70{t:=21<7270{t:=k1<7i70{t:=n1<7kt^36g?840k3l:70<9d;d0?857?3l970=?8;d2?857i3l:7093l:7p}=5083><}::<;1>>k4=513>c4<5=:;6k<4=34g>c2<5::<6k=4=3gf>c4<5:9;6k?4=3:a>c5<5;<96k<4}r066?6=:r799<47c:?126<5981v?;<:181842;38:=63=568e7>{t:<>1<7776348>m7h:;|q110<72;q6>8;5203897072o80q~<:6;296~;5=?09=<522729b1=z{;?<6=4={<063?4692799o4i3:p60>=838p1?;7:332?842k3l87p}=5883>7}::<31>c4m7>52z?11d<59816>8j5f29~w73e2909w0<:b;025>;5>80m>6s|24a94?4|5;?h6??>;<06b?`53ty99i4?:3y>60b=:8;01?8?:g18yv42m3:1>v3=5d8154=::<21j?5rs37e>5<5s48>j7<>1:?124;>50;0x97072;;:70<93;d0?xu5>80;6?u22739647<5;<96k=4}r056?6=:r79:?4=109>635=n;1v?8;:181841<38:=63=598e7>{t:??1<7776348>j7h<;|q123<72;q6>;852038973>2o80q~<97;296~;5>>09=<5224;9b6=z{;<36=4={<057}::?k1>c252z?12g<5;l16>:j5f39~w70d2909w0<9d;025>;5?<0m=6s|27g94?4|5;7763ty9:k4?:3y>63`=:8;01?9n:g08yv4083:1>v3=718154=::>;1j>5rs352>5<5s48<=7<>1:?137:=50;0x97142;;:70<8a;d0?xu5?=0;6?u22669647<5;=;6k=4}r041?6=:r79;84=109>626=n;1v?99:181840>38:=63=718e0>{t:>=1<7776348<<7h:;|q13=<72;q6>:65203897172o<0q~<89;296~;5?009=<522609b6=z{;=j6=4={<04e?469279;<4i2:p62d=838pR?9m;<04`?4692wx>:m50;0x971d2;;:70<8d;d0?xu5?l0;6<8t=35f>75b349hi7h>;<0:3?`5348247h>;<0:b?`5348j<7h>;<0b1?`5348j:7h>;<0bf?`5348jo7h>;<0a5?`5348i>7h>;<0a3?`5348i47h>;<0a`?`5348ii7h>;<0`7?`5348h87h>;<0g5?`5348o>7h>;<0`e?`6348hn7h;;|q13c<72;q6>:k58b9>6=b=:8;0q~<70;296~;50909=<5229c9b7=z{;2:6=4={<0;5?469279494i3:p6=4=838p1?6=:332?84?=3l87p}=8283>7}::191>c552z?1<1<59816>595f29~w7>22909w0<75;025>;5010m?6s|29494?4|5;2=6??>;<0;=?`43ty94:4?:3y>6=1=:8;01?6k:g18yv4?03:1>v3=898154=::131j?5rs3::>5<5s48357<>1:?15o50;0x97>f2;;:70<7c;d1?xu50k0;6?u229`9647<5;2>6k<4}r0;g?6=:r794n4=109>6=b=n;1v?6j:18184?m38:=63=838e6>{t:1l1<77763483>7h;;|q1=5<72;q6>4>5203897>42o80q~<61;296~;51809=<522919b6=z{;396=4={<0:6?4692794n4i3:p6<5=838p1?7<:332?84??3l97p}=9583>7}::0>1>c452z?1=2<59816>4o5f39~w7?02909w0<68;025>;5100m?6s|28:94?4|5;326??>;<0:e?`43ty95h4?:3y>6<`=:8;01?o=:g08yv4>n3:1>v3=a18154=::h;1j>5rs3c3>5<5s48j=7<>1:?1e7l:50;0x97g22;;:706d>=n:1v?on:18184fj38:=63=ad8e6>{t:hh1<7776348jh7h<;|q1ef<72;q6>lj5203897gb2o90q~4i3:p6g4=838p1?l<:332?84e<3l87p}=b783>7}::k=1>c452z?1f=<59816>o75f29~w7d?2909w0;5jh0m?6s|2ca94?4|5;ho6??>;<0`4?`53ty9ni4?:3y>6gc=:8;01?li:g18yv4em3:1>v3=bg8154=::j:1j>5rs3a1>5<5s48h?7<>1:?1g3n=50;0x97e32;;:706fe=n;1v?m6:18184di38:=63=cb8e7>{t:jk1<7776348hi7h<;|q1gg<72;q6>nm5203897ec2o90q~7}::m91>c552z\1`g=:<8h1j<5rs3g0>5<6:r79i>4=3d9>6c1=n816?=l5f09>6cg=n;16>ho5f39>6`b=n:16>hh5f09>6`0=n;16?>=5f09>77?=n816?63`=n;16>:95f09>6<4=n816>;;5f09>630=n;16>;o5f09>601=n;1v?k;:18184b;32h70{t:l?1<7776348n:7h<;|q1a3<72;q6>h85203897c02o90q~>k4=225>c7<5;l36k<4=3gb>c5<5;oo6k:4=3d3>c7<5;o>6k?4=212>c7<5:8j6k?4=23a>c7<5;c7<5;2;6k<4=3;0>c5<5;?86k?4=345>c5<5;??6k?4=37`>c452z?1a=;5n;0m?6s|2d`94?4|5;oi6??>;<0fg?`43ty9ii4?:3y>6`b=:8;01?h;:g08yv4bm3:1>v3=ed8154=::o91j?5rs3ge>5<5s48nj7<>1:?1b6k>50;0x97`72;;:706`e=n;1v?h<:18184a;38:=63=f58e7>{t:o>1<7776348nn7h<;|q1b0<72mq6>k;522g8966d2o;01?h>:g3897`52o801?k8:g0896552o;01>72o901?6>:g3897322o;01?;m:g08yv4a>3:1>v3=f48;g>;48<09=<5rs3d4>5<5s48m;7<>1:?1b=k650;0x97`?2;;:70=?5;d1?xu5n00;6?u22g;9647<5;lo6k<4}r0ee?6=:r79jl4=109>6cb=n:1v?hm:18184aj38:=63=fd8e7>{t:oi1<7776349;<7h<;|q1ba<72;q6>kj5203896642o80q~>?:332?85793l97p}<0083>7}:;9;1>c57>52z?047<59816>k75f29~w6642909w0=?3;025>;48=0m>6s|31694?4|5::?6??>;<131?`43ty8<;4?:3y>750=:8;01?hn:g18yv57?3:1>v3<068154=::oo1j?5rs22;>5<5s49;47<>1:?1bg2;;:70757=n:1v>>l:181857k38:=63<058e7>{t;821<7=t=23;>75b3498n7h>;<173?`63ty8=44?:3y>74>=0;16?v3<1`8154=:;8n1j?5rs23a>5<5s49:n7<>1:?05ae;d0?xu49m0;6?u230f9647<5:;n6k<4}r12b?6=84=109>622=n816>8k5f09>63>=n81v>{t;;;1<7776349997h;;|q067<72;q6??<5203896442o80q~==3;296~;4::09=<523369b6=z{:8?6=4={<110?469278>84i3:p770=838p1><9:332?855l3l97p}<2683>7}:;;=1>c552z?06=<59816??=5f29~w64>2909w0==9;025>;4:<0m>6s|33c94?4|5:8j6??>;<115?`53ty8>o4?:3y>77d=:8;01><>:g18yv55k3:1>v3<2b8154=:;;>1j?5rs20g>5<5s499h7<>1:?067762=n:1v>=>:181854938:=63<348e7>{t;:81<7776349897h=;|q076<72;q6?>=5203896522o>0q~=<4;296~;4;=09=<523249b7=z{:9>6=4={<101?469278?;4i4:p761=83>p1>=8:31f?84aj3l870==6;d1?84?:3l87p}<3983>7}Y;:201>=6:9a8yv5413:18v3<198;g>;4;009?h5232a9b7=:;8i1j<5rs21b>5<5s498;76l;<10g?4692wx?>l50;0x965e2;;:70=c4<5;oo6k<4=3gf>c5<5:9?6k<4=20`>c4<5:896k<4=350>c7<5;386k<4=3:5>c4<5;<<6k?4}r171?6=:rT8885235;9=6=4={<170?>d349?47<>1:p711=838p1>:8:332?85303l87p}<4883>7}:;=31>>k4=26;>c455z\0e3=:<8o1j>524119b7=:<;n1j<523gf9b4=z{:i26=4={_1`=>;4k009?h5rs2aa>5<5s49h5796;<1`e?4692wx?nj50;0x96e>21i01>mj:332?xu4m10;6?uQ3d:896`a2o;0q~=ia;296~X4nh16?kl52038yv5ak3:1>v3;38909=<5rs2dg>5<5s49mh7<>1:?745;>7>52z?747<598168==5f29~w1742909wS:>3:?0=5<5:;1v9?n:181[26i27?=o4=109~w17c2909wS:>d:?75`<5981v9k4i1:p07g=838pR9h4=109>066=n=1v9{t<<:1<7;t^573?826m3l970:?2;d2?825m3l:70=ie;d2?x{e;0i1<7?<:75934}O;>h0(>;=:01:?!7e?39>=6g73;29?l7503:17d?:8;29?l76;3:17d=n6;29?l0d2900c<;m:188m6c?2900e<:?:188k40?2900e9;?:188m1472900c<:k:188k45f2900c>jm:188k4ge2900c9m;nge>5<55;294~"4=;0nh6F<8d9K72d>o013:17d6l:188k75b2900qo<>7;291?6=8r.89?4jd:J0<`=O;>h0(kh50:k;6?6=3`2?6=44i6;94?=n0j0;66a=3d83>>{e:>0;684?:1y'704=mm1C?5k4H25a?l>52900e5:50;9j3<<722c3o7>5;n00a?6=3th357>53;294~"4=;0no6F<8d9K72d31<75f8b83>>i5;l0;66sm21`94?5=83:p(>;=:da8L6>b3A9d2900c?=j:188yg70m3:197>50z&0175<55;294~"4=;0nh6F<8d9K72d>o013:17d6l:188k75b2900qo?;d;291?6=8r.89?4jd:J0<`=O;>h0e5<50;9j<1<722c<57>5;h:`>5<N40l1C?:l4i9094?=n0=0;66g89;29?l>d2900c?=j:188yg7e:3:197>50z&0175<87>55;294~"4=;0nh6F<8d9K72d>o013:17d6l:188k75b2900qo?86;291?6=8r.89?4jd:J0<`=O;>h0e5<50;9j<1<722c<57>5;h:`>5<5<4290;w)=:2;g`?M5?m2B8;o5f7883>>o?k3:17b<4?:1y'704=mj1C?5k4H25a?l1>2900e5m50;9l66c=831vn<<8:180>5<7s-9>>7kl;I1;a>N4?k1/jk4?;h5:>5<>k50;9~f74e29086=4?{%166?cd3A93i6F<7c9'bc<73`=26=44i9a94?=h::o1<75rb9`94?5=83:p(>;=:3c8L6>b3A9:188yg72>3:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg7e<3:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg5e13:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg5ei3:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg5ej3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn?750;194?6|,:?96??;;I1;a>N4?k1bj?4?::ke7?6=3f8:=7>5;|`2<5<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`2<4<72:0;6=u+34096d=O;1o0D>9m;hd1>5<6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th::l4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb04a>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb04`>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6>m0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qo?;f;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a506=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a507=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a504=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<?7>53;294~"4=;09=95G39g8L61e3`l96=44ig194?=h:8;1<75rb0cg>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6il0;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd6io0;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd6j90;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn:180>5<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a67b=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a664=8391<7>t$271>7733A93i6F<7c9jb7<722cm?7>5;n025?6=3th9?>4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb317>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb316>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb315>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd5;>0;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd5;10;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn?=6:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`16`<72:0;6=u+34096d=O;1o0D>9m;hd1>5<>i5980;66sm22294?5=83:p(>;=:3c8L6>b3A9:188yg4493:187>50z&017<59<1C?5k4H25a?l`52900ek=50;9jb1<722e9=<4?::a67?=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<53;294~"4=;09=95G39g8L61e3`l96=44ig194?=h:8;1<75rb01a>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb01`>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb01g>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6;l0;694?:1y'704=:8?0D>6j;I14f>oa:3:17dh<:188mc2=831d>4?::m154<722wi=;>50;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<9m;hd2>5<9m;hd2>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e9?>1<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo?95;297?6=8r.89?4=159K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th:9h4?:283>5}#;<81><:4H2:f?M50j2cm>7>5;hd0>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e9>31<7=50;2x 6352;;?7E=7e:J03g=nn;0;66gi3;29?j4693:17pl>7`83>7<729q/?8<51038L6>b3A97c83>7<729q/?8<51038L6>b3A97b83>6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>5;hd7>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e9>:1<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e9>;1<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e9>81<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e9>91<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo?84;297?6=8r.89?4=159K7=c<@:=i7dh=:188mc5=831d>5;hd7>5<9m;hd2>5<9m;hd2>5<9m;hd2>5<9m;hd2>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e:8o1<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo<>f;297?6=8r.89?4=159K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th9><4?:283>5}#;<81><:4H2:f?M50j2cm>7>5;hd0>5<9m;hd2>5<9m;hd2>5<9m;hd2>5<9m;hd2>5<N40l1C?:l4ig094?=nn:0;66gi4;29?l`22900c??>:188yg7?03:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831v>k4}r04>5<68rT9;63=7;00a>;?j3l870?9a;d1?871j3l:70?;f;d1?87283l:70?nd;d1?87fm3l:70<<2;d0?874j3l:70?:f;d0?870i3l:70?84;d1?84613l:70?75;d2?xu503:1>v3=7;:1?84>2;;:7p}9c;29e~X1k279=:47c:?0fd2?<5;;<6:74=3593<=:000<563=0c84=>;6?l0<563>ac84=>;66984=>;6j;0<563>5584=>;6??0<563>f;5:?872j3=270?=7;5:?845?3=270<=b;5:?xu?13:1>v379;00a>;513l97p}7a;296~;?132h706m:332?xu69:0;6?uQ1018944021i0q~?=7;292~;6:>09?h5223f9b4=:::<1j>5223;9b6=:9;4j00m=63>818e5>{t9:k1<7;<30`?`43ty:?n4?:3y>56e=:8;01<=j:g68yv74l3:1>v3>3e8154=:9:o1j?5rs063>5;5;:0m>63>3b8e5>;6>90m>63>798e7>;6>o0m>63=218e6>{t9=n1<7o65m4=070>7763ty:8k4?:3y>51`=:8;01<;<:g08yv7283:1>v3>518154=:9<81j?5rs072>5<5s4;>=7<>1:?217m6k=4}r361?6=:r7:9947c:?213<5981v<;7:180[72027:?i4i2:?15an7<5e83>7}:9c5j7>52z?21c<59816=;;5f39~w4072909w0?90;025>;6>=0m>6s|17394?4|58<:6??>;<350?`43ty::?4?:3y>534=:8;01<89:g18yv71;3:1>v3>628154=:95<5s4;=87<>1:?220?0;6?u21749647<58?n6k=4}r3552z?22=;6>m0m>6s|17`94?4|58;<35g?`43ty::n4?:3y>53e=:8;01<8k:g18yv71m3:1>v3>698;6>;6?<09=<5rs04e>5<5s4;=j7<>1:?23650;0x94172;;:70?83;d0?xu6?80;6?u21639647<58=>6k<4}r346?6=:r7:;?4=109>523=n=1v<9<:181870;38:=63>758e7>{t9>>1<777634;<97h<;|q233<72;q6=:8522g8940f2o90q~?87;296~;6?109=<5216f9b6=z{8=36=4={<34=?46927:;n4i2:p52?=838p1<9n:332?870k3l87p}>7`83>7}:9>h1>c252z?23f<59816=:j5f39~w41b2909w0?8e;00a>;6;l0m?6s|16d94?4|58=n65m4=0:2>7763ty:4=4?:3y>5=6=:8;01<6>:g18yv7?:3:1?v3>7d8;6>;4j?03>63>898154=z{8286=4={<3;7?46927:4:4i3:p5=2=838p1<6;:332?87??3l?7p}>8483>7}:91?1>c352z?2<3<59816=565f29~w4>02909w0?77;025>;6010m>6s|1``94?4|V8ki70?nb;00a>{t9hi1<7=e<58h:6??>;|q2ea<72;q6=lj5203894d62o80q~?ne;296~;6il09=<521c29b7=z{8km6=4={<3bb?46927:n=4i3:p5g6=838p1b383>7}:9k81>>k4=0cg>c552z?2f7w0<8:9a8976e2;9n70?m2;:1?872<32970<6:g18yv47k3:1?v3=0c8;g>;6??03>63>7e8154=z{;:o6=4m{<1;b?ca349i:76;;<023?>3348<65:4=05f>=2<58ki65:4=06g>=2<58<365:4=0`1>=2<58??65:4=055>=256z?152<5;l16>>:5f09>537=n816=:l5f09>526=n816=595f39~w77?2909w0<>7;:1?845938:=6s|20;94?4|5;;26??>;<02`?`43ty9=l4?:3y>64g=:8;01??j:g08yv46j3:1>v3=1c8154=::8o1j>5rs33`>5<5s48:o7<>1:?164f;d1?xu59l0;6?u220g9647<5;;m6k=4}r02b?6=:r79=k4=109>676=n:1v?{t:;=1<77t=304>75b3488n7h>;<001?`63488:7h=;<01=?`534;=>7h>;<34=?`534;<>7h>;<02e?`63ty9>54?:3y>671=0j16>?o52038yv4513:1>v3=288154=::;k1j>5rs30a>5?m50;0x974e21i01?=>:332?xu5:m0;6?u223f9647<5;936k=4}r01a?6=:r79>h4=109>667=n=1v?{t:::1<77763488=7h=;|q177<72;q6>><52038974a2o80q~<<3;296~;5;:09=<5222;9b7=z{;9?6=4={<000?469279?44i3:p663=838p1?=::332?84483l87p}=3783>7}:::<1>c452z?172<59816>?k5f29~w75?2909w0<<8;025>;5;80m?6s|22;94?4|5;926??>;<01b?`43ty9?l4?:3y>66d=:8;01?=l:g18yv44l3:19v3>ac8;6>;663>f;:`?8>e2o801?=l:332?xu4i?0;6?uQ3`4896bc2o;0q~=m6;296~X4j?16?o8522g8yv5e03:1>v3;4jk09=<5rs2`:>5<5s49i57<>1:?0fg7}:;mi1>c452z?0`a<59816?ik5f29~w6c?2908wS=j8:?213;|q715<72=qU88>4=055>=e<5:nh6k=4=04`>c4"6j>089<5`45;94?=h;jl1<75f3`494?=h>j0;66gja;29?l57l3:17d=>2;29?l56?3:17dhn:188f6>a290:6=4?{%166?`73A93i6F<7c9lac<722wi?nh50;794?6|,:?96hj4H2:f?M50j2c3>7>5;h:7>5<31<75f8b83>>i5;l0;66sm31g94?3=83:p(>;=:df8L6>b3A932900e:750;9jt$271>`b<@:2n7E=8b:k;6?6=3`2?6=44i6;94?=n0j0;66a=3d83>>{e;>o1<7;50;2x 6352ln0D>6j;I14f>o?:3:17d6;:188m2?=831b4n4?::m17`<722wi?=4?:283>5}#;<81in5G39g8L61e3-lm6=5f7883>>o?k3:17b<7>50z&017<6981C?5k4H25a?l`62900c??>:188yg0b29086=4?{%166?46<2B84h5G36`8mc4=831bj>4?::m154<722wi?94?:383>5}#;<81=5;n025?6=3th8h84?:383>5}#;<81=5;n025?6=3th8h;4?:283>5}#;<81><:4H2:f?M50j2cm>7>5;hd0>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e;8?1<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e;8<1<7=50;2x 6352;;?7E=7e:J03g=nn;0;66gi3;29?j4693:17pl<1183>7<729q/?8<51038L6>b3A96<729q/?8<52068L6>b3A9:188yg5629096=4?{%166?7692B84h5G36`8mc7=831d>4?::m154<722wi?5<50;194?6|,:?96??;;I1;a>N4?k1bj?4?::ke7?6=3f8:=7>5;|`0<6<72:0;6=u+3409642<@:2n7E=8b:ke6?6=3`l86=44o332>5<52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<53;294~"4=;09m6F<8d9K72d>i5980;66sm39494?5=83:p(>;=:337?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xu483:1>v3<0;00a>;1m3l97p}<2;296~;483=270=>:332?xu4;3:1>v3<0;:`?8532;;:7p}9c;29=~X1k278=>47c:?04`1:?0`02a<59816:h4i3:pad<72?qUil523bd93<=:;9o1;45230193<=:;>o1;452308e5>{tnh0;6>uQf`9>7a0=n;16?5>5f29~w76c290>w0=7f;ge?85dn32?70=?e;:7?856;32?70=8e;:7?xu48m0;6>uQ31f896762o801>6<:g08yv57m3:1>v3<0d817`=:;191j>5rs22e>5<5s49;i76=;<125?4692wx?<>50;0x96772;;:70=>1;d0?xu49;0;6>uQ300896712o801>6=:g08yv56;3:1>v3<12817`=:;181j>5rs237>5<5s49:?76=;<122?4692wx?<;50;0x96722;;:70=>6;d0?xu4?l0;6?u236g966c<5=>j6k?4}r14b?6=:r78;h47c:?0<5<5981v>6>:180850m32970=lf;:1?85?>38:=6s|39094?4|5:296??>;<1;1?`53ty84>4?:3y>7=5=:8;01>6::g18yv5?<3:1>v3<858154=:;1<1j>5rs2:6>5<5s49397<>1:?0<35<5sW9hj63d349o:7<>1:p7a3=838p1>j::332?85c>3l87p};4883>7}Y<=3019:m:332?xu3i6k=4}|`0=<<728?1n54l4zJ03g=#;<81=>64$0`4>6363f;947>5;h67=?6=3f9jo7>5;h1`b?6=3`;i:7>5;n327?6=3`3o6=44i536>5<>o6=>0;66g94;29?j2583:17d?m5;29?l5e=3:17b=k7;29?j5b83:17b?i:188k1562900n>6i:182>5<7s-9>>7h?;I1;a>N4?k1dik4?::a067=83?1<7>t$271>`b<@:2n7E=8b:k;6?6=3`2?6=44i6;94?=n0j0;66a=3d83>>{ei?0;6>4?:1y'704=mj1C?5k4H25a?l1>2900e5m50;9l66c=831vnoo50;194?6|,:?96hm4H2:f?M50j2c<57>5;h:`>5<5<4290;w)=:2;g`?M5?m2B8;o5f7883>>o?k3:17b<50z&0175}#;<81in5G39g8L61e3`=26=44i9a94?=h::o1<75rb00;>5<4290;w)=:2;g`?M5?m2B8;o5f7883>>o?k3:17b<50z&0175;h:`>5<5<4290;w)=:2;g`?M5?m2B8;o5f7883>>o?k3:17b<4?:1y'704=mj1C?5k4H25a?l1>2900e5m50;9l66c=831vn:;50;194?6|,:?96hm4H2:f?M50j2c<57>5;h:`>5<N40l1C?:l4i6;94?=n0j0;66a=3d83>>{e0l0;6>4?:1y'704=mj1C?5k4H25a?l1>2900e5m50;9l66c=831vn95<7s-9>>7kl;I1;a>N4?k1b;44?::k;g?6=3f88i7>5;|`0a=<72:0;6=u+3409af=O;1o0D>9m;h5:>5<6<729q/?8<5eb9K7=c<@:=i7d96:188m=e=831d>>k50;9~f`4=8391<7>t$271>`e<@:2n7E=8b:k4=?6=3`2h6=44o31f>5<53;294~"4=;0no6F<8d9K72d31<75f8b83>>i5;l0;66sm7383>6<729q/?8<5eb9K7=c<@:=i7d96:188m=e=831d>>k50;9~f6>029086=4?{%166?cd3A93i6F<7c9j3<<722c3o7>5;n00a?6=3th3h7>53;294~"4=;0no6F<8d9K72d31<75f8b83>>i5;l0;66sm17594?5=83:p(>;=:da8L6>b3A95}#;<81in5G39g8L61e3-lm6=5f7883>>o?k3:17b<;3:1?7>50z&0175;h:`>5<N40l1C?:l4$gd94>o013:17d6l:188k75b2900qo?7f;297?6=8r.89?4jc:J0<`=O;>h0(kh50:k4=?6=3`2h6=44o31f>5<53;294~"4=;0no6F<8d9K72d<,ol1<6g89;29?l>d2900c?=j:188yge629086<4<{I14f>"4=;0:n45f9083>>o>:3:17b=;a;29?g5><3:1?7>50z&017<40m1C?5k4H25a?l`52900ek=50;9l647=831v4?50;0xZ<7<5:3?6k=4}r;1>5<5sW3970=64;d1?xu46<62:qC?:l4$271>4d>3`3:6=44i8094?=h;=k1<75m38694?5=83:p(>;=:2:g?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xu>93:1>vP61:?0=11j?5rs26b>5<5sW9?m63<958154=zukhm6=4<:080M50j2.89?4>b89j=4<722c2>7>5;n17e?6=3k9287>53;294~"4=;084i5G39g8L61e3`l96=44ig194?=h:8;1<75rs8394?4|V0;01>7;:g18yv?52909wS7=;<1:0?`53ty88l4?:3y]71g<5:3?6??>;|af`<72:0:6>uG36`8 63528h27d7>:188m<4=831d?9o50;9a7<2=8391<7>t$271>6>c3A93i6F<7c9jb7<722cm?7>5;n025?6=3ty2=7>52z\:5>;41=0m?6s|9383>7}Y1;16?4:5f39~w62f2909wS=;a:?0=1<5981vqoh0ek<50;9jb6<722e9=<4?::a34<72:0;6=u+34096d=O;1o0D>9m;hd1>5<6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>t$271>4763A93i6F<7c9jb4<722e9=<4?::a7=g=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a32<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`1`d<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`0b4<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`76<<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`773<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`ag?6=:3:19m;hd2>5<5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xde03:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188ygd>29086=4?{%166?4f3A93i6F<7c9jb7<722cm?7>5;n025?6=3thi87>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<6=4<:183!52:38j7E=7e:J03g=nn;0;66gi3;29?j4693:17plm0;296?6=8r.89?4>109K7=c<@:=i7dh>:188k7762900qol>:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|``7?6=;3:1N40l1C?:l4ig094?=nn:0;66a=1083>>{ek<0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vnn950;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb6694?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm3d394?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm3d094?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm3d194?5=83:p(>;=:337?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd4lh0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`2e6<72:0;6=u+34096d=O;1o0D>9m;hd1>5<6=4=:183!52:3;:=6F<8d9K72da983>6<729q/?8<52068L6>b3A9:188yg7f13:187>50z&017<5j2B84h5G36`8mc4=831bj>4?::ke0?6=3f8:=7>5;|`2ed<72:0;6=u+3409642<@:2n7E=8b:ke6?6=3`l86=44o332>5<53;294~"4=;09m6F<8d9K72d>i5980;66sm19a94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm19f94?5=83:p(>;=:3c8L6>b3A9:188yg7?m3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vnil50;094?6|,:?96;I1;a>N4?k1bj<4?::m154<722wihn4?:383>5}#;<81=5;n025?6=3thoh7>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<>{em90;6:4?:1y'704=:l1C?5k4H25a?l`52900ek=50;9jb1<722cm97>5;hd5>5<96=4::183!52:38h7E=7e:J03g=nn;0;66gi3;29?l`32900ek;50;9l647=831vn9:<:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`701<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`700<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`703<72:0;6=u+34096d=O;1o0D>9m;hd1>5<<6=4<:183!52:38j7E=7e:J03g=nn;0;66gi3;29?j4693:17pl;4983>6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>29096=4?{%166?7692B84h5G36`8mc7=831d>4?::m154<722wi=4<50;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e90>1<7:50;2x 6352;h0D>6j;I14f>oa:3:17dh<:188mc2=831d>4?::ke0?6=3f8:=7>5;|`2=3<72:0;6=u+34096d=O;1o0D>9m;hd1>5<>i5980;66sm2283>6<729q/?8<52068L6>b3A9:188yg4329096=4?{%166?7692B84h5G36`8mc7=831d>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<>i5980;66sm3`g94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm3`d94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm3c294?5=83:p(>;=:337?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd4j80;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn5<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a54b=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<54;294~"4=;09n6F<8d9K72d>oa<3:17b<>1;29?xd69?0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qo:<8;293?6=8r.89?4=e:J0<`=O;>h0ek<50;9jb6<722cm87>5;hd6>5<>i5980;66sm42;94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm42c94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm42`94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm42a94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm42f94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm42g94?2=83:p(>;=:3`8L6>b3A95<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a016=83>1<7>t$271>7d<@:2n7E=8b:ke6?6=3`l86=44ig694?=h:8;1<75rb8794?5=83:p(>;=:337?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd>>3:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg?029096=4?{%166?7692B84h5G36`8mc7=831d>=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a=<<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`:e?6=;3:1N40l1C?:l4ig094?=nn:0;66a=1083>>{e1k0;6;4?:1y'704=:m1C?5k4H25a?l`52900ek=50;9jb1<722cm97>5;hd5>5<5<4290;w)=:2;020>N40l1C?:l4ig094?=nn:0;66a=1083>>{ek00;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xddl3:187>50z&017<5j2B84h5G36`8mc4=831bj>4?::ke0?6=3f8:=7>5;|``a?6=:3:19m;hd2>5<5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rbe294?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66smd083>7<729q/?8<51038L6>b3A9109K7=c<@:=i7dh>:188k7762900qoj<:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`g0?6=<3:1N40l1C?:l4ig094?=nn:0;66gi4;29?j4693:17plla;297?6=8r.89?4=159K7=c<@:=i7dh=:188mc5=831d>1<7>t$271>7d<@:2n7E=8b:ke6?6=3`l86=44ig694?=h:8;1<75rbba94?5=83:p(>;=:337?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xdf03:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188ygg>290>6=4?{%166?46>2B84h5G36`8mc4=831bj>4?::ke0?6=3`l>6=44o332>5<6j;I14f>oa:3:17dh<:188k7762900qool:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`b`?6=;3:19m;hd1>5<6=4=:183!52:3;:=6F<8d9K72d>i5980;66sm3g594?2=83:p(>;=:3`8L6>b3A9h7:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`0b<<72:0;6=u+3409642<@:2n7E=8b:ke6?6=3`l86=44o332>5<52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<53;294~"4=;09=95G39g8L61e3`l96=44ig194?=h:8;1<75rb001>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb000>5<4290;w)=:2;020>N40l1C?:l4ig094?=nn:0;66a=1083>>{e9;>1<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo?=5;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a570=8391<7>t$271>7733A93i6F<7c9jb7<722cm?7>5;n025?6=3th:>l4?:383>5}#;<81=5;n025?6=3th:?=4?:383>5}#;<81=5;n025?6=3th:?<4?:383>5}#;<81=5;n025?6=3th:??4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb010>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb017>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb016>5<4290;w)=:2;020>N40l1C?:l4ig094?=nn:0;66a=1083>>{e9:<1<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo?<7;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a57d=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<54;294~"4=;09n6F<8d9K72d>oa<3:17b<>1;29?xd6:m0;694?:1y'704=:8?0D>6j;I14f>oa:3:17dh<:188mc2=831d>5;n025?6=3th:>k4?:283>5}#;<81><:4H2:f?M50j2cm>7>5;hd0>5<5<5sW;m70?i:31f?xu5:3:1>v3>f;:`?8412;;:7p}=3;296~;5;38:=63=5;d1?xu5<3:1>v3=4;025>;5=3l87p}=5;296~;5=38:=63=6;d0?xu1<3:18vP94:?0a74i3:?:f?`43ty<<7>53z?45?46927:544i1:?25=37<5;l16;<4i3:p36<72hq6;?489:?765<01278i5489:?0`2<01278mn489:?0<2<01279h:489:?222<01273h796;<57>7763ty<97>52z?41?44m27<=7h=;|q42?6=;r7<976l;<51>=e<5>=1>5<6=rT;f>3=270ln:6;89g0=?016n?489:?ba?1>34;94796;<3e>2?<58;86:74=d193<=::m21;4527484=>;4010<5637e;5:?8b>2>3014=5789>5=?=?016=5h5789>5d6=?016;94i1:p<5<72;6i=0m=63>9e8e5>;69k0m=6s|8e83>6}:0m09?h521839b4=:98?1j<5rs9g94?5|51o1>>k4=0;;>c7<58;<6k?4}r:e>5<4s42n65m4=9f9>k4=2g2>c7=e<50i1>5<5s43>6??>;<;b>c5776343i6k<4}r;4>5<5s43<6??>;<;a>c2776343i6k;4}r;:>5<5s4326??>;<;a>c0776343h6k<4}r;a>5<5s43i6??>;<;`>c5:18b8g12;9n70lj:8389f5=n:16ho4i1:?707c7<5hk1j<5rs`594?4|5h<14n52ae8154=z{h21<75rs`;94?4|5h31>5rs``94?4|5hh1>5rs`094?g|5ho1>>k4=cg9=7=:k:0m>63kd;d2?823;3l970:<8;d0?82413l:70mk:g189fc=n816m44i2:pec<72;q6mh47c:?a5?4692wxn=4?:3y>f5<59816n<4i3:pe6<720q6n?4=3d9>fc<>:27h97h=;c7<5=>86k=4=51;>c2<5=9i6k?4=e09b4=:i00m?6s|b283>7}:j;03o63m5;025>{tj=0;6?u2b58154=:j<0m?6s|a583>=}:j?09?h52c18:6>;d?3l970jj:g3891232o;019=k:g389a7=n816m44i4:pf2<72;q6n;47c:?a=?4692wxn54?:3y>f=<59816n44i3:pe0<721q6nl4=3d9>g4<>:27n<7h<;<671?`634>8o7h>;c2<5m:1j<52a88e1>{tjk0;6?u2b`8;g>;el38:=6s|bb83>7}:jj09=<52be8e7>{tk;0;6>u2bg8:5>;d;38:=63l5;d0?xud<3:1?v3l0;;2?8e22;;:70m8:g18yve12909w0m>:8389f1=:8;0q~m7:1868dd2o;01o65f09>f1;7763tyh57>52z?`=?46927o?7h<;|q`e?6=:r7hm7<>1:?`f?`43tyhn7>52z?`f?46927ho7h<;|q``?6=:r7hh7<>1:?`e?`53tyhi7>52z?`a?46927o87h<;|q`b?6=:r7hj7<>1:?g0?`53tyo<7>52z?g4?46927o87h;;|qg5?6=:r7o=7<>1:?`f?`53tyo>7>52z?g6?46927hn7h;;|qg7?6=:r7o?7<>1:?`g?`53tyo87>52z?g0?46927hm7h<;|qg1?6=:r7ii7=;a:?a5?`53tyo:7>52z?ab?53i27i97h=;|qg3?6=:r7h<7=;a:?a=?`53tyo47>52z?`5?53i27ih7h=;|qg=?6=;r7o57<`g<59816i=4i2:p`f<72;q6hn4=109>a5`a<59816i=4i6:p``<72;q6hh4=109>a5`c<59816i=4i7:pa7<72=q6i>47c:?f6?44m27h57h>;c775b34n86k<4=``9b7=z{l31<75<3sW;:?63>12817`=:<=81j?5242:9b0=z{8;?6=4;{<322?46927:>>4i2:?2761683>7}:98=1>c552z?25=<59816=2909w0?>9;025>;69m0m>6s|10c94?4|58;j6??>;<32`?`43ty:=o4?:3y>54d=:8;01v3>1b8154=:98o1j>5rs03g>5<5s4;:h7<>1:?25`6;d1?xu69o0;6?u21019=4=109>575=n:1v<<>:181875938:=63>258e6>{t9;81<777634;987h<;|q266<72;q6=?=5203894422o90q~?=4;296~;6:=09=<521349b6=z{88>6=4={<311?46927:>;4i2:p57>=839pR<<7;<31h4i2:p57?=838p1<<7:9a8944a2;;:7p}>2`83>7}:9;k1>c552z?26g<59816=?j5f29~w44d2909w0?=c;025>;6:o0m?6s|13f94?4|588o6??>;<31a?`43ty:>h4?:3y>57c=:8;01<v3>318154=:9:<1j>5rs012>5<5s4;8=7<>1:?26a<50;0x94552;;:70?=c;d0?xu6;:0;6?u21219647<589<6k=4}r300?6=:r7:?94=109>57e=n=1v<=::181874=38:=63>2c8e7>{t9:<1<777634;9h7h=;|q272<72;q6=>952038944d2o80q~?:7;296~X6=>16?hh5f39~w43e2909wS?:b:?222577=n;1v<66:18e87?1388i63;6i;0m>63>a78e5>;60k0m?63>9d8e5>;5<3l:70=m0;d1?8?02o;01>h;:g3896`12o801<<=:g3894572o;01<==:g08944e2o80q~?7a;296~;60003o63>8d8154=z{82i6=4={<3;f?46927:4h4i2:p5=e=838p1<6l:332?87?l3l87p}>8e83>7}:91n1>c55fz?25d4=n:16=l;5f09>5=b=n;16=485f39>63c4<588>6k<4=00b>c7<58996k=4=015>c452z?2;61o0m>6s|18094?4|58396??>;<3:0?`33ty:5>4?:3y>5<5=:8;01<78:g18yv7><3:1>v3>958154=:90?1j>5rs0;6>5<5s4;297<>1:?2=35<2=n;1v<7n:18187>i38:=63>938e6>{t90h1<777634;2>7h<;|q2=f<72;q6=4m5203894?22o80q~?6d;296~;61m09=<521879b1=z{83n6=4={<3:a?46927:5>4i3:p5<`=838p1<7i:332?87><3l87p}>a183>46|58k;6?=j;<3b;<3:7?`534886k=4=2cf>c7<50?1j>52988e5>;4n:0m=63;6:90m=63>208e7>;6;80m=63>368e6>{t9h;1<7=e<58kj6??>;|q2e7<72;q6=l<5203894gf2o80q~?n3;296~;6i:09=<521`59b7=z{8k?6=4={<3b0?46927:m:4i3:p5d3=838p1a783>7}:9h<1>c252z?2e2<59816=l65f29~w4g?2909w0?n8;025>;6i00m?6s|1`;94?4|58k26??>;<3be?`43ty:n84?:3y]5g3<5=8<6k<4}r3a2?6=;rT:n;529`8e6>;4n<0m=6s|21f94?4|5:2m6hh4=512>=253z?1`3<59816=4l5f09>54g=n81v?j8:18184c?388i63=d78e7>{t:m21<775b348o:7h=;|q1`<<72:q6>i658b9>6a1=0j16>io52038yv5??3:1?v3<86817`=:<=81j95242:9b3=z{:236=4<{<1;?21i01>68:9a896>f2;;:7p}7}Y;hi01>ol:31f?xu4im0;6?u23`a97g6=n:1v>oi:18185fn38:=63{t;k:1<7776349i=7h=;|q0f0<72:qU?o;4=659b4=:9:>1j<5rs2ae>5<5sW9hj6360;d2?xu4l>0;6?uQ3e5896b02;9n7p}7}:;m=14n523ec964752z\0a5=:;l91>7`5=n;1v>k=:18185b:38:=63{t;l21<7;<1e5?`63ty8j=4?:3y>7`>=0j16?k?52038yv5a:3:1?v3;3:>0m?63h;:332?85a?3l97p}7}:;o?1>c252z?0b3<59816?k65f29~w6`02909w0=i7;025>;4n00m?6s|3g:94?4|5:l36??>;<1e=?`53ty?=84?:3y]043<5;nj6k?4}r614?6=:rT?>=52432966c9:7>52z?762<598168?75f09~w14?2909w0:=0;:`?825138:=6s|42394?5|V=9:70:<1;00a>;ck3l:7p};3483>7}:<:;14n5242496478;7>52z?774;3;o0m>6s|42;94?4|5=926??>;<60a?`43ty??l4?:3y>06g=:8;019=j:g08yv24j3:1>v3;3c8154=:<:o1j95rs51`>5<5s4>8o7<>1:?705j50;0x915c2;;:70:;0;d7?xu3;l0;6?u242g9647<5=9m6k=4}r60b?6=:r7??k4=109>016=n:1v9:>:181824>3l:70:;8;025>{t<=81<777634>?47h=;|q706<72;q689=5203891202o80q~:;4;296~;3<=09=<524549b7=z{=>>6=4={<671?46927?8;4i3:p010=838p19:9:332?823?3l87p};4683>7}:<==1>c5?57>52z\70<=:;1k1j<5r}c1:2?6=037)?m7;165>i4<=0;66g73;29?j54?3:17b=mf;29?l?c2900e>k::188k17>2900c;:50;9a7=`=83;1<7>t$271>c6<@:2n7E=8b:mfb?6=3th8:o4?:483>5}#;<81ii5G39g8L61e3-lm6=5f8383>>o?<3:17d96:188m=e=831d>>k50;9~f600290>6=4?{%166?cc3A93i6F<7c9'bc<73`296=44i9694?=n?00;66g7c;29?j44m3:17pl<6283>0<729q/?8<5ee9K7=c<@:=i7)hi:19j<7<722c387>5;h5:>5<5;|`2g`<72<0;6=u+3409aa=O;1o0D>9m;h:1>5<1<75f7883>>o?k3:17b<52900e5:50;9j3<<722c3o7>5;n00a?6=3th:h>4?:483>5}#;<81ii5G39g8L61e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>d583>0<729q/?8<5ee9K7=c<@:=i7d6=:188m=2=831b;44?::k;g?6=3f88i7>5;|`2`0<72<0;6=u+3409aa=O;1o0D>9m;h:1>5<1<75f7883>>o?k3:17b<52900e5:50;9j3<<722c3o7>5;n00a?6=3th:h:4?:483>5}#;<81ii5G39g8L61e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>d983>0<729q/?8<5ee9K7=c<@:=i7d6=:188m=2=831b;44?::k;g?6=3f88i7>5;|`2`<<72<0;6=u+3409aa=O;1o0D>9m;h:1>5<1<75f7883>>o?k3:17b<52900e5:50;9j3<<722c3o7>5;n00a?6=3th:h=4?:483>5}#;<81ii5G39g8L61e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>d083>0<729q/?8<5ee9K7=c<@:=i7d6=:188m=2=831b;44?::k;g?6=3f88i7>5;|`2`7<72<0;6=u+3409aa=O;1o0D>9m;h:1>5<1<75f7883>>o?k3:17b<0;684?:1y'704=mm1C?5k4H25a?l>52900e5:50;9j3<<722c3o7>5;n00a?6=3th89:4?:483>5}#;<81ii5G39g8L61e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl<3683>0<729q/?8<5ee9K7=c<@:=i7d6=:188m=2=831b;44?::k;g?6=3f88i7>5;|`f3?6=;3:1N40l1C?:l4i6;94?=n0j0;66a=3d83>>{em?0;6>4?:1y'704=mj1C?5k4H25a?l1>2900e5m50;9l66c=831vn>li:180>5<7s-9>>7kl;I1;a>N4?k1b;44?::k;g?6=3f88i7>5;|`75<<72:0;6=u+3409af=O;1o0D>9m;h5:>5<1<7=50;2x 6352li0D>6j;I14f>o013:17d6l:188k75b2900qo=j7;297?6=8r.89?4jc:J0<`=O;>h0e:750;9jt$271>`e<@:2n7E=8b:&eb?631<75f8b83>>i5;l0;66sm11494?5=83:p(>;=:da8L6>b3A9o>93:17d7=:188k62f2900n>7;:180>5<7s-9>>7=7d:J0<`=O;>h0ek<50;9jb6<722e9=<4?::p=4<72;qU5<523869b6=z{081<74>:2yK72d<,:?965<1<7=50;2x 6352:2o7E=7e:J03g=nn;0;66gi3;29?j4693:17p}61;296~X>9278594i3:p=7<72;qU5?523869b7=z{:>j6=4={_17e>;41=09=<5r}c15b?6=;3;1?vF<7c9'704=9k30e4?50;9j=7<722e88l4?::`0=1<72:0;6=u+34097=b<@:2n7E=8b:ke6?6=3`l86=44o332>5<<3l87p}62;296~X>:278594i2:p71g=838pR>:n;<1:0?4692wvn4<4sA9c5<3l97p}<4`83>7}Y;=k01>7;:332?x{e9mi1<7=51;1xL61e3-9>>7?m9:k:5?6=3`396=44o26b>5<>i5980;66s|9083>7}Y1816?4:5f29~w<4=838pR4<4=2;7>c452z\00d=:;0>1>uG36`8 63528h27d7>:188m<4=831d?9o50;9a7<2=8391<7>t$271>6>c3A93i6F<7c9jb7<722cm?7>5;n025?6=3ty2=7>52z\:5>;41=0m?6s|9383>7}Y1;16?4:5f39~w62f2909wS=;a:?0=1<5981vqo?j6;297?7=;rB8;o5+34095g?>i46<729q/?8<539f8L6>b3A9:188yv?62909wS7>;<1:0?`43ty2>7>52z\:6>;41=0m>6s|35c94?4|V:>j70=64;025>{zj8o>6=4<:080M50j2.89?4>b89j=4<722c2>7>5;n17e?6=3k9287>53;294~"4=;084i5G39g8L61e3`l96=44ig194?=h:8;1<75rs8394?4|V0;01>7;:g18yv?52909wS7=;<1:0?`53ty88l4?:3y]71g<5:3?6??>;|a5`2=8391=7=tH25a?!52:3;i56g61;29?l?52900c>:n:188f6?329086=4?{%166?5?l2B84h5G36`8mc4=831bj>4?::m154<722wx5<4?:3y]=4=:;0>1j>5rs8094?4|V0801>7;:g08yv53i3:1>vP<4`9>7<2=:8;0qpl>e283>6<62:qC?:l4$271>4d>3`3:6=44i8094?=h;=k1<75m38694?5=83:p(>;=:2:g?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xu>93:1>vP61:?0=11j?5rs26b>5<5sW9?m63<958154=zuk;n>7>53;397~N4?k1/?8<51c;8m<7=831b5?4?::m00d<722h8594?:283>5}#;<81?5j4H2:f?M50j2cm>7>5;hd0>5<5<5sW3:70=64;d0?xu>:3:1>vP62:?0=11:~f4c629086<4<{I14f>"4=;0:n45f9083>>o>:3:17b=;a;29?g5><3:1?7>50z&017<40m1C?5k4H25a?l`52900ek=50;9l647=831v4?50;0xZ<7<5:3?6k=4}r;1>5<5sW3970=64;d1?xu49m;%166?7e12c2=7>5;h;1>5<j6=44b2;7>5<4290;w)=:2;1;`>N40l1C?:l4ig094?=nn:0;66a=1083>>{t180;6?uQ909>7<2=n:1v4<50;0xZ<4<5:3?6k<4}r17e?6=:rT88l5238696476}O;>h0(>;=:0`:?l?62900e4<50;9l71g=831i?4:50;194?6|,:?96>6k;I1;a>N4?k1bj?4?::ke7?6=3f8:=7>5;|q:5?6=:rT2=63<958e7>{t1;0;6?uQ939>7<2=n;1v>:n:181[53i278594=109~yg7cm3:1?7?53zJ03g=#;<81=o74i8394?=n1;0;66a<4`83>>d41=0;6>4?:1y'704=;1n0D>6j;I14f>oa:3:17dh<:188k7762900q~7>:181[?6349287h<;|q:6?6=:rT2>63<958e6>{t;=k1<7<38:=6srb8d94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sma183>6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th8?h4?:383>5}#;<81=5;n025?6=3th:i44?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb0ga>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6mm0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`2b4<72:0;6=u+34096d=O;1o0D>9m;hd1>5<f483>6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th:j44?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb0da>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6nm0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>8k:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>8j:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`02<<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`02d<72:0;6=u+34096d=O;1o0D>9m;hd1>5<6=4=:183!52:3;:=6F<8d9K72d7<729q/?8<51038L6>b3A97<729q/?8<51038L6>b3A96<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th8;84?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb02f>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb02e>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6880;694?:1y'704=:k1C?5k4H25a?l`52900ek=50;9jb1<722e9=<4?::a70?=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<m7>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<n7>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<o7>53;294~"4=;09m6F<8d9K72d>i5980;66sm34f94?5=83:p(>;=:3c8L6>b3A9:188yg7d13:157>50z&017<5n2B84h5G36`8mc4=831bj>4?::ke0?6=3`l>6=44ig494?=nn>0;66gi8;29?l`>2900c??>:188yg7di3:197>50z&017<5k2B84h5G36`8mc4=831bj>4?::ke0?6=3`l>6=44o332>5<52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<53;294~"4=;09m6F<8d9K72d>i5980;66sm1bf94?5=83:p(>;=:3c8L6>b3A9:188yg7703:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg7713:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg77i3:1?7>50z&017<5i2B84h5G36`8mc4=831bj>4?::m154<722wi==l50;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<9m;hd1>5<:188yg77=3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn>:?:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>:>:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>:=:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>:<:187>5<7s-9>>7N4?k1bj?4?::ke7?6=3`l?6=44o332>5<>{e>10;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn;750;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<5<4290;w)=:2;020>N40l1C?:l4ig094?=nn:0;66a=1083>>{e>k0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn>m=:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`0g6<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`0g1<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`0g0<72:0;6=u+34096d=O;1o0D>9m;hd1>5<>i5980;66sm3b594?5=83:p(>;=:3c8L6>b3A9:188yg5d03:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831v;:50;1xZ32<5?>1>>k4=7:9b7=z{??1<7k09=<5rs7494?4|5?<1>5rs7:94?4|5?21>5rs7c94?4|5?k1>301h85789>7g`=?0168<75789>21<01278i:489:?247<0127:<;489:p=a<72;qU5i52e78;g>{t1l0;64u23459<7=:;:=14?5234g9<7=:;?h14?523759<7=:;?914?52a18154=:;>k1j>521139b7=z{0l1<75rsd694?5|5l=14n52e7817`=:1o0m=6s|e483>7}:m>09?h52a18e6>{t99:1<7:t=022>77634;;57h>;<33e?`534;;87h=;|q247<72>q6==<522g8946a2o801<>7:g3894622o801;l5f39>7f4=n;16?n=5f09~w4642909w0??2;:`?877=38:=6s|11694?4|58:?6??>;<331?`43ty:<;4?:6y>550=::o01<>j:g38946e2o801<>;:g68930=n816:44i2:?0g2l:332?xu6810;6?u211:9647<58:j6k=4}r33=?6=:r7:<44=109>55d=n:1v<>n:181877i38:=63>0b8e6>{t99h1<777634;;o7h<;|q24a<72;q6==k52038946a2o90q~?>0;296~;39003o63>0g8154=z{8i<6=4={<3`3?44m27:<<4i4:p5f>=838p1c883>7}:9j31>c452z?2gd<59816=nm5f39~w4ee2909w0?lb;025>;6kj0m?6s|1ba94?4|58ih6??>;<3``?`43ty:nl4?:4y>5fc=::o012o901p1:31f?87ck33970?id;d1?87di3l87p}>be83>6}:9m81>>k4=0fg><4<58ij6k;4}r3ab?6=4=3d9>5a`=1;16=hl5f39>5f?=n:1ve18:6>;6mm0m>63>c88e0>{t9j;1<7:t=0f6>75b34;n=77=;<3fb?`534;h57h9;|q2g7<72=q6=i8522g894c520801:g0894e>2o?0q~?l3;290~;6l>09?h521d19=7=:9o91j?521b;9b2=z{8i?6=4;{<3gp1c783>1}:9mk1>>k4=0g5><4<58l26k<4=0ab>c453z?2`c<>927:i44=109>5`d=n:1v;6mm0m?6s|1da94?5|58o:64?4=0gg>77634;nj7h<;|q2a`<72:q6=h<5909>5``=:8;01:g18yv7a83:1?v3>e28:5>;6n809=<521g19b6=z{8l96=4<{<3f0??634;m?7<>1:?2b0f783>6}:9l<15<521g59647<58l26k=4}r3efe8e7>{t9oi1<7<7<58lo6??>;|q2b`<72;q6=nk58b9>5`1=:8;0q~?if;296~;6l903o63>dc800d=z{;:;6=4={<3g5?>d34;oo7=;a:p657=838p1j7p}=0383>7}:9jl14n521eg971g52z?2`665m4=0g2>62f3ty9<;4?:3y>5a0=0j16=h<535c8yv47?3:1>v3>d68;g>;6m:088l5rs32;>5<5s4;o476l;<3f0?53i2wx>=750;0x94b>21i0173d=0=16?;95859>735=0=16?8k5859>5fc=0=16=nh5859>5a5=0=16=i:5859>5a3=0=16=i85859>5a1=0=16=i65859>5a?=0=16=io5859>5a6=0=16=i?5859>5a4=0=16=n95859>701=0=16?>95859~w6502909wS=<7:?072<5;l1v>=k:181854?32h70={t;:l1<7c7<5:>86??>;|q005<72;q6?9>5203896242o90q~=;1;296~;4<809=<523519b7=z{:>96=4={<176?4692788>4i4:p701=839p1>;8:31f?850i3l970??1;d0?xu4=10;6?u2345970b=n;1v>;n:181852i38:=63<5b8e6>{t;776349>o7h<;|q01f<72;q6?8m52038963c2o90q~=:3;291~;4=l09?h5237d9=4=:;?;1j<523619b6=:;<31j?5rs27e>5<5s49>i76l;<156?4692wx?;>50;0x96072;;:70=92;d1?xu4>80;6?u23739647<5:<96k=4}r160?6==r78:>4=3d9>73`=1;16?:=5f39>70g=n816?9?5f09~w6032909w0=93;:`?851>38:=6s|37794?4|5:<>6??>;<152?`43ty8984?:4y>731=::o01>9?:80896122o801>;m:g3896272o;0q~=98;296~;4>>03o63<6`8154=z{:<26=4={<15=?469278:l4i3:p700=83?pR>:;;<15f?44m278;<462:?01<8m:9a8960b2;;:7p}<6e83>7}:;?n1>c57>53z?035<>9278;>4=109>723=n:1v>9;:181850933:70=85;025>{t;><1<762f349=:7h=;|q032<72;q6?:>535c8960f2o80q~=88;296~;4?8088l5237g9b7=z{:=26=4>3z?2g`73?=n816?;;5f09>736=n81v>li:186[5en278nk4=3d9>22m7:332?xu4k;0;6?u23b09647<5:i36k<4}r1`7?6=:r78o>4=109>7f3=n;1v>m;:18185d<38:=63{t;j?1<7776349h:7h<;|q0g3<72;q6?n85203896e02o90q~=l7;296~;4k>09=<523b:9b6=z{:o>6=4={_1f1>;4m>03o6s|3d594?5|5:o<6?=j;<330?`4349h87h>;|q75<<72;qU8<74=53:>75b3twi?l:50;094?6|,:?96;I1;a>N4?k1bj<4?::m154<722wi?o=50;094?6|,:?96;I1;a>N4?k1bj<4?::m154<722wi?l>50;094?6|,:?96;I1;a>N4?k1bj<4?::m154<722wi?l?50;094?6|,:?96;I1;a>N4?k1bj<4?::m154<722wi?l<50;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<9m;hd2>5<9m;hd2>5<N40l1C?:l4ig394?=h:8;1<75rb501>5<5290;w)=:2;df?M5?m2B8;o5ff083>>i5980;66sm3cf94?4=83:p(>;=:gg8L6>b3A9h0ek?50;9l647=831vnhl50;094?6|,:?96kk4H2:f?M50j2cm=7>5;n025?6=3th8h>4?:383>5}#;<81jh5G39g8L61e3`l:6=44o332>5<52;294~"4=;0mi6F<8d9K72dm6=4=:183!52:3ln7E=7e:J03g=nn80;66a=1083>>{e080;6?4?:1y'704=nl1C?5k4H25a?l`62900c??>:188yg1e29096=4?{%166?`b3A93i6F<7c9jb4<722e9=<4?::a7`g=8381<7>t$271>cc<@:2n7E=8b:ke5?6=3f8:=7>5;|`717<72;0;6=u+3409b`=O;1o0D>9m;hd2>5<5<5290;w)=:2;df?M5?m2B8;o5ff083>>i5980;66sm5`83>7<729q/?8<5fd9K7=c<@:=i7dh>:188k7762900qo;6:181>5<7s-9>>7hj;I1;a>N4?k1bj<4?::m154<722wi954?:383>5}#;<81jh5G39g8L61e3`l:6=44o332>5<>{e=<0;6?4?:1y'704=nl1C?5k4H25a?l`62900c??>:188yg3329096=4?{%166?`b3A93i6F<7c9jb4<722e9=<4?::a16<72;0;6=u+3409b`=O;1o0D>9m;hd2>5<5<5290;w)=:2;df?M5?m2B8;o5ff083>>i5980;66sm5083>7<729q/?8<5fd9K7=c<@:=i7dh>:188k7762900qo;?:181>5<7s-9>>7hj;I1;a>N4?k1bj<4?::m154<722wi8k4?:383>5}#;<81jh5G39g8L61e3`l:6=44o332>5<n6=4=:183!52:3ln7E=7e:J03g=nn80;66a=1083>>{e:188yg0429096=4?{%166?`b3A93i6F<7c9jb4<722e9=<4?::a27<72;0;6=u+3409b`=O;1o0D>9m;hd2>5<5<5290;w)=:2;df?M5?m2B8;o5ff083>>i5980;66sm6183>7<729q/?8<5fd9K7=c<@:=i7dh>:188k7762900qo;i:181>5<7s-9>>7hj;I1;a>N4?k1bj<4?::m154<722wi9h4?:383>5}#;<81jh5G39g8L61e3`l:6=44o332>5<>{e=j0;6?4?:1y'704=nl1C?5k4H25a?l`62900c??>:188yg3029096=4?{%166?`b3A93i6F<7c9jb4<722e9=<4?::a040=83>1<7>t$271>45a3A93i6F<7c9jb4<722c2h7>5;n025?6=3f;:>7>5;|`4`?6=:3:19m;hd2>5<9m;hd2>5<9m;hd2>5<9m;hd2>5<5<5290;w)=:2;026>N40l1C?:l4ig394?=h:8;1<75rb537>5<5290;w)=:2;026>N40l1C?:l4ig394?=h:8;1<75rb533>5<5290;w)=:2;026>N40l1C?:l4ig394?=h:8;1<75rb2a`>5<5290;w)=:2;026>N40l1C?:l4ig394?=h:8;1<75rb2a3>5<5290;w)=:2;026>N40l1C?:l4ig394?=h:8;1<75rb2cb>5<4290;w)=:2;027>N40l1C?:l4ig394?=n1m0;66a=1083>>{e;k>1<7<50;2x 6352;;97E=7e:J03g=nn80;66a=1083>>{e<881<7<50;2x 6352;;97E=7e:J03g=nn80;66a=1083>>{e<;>1<7=50;2x 6352;;87E=7e:J03g=nn80;66g6d;29?j4693:17pl;0583>7<729q/?8<52008L6>b3A97<729q/?8<52008L6>b3A97<729q/?8<52008L6>b3A97<729q/?8<52008L6>b3A97<729q/?8<52008L6>b3A96<729q/?8<52018L6>b3A9:188yg24;3:1?7>50z&017<59:1C?5k4H25a?l`62900e4j50;9l647=831vn>ji:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn>k;:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn>l8:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?7l:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?7m:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?mi:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?m8:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?m>:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?lm:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?l::181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?oi:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?o6:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?o<:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?7k:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?7::181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831v?>50;0x96?>28l01>o?:g38yv462909w0=6c;3e?85f93l:7p}=7;296~;41j09;63{t;<0;6?uQ349>0a7}Y;>168k4i1:p7=<72;qU?552518e5>{t;00;6?uQ389>147}Y;k169>4i1:p7f<72;qU?n52558e5>{t;m0;6?uQ3e9>107}Y;o169:4i1:p05<72;qU8=52598e5>{t<80;6?uQ409>1<7}Y<=169o4i1:p00<72;qU88525b8e5>{t1a7}Y<1169k4i1:p0<<72;qU8452618e5>{t24;0m=6s|4b83>7}Y4i1:p0a<72:q6?4956:?716<134>o6??>;|q7a?6=;r785:48;<667?1<5=o1>5<4s492;764=570>==:;2838:=6s|5083>6}:;0=1m63;528b?8362;;:7p}:2;297~;41>0i70::3;`8904=:8;0q~;<:18085>?3i019;<:b9>16<5981v8:50;1x96?02m1688=5d:?60?4692wx984?:2y>7<1=m27?9>4j;<76>7763ty>:7>53z?0=2>?7h4=449647;|q61:?716<6927>47<>1:p1<<72:q6?495129>005=9:16944=109~w0g=838p1>78:06890g=:8;0q~;m:18185>?3;=70;m:332?xu2k3:1>v3;52823>;2k38:=6s|5e83>7}:<<91=5525e8154=z{4?<55<5s4>>?7?n;<7e>7763ty=<7>52z?716<6j27=<7<>1:p24<72;q688=51b9>24<5981v;<50;1x96?028n019;<:0f8934=:8;0q~8<:18085>?3;n70::3;3f?8042;;:7p}94;293~;4100=863<97850>;4j:0m=63;3:=02h63;3;:02h6s|6b83>1}:;0=1:n5244192f=:;0i1:n5238:92f=z{>k1<72>k01:l52038yv1d2909wS9l;<5g>7763ty52z\4a>;0n38:=6s|7g83>1}:;0:1>?<4=2;;>67034=o6k?4=6d9b4=z{1:1<721:015?52038yv?c2909wS7k;c77763tynm7>52z\fe>;bj3l:7p}jb;292~;41>03?63;528;7>;41j03?63<998fe>;41?03?63jb;025>{tnh0;6?u23859bd=:;021jl5rs030>5<5s492o7?>3:?0=<<69:1v<<7:18185>k3;9463<98826==z{89j6=4={<1:g?74i278554<139~w4272909w0=67;374>;41j0:8=5rs06g>5<5s492o7?;d:?0=<<6=>1v<;7:18185>?3;>463<9b821==z{8?i6=4={<1:g?72j278544>5c9~w40?2909w0=6c;35<>;41k0m=6s|1``94?4|5:3h6=j50;0x96>a2ll019?9:g38yv47m3:1?v3;178154=:;0=18<;4=2;:>1723ty9?k4?:3y]66`<5;3>6??>;|q105<72;qU>9>4=3;a>7763ty98?4?:3y]614<5;3h6??>;|q106<72;qU>9=4=3;g>7763ty9894?:3y]612<5;k86??>;|q100<72;qU>9;4=3c:>7763ty98;4?:3y]610<5;km6??>;|q102<72;qU>994=3`6>7763ty9854?:3y]61><5;hi6??>;|q10<<72;qU>974=3a2>7763ty98l4?:3y]61g<5;i<6??>;|q10g<72;qU>9l4=3ae>7763ty98i4?:3y>7<1=:=n019;<:36g?xu5?k0;6?u2441962d<5:336>>k;|q1=0<72;q688=522d897?22o;0q~<6b;296~;3=:098=5228`9b4=z{;3h6=4={<667?43:2795n4i1:p6l3l:7p}=a283>7}:<<91>9:4=3c0>c752z?716<5<<16>l75f09~w7ga2909w0::3;072>;5io0m=6s|2c794?4|5=?86?:8;<0a1?`63ty9no4?:3y>005=:=201?lm:g38yv4d93:1>v3;52810<=::j;1j<5rs3a4>5<5s4>>?7<;a:?1g2nh50;0x91342;>i707}:<<91?9;4=2;5>6233ty85l4?:3y>77m:332?xu41m0;6?uQ38f896?b2;;:7p}<9d83>7}:;h81>c752z?0e5<59816?l<5f39~w6g72909w0=n1;025>;4i;0m?6s|3`194?4|V:k870=n5;025>{t;h?1<7776349j97h>;|q0e2<72;qU?l94=2c;>c754z?716<4i?16?4m53`4896??2:k=70=n8;025>{t;h31<7ol;<1be?`63ty8n?4?:3y]7g4<5:h?6??>;|q0f1<72;q6?o=5203896d32o;0q~=m6;296~X4j?16?o952038yv5e?3:1>v3<9b80f3=:;k=1j<5rs2``>5<5sW9io63{t;kn1<76d2349ih7<>1:p7g`=838pR>li;<1`4?4692wx?n>50;0x96?12:hm70=l0;d2?xu4k00;6?uQ3b;896ed2;;:7p}7}:<<91?n74=2a`>c752z\0`5=:;m;1>7a7=n81v>j=:181[5c:278h>4i1:p7a5=838p1>76:2ae?85c;38:=6s|3e594?4|V:n<70=k8;025>{t;m21<76b0349o47h>;|q0`g<72;qU?il4=2fe>7763ty8hk4?:3y>7ji:g38yv5b83:1>vP7`2=:8;0q~=j4;296~;41008i=523d69b4=z{:o>6=4={_1f1>;4m?0m=6s|3d494?4|5:3=6>k:;<1f2?4692wx?h750;0xZ6c>349nm7h>;|q0ad<72:q688=53d:896?d2:o370=ja;025>{t;lh1<7k7;<1fg?`63ty?<<4?:3y]057<5=:?6??>;|q741<72;q688=5413891632o;0q~:?f;296~X38o168<>52038yv2683:1>v3;5280bd=:<8:1j<5rs532>5<5sW>:=63;138154=z{=;96=4={<667?25i27?=?4i1:p045=838pR9?<;<620?4692wx8<:50;0x91342=;870:>4;d2?xu39<0;6?uQ4078917128;97p};1683>7}:<821>:57>52z?0=3<390168<65f09~w17f2909wS:>a:?75f<5981v9?l:181822;3>:m63;1b8e5>{t<8n1<7<4?:3y]077<5=896k?4}r616?6=;r7?9>4;219>77}:;0318?>4=507>c78>7>52z\777=:<:91>065=n81v9:l:181[23k27?8i4=109~w12c2909w0=68;67=>;3n70:;f;d2?xu3m6??>;|q714<72;qU88?4=571>c7>>7>54z?0=2<3=91688=5442896?d2=?;70::2;025>{zfo8<6=4={I14f>{in;21<79m;|le6a<728qC?:l4}od1a?6=9rB8;o5rng0e>5<6sA94}O;>h0qch<2;295~N4?k1vbk=<:182M50j2wej>:50;3xL61e3tdm?84?:0yK72d51zJ03g=zfo9<6=4>{I14f>{in:21<7?tH25a?xha;00;69m;|le7a<728qC?:l4}od0a?6=9rB8;o5rng1e>5<6sA96}O;>h0qch;2;297~N4?k1vbk:<:180M50j2wej9:50;1xL61e3tdm884?:2yK72d53zJ03g=zfo><6=4<{I14f>{in=21<7=tH25a?xha<00;6>uG36`8yk`3i3:18vF<7c9~jc2e2909wE=8b:mb1e=839pD>9m;|le0a<72=qC?:l4}od7a?6=:rB8;o5rng6e>5<5sA97}O;>h0qch:2;296~N4?k1vbk;<:181M50j2wej8:50;0xL61e3tdm984?:3yK72d:7>53zJ03g=zfo?<6=4<{I14f>{in<21<7=tH25a?xha=00;68uG36`8yk`2i3:1=vF<7c9~jc3e2909wE=8b:mb0e=83;pD>9m;|le1a<728qC?:l4}od6a?6=9rB8;o5rng7e>5<6sA94}O;>h0qch92;297~N4?k1vbk8<:182M50j2wej;:50;4xL61e3tdm:84?:2yK72d53zJ03g=zfo<<6=4<{I14f>{in?21<7=tH25a?xha>00;6>uG36`8yk`1i3:1?vF<7c9~jc0e2908wE=8b:mb3e=839pD>9m;|le2a<72;qC?:l4}od5a?6=;rB8;o5rng4e>5<6sA94}O;>h0qch82;295~N4?k1vbk9<:182M50j2wej::50;3xL61e3tdm;84?:0yK72d51zJ03g=zfo=<6=4>{I14f>{in>21<7?tH25a?xha?00;69m;|le3a<72:qC?:l4}od4a?6=;rB8;o5rng5e>5<5sA97}O;>h0qch74;296~N4?k1vbk6::181M50j2wej5850;0xL61e3tdm4:4?:3yK72d52zJ03g=zfo226=4={I14f>{in1k1<7uG36`8yk`?k3:1?vF<7c9~jc>c290?wE=8b:mb=c=838pD>9m;|le5<6sA94}O;>h0qch64;295~N4?k1vbk7::182M50j2wej4850;6xL61e3tdm5:4?:0yK72d52zJ03g=zfo326=4>{I14f>{in0k1<7?tH25a?xha1k0;6k3:1>vF<7c9~jc?c290:wE=8b:mb9m;|le=c<728qC?:l4}odb4?6=:rB8;o5rngc2>5<6sA94}O;>h0qchn4;296~N4?k1vbko::182M50j2wejl850;0xL61e3tdmm:4?:0yK72d52zJ03g=zfok26=4>{I14f>{inhk1<7vF<7c9~jcgc290:wE=8b:mbdc=838pD>9m;|leec<728qC?:l4}oda4?6=;rB8;o5rng`2>5<6sA94}O;>h0qchm4;296~N4?k1vbkl::182M50j2wejo850;0xL61e3tdmn:4?:0yK72d52zJ03g=zfoh26=4>{I14f>{inkk1<79m;|lefc<72;qC?:l4}od`4?6=9rB8;o5rnga2>5<5sA96}O;>h0qchl4;295~N4?k1vbkm::181M50j2wejn850;3xL61e3tdmo:4?:3yK72d51zJ03g=zfoi26=4={I14f>{injk1<7?tH25a?xhakk0;6?uG36`8yk`dk3:1=vF<7c9~jcec290?wE=8b:m54g=83;pD>9m;|l25g<728qC?:l4}o32`?6=9rB8;o5rn03f>5<6sA92183>4}O;>h0qc?=1;295~N4?k1vb<<=:182M50j2we=?=50;3xL61e3td:>94?:0yK72d51zJ03g=zf88=6=4>{I14f>{i9;=1<7?tH25a?xh6:00;69m;|l26c<728qC?:l4}o304?6=9rB8;o5rn012>5<6sA93283>4}O;>h0qc?<4;295~N4?k1vb<=::182M50j2we=>850;3xL61e3td:?:4?:0yK72d51zJ03g=zf8926=4>{I14f>{i9:k1<7?tH25a?xh6;k0;69m;|l73c<728qC?:l4}o6;4?6=9rB8;o5rn5:2>5<6sA94}O;>h0qc:74;295~N4?k1vb96::182M50j2we85850;3xL61e3td?4:4?:0yK72d347>51zJ03g=zf=226=4>{I14f>{i<1k1<7?tH25a?xh30k0;6c290:wE=8b:m0=c=83;pD>9m;|l75<6sA94}O;>h0qc:64;295~N4?k1vb97::182M50j2we84850;3xL61e3td?5:4?:0yK72d247>51zJ03g=zf=326=4>{I14f>{i<0k1<7?tH25a?xh31k0;6k3:1=vF<7c9~j1?c290:wE=8b:m09m;|l7=c<728qC?:l4}o6b4?6=9rB8;o5rn5c2>5<6sA94}O;>h0qc:n4;295~N4?k1vb9o::182M50j2we8l950;3xL61e3td?m54?:0yK72dj57>51zJ03g=zf=kj6=4>{I14f>{i9m;|l7f5<728qC?:l4}o6a5?6=9rB8;o5rn5`1>5<6sA94}O;>h0qc:m5;295~N4?k1vb9l9:182M50j2we8o950;3xL61e3td?n54?:0yK72di57>51zJ03g=zf=hj6=4>{I14f>{i9m;|l7g5<728qC?:l4}o6`5?6=9rB8;o5rn5a1>5<6sA94}O;>h0qc:l5;295~N4?k1vb9m9:182M50j2we8n950;3xL61e3td?o54?:0yK72dh57>51zJ03g=zf=ij6=4>{I14f>{i9m;|l7`5<728qC?:l4}o6g5?6=9rB8;o5rn5f1>5<6sA94}O;>h0qc:k5;295~N4?k1vb9j9:182M50j2we8i950;3xL61e3td?h54?:0yK72do57>51zJ03g=zf=nj6=4>{I14f>{i9m;|l7a4<728qC?:l4}o6f6?6=9rB8;o5rn5g0>5<6sA94}O;>h0qc:j6;295~N4?k1vb9k8:182M50j2we8h650;3xL61e3td?i44?:0yK72dnm7>51zJ03g=zf=oi6=4>{I14f>{i9m;|l7b4<728qC?:l4}o6e6?6=9rB8;o5rn5d0>5<6sA94}O;>h0qc:i6;295~N4?k1vb9h8:182M50j2we8k650;3xL61e3td?j44?:0yK72dmm7>51zJ03g=zf=li6=4>{I14f>{i9m;|l644<728qC?:l4}o736?6=9rB8;o5rn420>5<6sA94}O;>h0qc;?6;295~N4?k1vb8>8:182M50j2we9=650;3xL61e3td><44?:0yK72d51zJ03g=zf<:i6=4>{I14f>{i=9i1<7?tH25a?xh28m0;69m;|l654<728qC?:l4}o726?6=9rB8;o5rn430>5<6sA94}O;>h0qc;>6;295~N4?k1vb8?8:182M50j2we9<650;3xL61e3td>=44?:0yK72d51zJ03g=zf<;i6=4>{I14f>{i=8i1<7?tH25a?xh29m0;69m;|l664<728qC?:l4}o716?6=9rB8;o5rn407>5<6sA94}O;>h0qc;=7;295~N4?k1vb8<6:182M50j2we9?o50;3xL61e3td>>o4?:0yK72d51zJ03g=zf<8m6=4>{I14f>{i=:81<7?tH25a?xh2;:0;69m;|l67a<728qC?:l4}o70a?6=9rB8;o5rn41e>5<6sA94}O;>h0qc8jd;295~N4?k1vb;kj:182M50j2we:k>50;3xL61e3td=j>4?:0yK72d51zJ03g=zf?l>6=4>{I14f>{i>o21<7?tH25a?xh1n00;69m;|l5ba<728qC?:l4}o4ea?6=9rB8;o5rn622>5<6sA94}O;>h0qc9?4;295~N4?k1vb:>::182M50j2we;=850;3xL61e3td<<:4?:0yK72d51zJ03g=zf>:26=4>{I14f>{i?9k1<7?tH25a?xh08j0;69m;|l455<728qC?:l4}o525?6=9rB8;o5rn631>5<6sA94}O;>h0qc9>5;295~N4?k1vb:?9:182M50j2we;<950;3xL61e3td<=54?:0yK72d51zJ03g=zf>;j6=4>{I14f>{i?8h1<7?tH25a?xh09j0;69m;|l465<728qC?:l4}o515?6=9rB8;o5rn601>5<6sA94}O;>h0qc9=5;295~N4?k1vb:<8:182M50j2we;?650;3xL61e3td<>44?:0yK72d51zJ03g=zf>8i6=4>{I14f>{i?;i1<7?tH25a?xh0:m0;69m;|l474<728qC?:l4}o506?6=9rB8;o5rn610>5<6sA94}O;>h0qc9<6;295~N4?k1vb:=8:182M50j2we;>650;3xL61e3td51zJ03g=zf>9i6=4>{I14f>{i?:i1<7?tH25a?xh0;m0;69m;|l404<728qC?:l4}o576?6=9rB8;o5rn660>5<6sA94}O;>h0qc9;6;295~N4?k1vb::8:182M50j2we;9650;3xL61e3td<844?:0yK72d51zJ03g=zf>>i6=4>{I14f>{i?=i1<7?tH25a?xh09m;|l411<728qC?:l4}o561?6=9rB8;o5rn6a2>5<6sA94}O;>h0qc9l5;295~N4?k1vb:m7:182M50j2we;no50;3xL61e3td51zJ03g=zf>in6=4>{I14f>{i?jl1<7?tH25a?xh0l90;69m;|l4`1<728qC?:l4}o5g1?6=9rB8;o5rn6f5>5<6sA94}O;>h0qc9kb;295~N4?k1vb:jk:182M50j2wemn850;3xL61e3tdjo:4?:0yK72d51zJ03g=zfhi26=4>{I14f>{iijh1<7?tH25a?xhfkj0;69m;|lb`7<728qC?:l4}ocg7?6=9rB8;o5rn`f7>5<6sA94}O;>h0qcok7;295~N4?k1vblj7:182M50j2wemi750;3xL61e3tdjhl4?:0yK72d51zJ03g=zfhnh6=4>{I14f>{iimn1<7?tH25a?xhflo0;6?uG36`8ykgb83:1=vF<7c9~jdc6290:wE=8b:me`4=83;pD>9m;|lba6<728qC?:l4}ocf0?6=9rB8;o5rn`g6>5<6sA94}O;>h0qcoj8;295~N4?k1vblk6:181M50j2wemho50;3xL61e3tdjio4?:0yK72d52zJ03g=zfhoo6=4>{I14f>{iilo1<7?tH25a?xhfmo0;6?uG36`8ykga83:1=vF<7c9~jd`6290:wE=8b:mec4=838pD>9m;|lbb6<72;qC?:l4}oce0?6=:rB8;o5rn`d6>5<6sA94}O;>h0qcoi8;295~N4?k1vblh6:182M50j2wemko50;3xL61e3tdjjo4?:0yK72d51zJ03g=zfhlo6=4>{I14f>{iioo1<7?tH25a?xhfno0;69m;|la46<72;qC?:l4}o`30?6=:rB8;o5rnc26>5<6sA94}O;>h0qcl?8;295~N4?k1vbo>6:182M50j2wen=o50;3xL61e3tdi51zJ03g=zfk:o6=4>{I14f>{ij9o1<7?tH25a?xhe990;69m;|la51<728qC?:l4}o`21?6=9rB8;o5rnc35>5<6sA94}O;>h0qcl>9;295~N4?k1vbo?n:182M50j2wen51zJ03g=zfk;n6=4>{I14f>{ij8l1<7?tH25a?xhe:90;69m;|la60<728qC?:l4}o`12?6=9rB8;o5rnc04>5<6sA94}O;>h0qcl=a;295~N4?k1vboi4?:0yK72d51zJ03g=zfk8m6=4>{I14f>{ij:81<7?tH25a?xhe;:0;69m;|la72<728qC?:l4}o`05<6sA94}O;>h0qclk50;3xL61e3tdi?k4?:0yK72d51zJ03g=zfk>:6=4>{I14f>{ij=81<7?tH25a?xhe<:0;69m;|la02<728qC?:l4}o`75<6sA94}O;>h0qcl;c;295~N4?k1vbo:k:182M50j2wen9k50;3xL61e3tdi8k4?:0yK72d<7>51zJ03g=zfk?:6=4>{I14f>{ij<>1<7?tH25a?xhe=<0;63:1=vF<7c9~jg30290:wE=8b:mf0>=83;pD>9m;|la1<<728qC?:l4}o`6e?6=9rB8;o5rnc7a>5<6sA94}O;>h0qcl:f;295~N4?k1vbo8?:182M50j2wen;:50;3xL61e3tdi:;4?:0yK72d51zJ03g=zfk<26=4>{I14f>{ij?k1<7?tH25a?xhe>k0;69m;|la2c<728qC?:l4}o`44?6=9rB8;o5rnc52>5<6sA94}O;>h0qcl87;295~N4?k1vbo97:182M50j2wen:750;3xL61e3tdi;l4?:0yK72d51zJ03g=zfk=h6=4>{I14f>{ij>n1<7?tH25a?xhe?l0;67290:wE=8b:mf=7=83;pD>9m;|la<7<728qC?:l4}o`;7?6=9rB8;o5rnc:7>5<6sA94}O;>h0qcl77;295~N4?k1vbim7:182M50j2wehn750;3xL61e3tdool4?:0yK72d51zJ03g=zfmih6=4>{I14f>{iljn1<7?tH25a?xhcl90;69m;|lg`1<728qC?:l4}ofg1?6=9rB8;o5rnef5>5<6sA94}O;>h0qcjk9;295~N4?k1vbijn:182M50j2wehil50;3xL61e3tdohn4?:0yK72d51zJ03g=zfmnn6=4>{I14f>{ilml1<7?tH25a?xhcm90;69m;|lga1<728qC?:l4}off1?6=9rB8;o5rneg5>5<5sA97}O;>h0qcjj9;296~N4?k1vbikn:181M50j2wehhl50;0xL61e3tdoin4?:3yK72d52zJ03g=zfmon6=4={I14f>{illl1<7vF<7c9~ja`52909wE=8b:m`c5=838pD>9m;|lgb1<72;qC?:l4}ofe1?6=:rB8;o5rned5>5<5sA97}O;>h0qcji9;296~N4?k1vbihn:181M50j2wehkl50;0xL61e3tdojn4?:3yK72d52zJ03g=zfmln6=4>{I14f>{ilol1<7vF<7c9~j`652909wE=8b:ma55=838pD>9m;|lf41<72;qC?:l4}og31?6=:rB8;o5rnd25>5<5sA97}O;>h0qck?9;296~N4?k1vbh>n:181M50j2wei=l50;3xL61e3tdn51zJ03g=zfl:m6=4>{I14f>{im8:1<7?tH25a?xhb980;69m;|lf50<728qC?:l4}og22?6=9rB8;o5rnd34>5<6sA94}O;>h0qck>a;295~N4?k1vbh?m:182M50j2wei51zJ03g=zfl;m6=4={I14f>{im;:1<7?tH25a?xhb:80;69m;|lf63<72;qC?:l4}og13?6=:rB8;o5rnd0;>5<5sA94}O;>h0qck=c;295~N4?k1vbhk4?:0yK72d51zJ03g=zfl9:6=4>{I14f>{im:81<7?tH25a?xhb;=0;6:ec65`57ktJKNv>r@ARxyEF \ No newline at end of file +$54g4=79;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?0167?DJB9j1J@H?P_np3457c3HFN=RQ`r123542GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5=2HJOY|;;CGQV0=EM[X::6LJRSpe=>DBZ[xmSC>n;CGQVw`XF9;=7OK]Rspf?GCUZ{xTbbz?013e?GCUZ{xTbbz?0132<>DR[VCEJB?4C59@53K>3J;=ARGMUG48G76JMGh0O?>BEO]LFP@13J8>AH@m;B06I@HXGK_M?6M7M99@EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV0i;Bnfew7Xign;<=>>1:F4?ARFKBxm;6J[ABIqvc=C\HI@~Qaou2344773M^JOF|}_omw45669>1Omyo30?58@drf484=7IaztNGg?Air|FOTc>?01g8@jssGLUd~=>?00g8@jssGLUd~=>?03g8@jssGLUd~=>?02g8@jssGLUd~=>?05g8@jssGLUd~=>?04g8@jssGLUd~=>?07g8@jssGLUd~=>?06g8@jssGLUd~=>?0938A0=BNhfg:6KIamn22>CAief996K\<1<6?@U;97?0I^2=>49FW959=2OX090;;DQ\4a=B[V:TSl`k0123a>CTW9UTmcj?0122a>CTW9UTmcj?0121a>CTW9UTmcj?0120a>CTW9UTmcj?0127a>CTW9UTmcj?0126a>CTW9UTmcj?01250>CTW8n0I^Q>_^cm`5678l1N_R?P_`lg45679l1N_R?P_`lg4567:=1N_RCTW:UTmcj?012f?@UX;VUjbi>?013f?@UX;VUjbi>?0107?@UXPMymq[Wct}e~7?3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1:1189FWZKflmUTmij?012\[dhc89:;=l5JS^Ob`aYXimn;<=>P_`lg45679830I^QBaef\[dbc89:;SRoad12354g?103:?@UXEhnoSRokd1234ZYffm:;?0^]bja678;;o7H]PQ^3\kw6789o0I^Q^_0]lv56788l0I^Q^_0]lv56788:m7H]PQ^3\kw6789;:j6K\_P]2[jt789::>h5JS^S\5Ziu89:;>h5JS^S\5Ziu89:;?h5JS^S\5Ziu89:;8h5JS^S\5Ziu89:;9h5JS^S\5Ziu89:;:h5JS^S\5Ziu89:;;h5JS^S\5Ziu89:;4h5JS^S\5Ziu89:;5h5JS^]b`a67896:2h5JS^]b`a6789692h5JS^]b`a6789682h5JS^]b`a67896?285JT@AH`>CSIJATmcj?012f?@RFKBUjbi>?013f?@RFKBUjbi>?010f?@RFKBUjbi>?011f?@RFKBUjbi>?016f?@RFKBUjbi>?017f?@RFKBUjbi>?0145?@YNJ\L97H|<;Dp11>Cu494>7H|31?68AwY7<2OyS7H|PN168BFJL12LH@FQFBTD1?CB33ONHI>5ISC38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ6?LGD[8?0ELM\249JEFU4<2CIYK74IOKWWQGSM>1BBDZ\TB:8MKOS[]I:i6GAIUQ\BVKXNOn:=<5FNHVP[CUJWOLo= Ga139JJLRTWOYFSKHk1,Km544OIA]YTJ^CPFGf1)Lh59;1BBDZ\_GQN[C@c:$Ce?<<4IOKWWZ@TEVLMh?#Fn531?LHN\ZUM_@QIFe0.Mk3b3@DBX^QISL]Lqq5a3@DBX^QISL]Lqq56n2CEEY]PFRO\Kpr4:8:0ECG[S^antZcv89:8?6GAV39JK0=NGHI_h6G@ABV\ekb789:n7DANCU]bja6789;m7DANCU]bja6789;;i6G@ABV\ekb789:9i6G@ABV\ekb789:8i6G@ABV\ekb789:?i6G@ABV\ekb789:>i6G@ABV\ekb789:=i6G@ABV\ekb789:n7DANCU]nq}6789?n7DANCU]nq}6789>0:KLF@TUWds<=>?1033?LIEM[XTaxv?0122646m7DAMESP\ip~789:9j6G@BDPQ[hs89:;?k5FOCGQVZkrp9:;<9h4IN@FVWYj}q:;<=;i;HMAAWTXe|r;<=>9f:KLF@TUWds<=>?7g9JKGCUZVg~t=>?09d8MJDBZ[Ufyu>?01;7?LIEE=1BCO]n;HMAWZTbimsi7DAMS^Pfea6j2CDN^Q]e`fz6g=NGKYT^hoky2`8MJDTW[ojht:9;HMAQCC33@EH_85FOBQ22>OHKZ;;:6G@CR322>OHKZ;996G@CR06?LID[:?0EBM\449JKFU2=2CDO^8:;HM@W23OHD9i0EBB?_lw{4567l2CD@=Qbuy23457c3@EGOHD9Ufyu>?0177?LIK9j1BCA?Pnnv3457c3@EG=R``t123543k4INPFUZkrp9:;<9k4INPFUZkrp9:;<8k4INPFUZkrp9:;<;k4INPFUZkrp9:;<:k4INPFUZkrp9:;<5k4INPFUZkrp9:;<484INPFUw3n7DA]W1]mkq6788?n7DA]W1]mkq67887DA]W0f8MJTP9Vkeh=>?0d9JKWQ6Whdo<=>?1d9JKWQ6Whdo<=>?2d9JKWQ6Whdo<=>?3d9JKWQ6Whdo<=>?4d9JKWQ6Whdo<=>?5d9JKWQ6Whdo<=>?669JKWcflp;;7DA]e`fz[Zgil9:;<?1038MJTbimsTSl`k01236c=NG[ojhtQPos2345773@EYiljv_^mq456798:0EB\jae{\[jt789:9==5FOSgb`|YXg{:;<==>0:KLV`gcqVUd~=>?0533?LIUmhnrSRa}0123146<>4INQ\BVKXNOn:!D`<119JKVYA[DUMJi?"Io6`?LITWOYFSKHk2g9JKVYA[DUMJi<"Io33?LITWOYFSKHk2,Km5472038MJUXNZGTJKj=-Hl27474INQ\BVKXNOn9!D`=119JKVYA[DUMJi<"Io124>OH[VLXARHId3/Jj1773@EXSK]B_GDg6(Oi=8:0EB]PFRO\BCb5%@d===5FOR]EWHYANm8&Ec9>0:KLWZ@TEVLMh?#Fn933?LITWOYFSKHk2,Km=f=NGZUM_@QIFe1e?LITWOYFSKHk3,Km55=NGZUM_@QIFe1.Mk7682CD_RH\M^DE`6+Nf;;;7DA\_GQN[C@c;$Ce?<>4INQ\BVKXNOn8!D`;119JKVYA[DUMJi="Io724>OH[VLXARHId2/Jj3773@EXSK]B_GDg7(Oi?8:0EB]PFRO\BCb4%@d3==5FOR]EWHYANm9&Ec7;;HMV4f=NG\:Taxv?012g?LIR8Vg~t=>?00f8MJS7Wds<=>?2e9JKP6Xe|r;<=>OH]8Uecy>?00f8MJS6Wge<=>>149JKPBT<2CD[Hk4KDGS[MOBZHXHDT94LNEJGDJf3EZFSUA]2^3:?IRnelx7<3o4LUknaw:687k0@Ygbes>25;g2?;8HQojm{6:245CThofv94912F_e`k}<2<:?IRnelx78374LUknaw:2601GXdcjr=4==>JSadoy0:06;MVji`t;0730@Ygbes>::==K\`gn~YM>3:NWmhcu\JUha}Qjq123442?01020>JSadoyXNQlmq]fu5678:;?7AZfmdpWGZejxVoz<=>?4068HQojm{^HSnc_ds3456202F_e`k}_1:8HQojm{U:56B[ilgq[46>3E^bah|P10;8HQojm{U:>55CThofvZ4?3E^bah|P399OPlkbzV>37AZfmdp\1==K\`gn~R87;MVji`tX?11GXdcjr^:;?IRnelxT5?:4LUknawYJimnTSljk0123[H~hzVXnxb{<02=61=K\`gn~RCnde]\eab789:TAua}_Sgpqir;984986B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2>2?00?IRnelxTAljk_^cg`5678VGscQ]erwop979::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7>3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=1=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;<7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1;1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?2;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz38?00?IRnelxTAljk_^cg`5678VGscQ]erwop9?9:?1GXdcjr^Ob`aYXimn;<=>PRdqvhqY7Wge<=>?10f8HQojm{UFmijP_`fg4567WVkeh=>?00g8HQojm{UFmijP_`fg4567WVkeh=>?003g?IRnelxTAljk_^cg`5678VUjbi>?003f?IRnelxTAljk_^cg`5678VUjbi>?0032`>JSadoyS@okd^]b`a6789UTmcj?0102a>JSadoyS@okd^]b`a6789UTmcj?01025a=K\`gn~RCnde]\eab789:TSl`k01205`=K\`gn~RCnde]\eab789:TSl`k012054bP_`lg45609l1GXdcjr^Ob`aYXimn;<=>P_`lg456098n0@Ygbes]NeabXWhno<=>?_^cm`56708o0@Ygbes]NeabXWhno<=>?_^cm`56708;o7AZfmdp\IdbcWVkoh=>?0^]bja6780;n7AZfmdp\IdbcWVkoh=>?0^]bja6780;:h6B[ilgq[HgclVUjhi>?01]\ekb788::i6B[ilgq[HgclVUjhi>?01]\ekb788::=?5CThofvZYflm:;<=2?>018HQojm{UTmij?012?5586;2F_e`k}_^cg`56785;:2<=4LUknawYXimn;<=>313<26>JSadoySRokd12349799;1GXdcjr^]b`a6789692<<4LUknawYXimn;<=>33?31?IRnelxTSljk01238186:2F_e`k}_^cg`56785?5=?5CThofvZYflm:;<=29>008HQojm{UTmij?012?3;753E^bah|P_`fg4567414:>6B[ilgq[Zgcl9:;<1715:Nlea7b3Eejh>2:L23>HB\^EYG<5@4:MAQC35@R318KW543FX?86Aacda8KkebWVey<=>?d:Mmg`YXg{:;<=?k;Nl`aZYhz9:;VTWOYFSKHk1,Kmb>VTWOYFSKHk1,Km5c=W[VLXARHId0/Jj7d<>4PR]EWHYANm8&Ec? Ga2g9SWZ@TEVLMh?#Fn2d8TVYA[DUMJi<"Io6e?UUXNZGTJKj=-Hl6b>VTWOYFSKHk2,Km2c=W[VLXARHId3/Jj2`a3YYTJ^CPFGf1)Lh>j2ZXSK]B_Nww4f=W[VLXARAzt13`?UUXNZGTCxz?2e9SWZejxVoz<=>?1:S6?WG;87<0^L2>0?:8VD:693:5:6\N<03=1>TF484>7_O32?78VD:46<1YM1:15:PB80823[K7:3;4R@>4:0=UI52596\N<8<0?WGJ=2XJAN]9;SCNGV713[KFO^<9;SCNGV523[KFICj4R@OFJZkrp9:;>3g9QEHCIWds<=>?15d8VDKBFVg~t=>?007e?WGJMGUfyu>?0135b>TFELDTaxv?01223c=UIDOES`{w01235=`;h4R@OFJZkrp9:;;e:PBI@HXe|r;<=>:e:PBI@HXe|r;<=>9e:PBI@HXe|r;<=>8e:PBI@HXe|r;<=>7e:PBI@HXe|r;<=>68:PBIWcflp;;7_OBRdcg}Zgil9:;<?1028VDKUmhnrS`{w012354=UIDXnmiwPmtz345669;1YM@\jae{\ip~789::<<<4R@OQadb~Wds<=>?1031?WGJZlkouRczx123444692XJA_kndx]nq}67898:=6\NMSgb`|Yj}q:;<==>1:PBIWcflpUfyu>?01625>TFE[ojhtQbuy23453692XJA_kndx]nq}6789<:=6\NMSgb`|Yj}q:;<=9>1:PBIWcflpUfyu>?01:25>TFE[ojhtQbuy2345?>3[KFS_kndxc8VDKXZlkou?e:PBW@JXe|r;<=>>f:PBW@JXe|r;<=>>0g9QEVCKWds<=>?10d8VDUBDVg~t=>?000e?WGTMEUfyu>?0130b>TF[LFTaxv?01220c=UIZOGS`{w012350`k5]ARGO[hs89:;>>h4R@QFHZkrp9:;;e:PBW@JXe|r;<=>:e:PBW@JXe|r;<=>9e:PBW@JXe|r;<=>8e:PBW@JXe|r;<=>7e:PBW@JXe|r;<=>69:PB[5YHJ\Lh7_OP0^ov|5678m1YMR>Pmtz34566l2XJS=Qbuy23454c3[KTTFW8Ufyu>?011g?WGX9Vg~t=>?05;8VDY5WFH^Jn5]A^0\ip~789:o7_OP2^ov|56788n0^LQ=_lw{4567:m1YMR3[KT?RAMUGa8VDY4Wds<=>?d:PB[6Yj}q:;<=?k;SC\7Zkrp9:;Taxv?0122`>TFW=Ufyu>?010g?WGX?02f8VDY3Wds<=>?489QEZ3XGK_Mo6\N_4]nq}6789n0^LQ:_lw{45679m1YMR;Pmtz34565l2XJS8Qbuy23455c3[KT9Rczx12341?k;SC\2Zkrp9:;<i5]A^4\ip~789:8h6\N_7]nq}6789>27_OP7^MAQCeTFW>Ufyu>?013g?WGX?Vg~t=>?03f8VDY0Wds<=>?3e9QEZ1Xe|r;<=>;9:PB[=YHJ\Lh7_OP8^ov|5678m1YMR6Pmtz34566l2XJS5Qbuy23454c3[KT4Rczx12346bTFW1Ufyu>?014:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563;2XN_95]ER36?WCTzo?0^H]}re9QAVtuWge<=>>e:PFWwtXff~;<=?>5:PLIFU13[EFO^?9;SMNGV413[EFO^=9;SMNGV213[EFO^;9;SMNGV013[EFO^9m;SQ\BVKXNOn:i6\\_GQN[C@c9$Cej6\\_GQN[C@c9$Ce=<>4RR]EWHYANm;&Ec??119QWZ@TEVLMh<#Fn0324>TTWOYFSKHk1,Km57773[YTJ^CPFGf2)Lh6;o1Y_RH\M^DE`4+Nf;l0^^QISL]EBa7*Ag9m7_]PFRO\BCb6%@d?j6\\_GQN[C@c9$Ce9k5]S^DPIZ@Al8'Bb;h4RR]EWHYANm;&Ec9i;SQ\BVKXNOn:!D`7f:PP[CUJWOLo= Ga9c9QWZ@TEVLMh?k4RR]EWHYANm8&Ech4RR]EWHYANm8&Ec?>0:PP[CUJWOLo> Ga1133?WUXNZGTJKj=-Hl25465028VVYA[DUMJi<"Io3555=U[VLXARHId3/Jj41682XXSK]B_GDg6(Oi91;;7_]PFRO\BCb5%@d:5k5]S^DPIZ@Al;'Bb?h4RR]EWHYANm8&Ec=i;SQ\BVKXNOn9!D`;f:PP[CUJWOLo> Ga5g9QWZ@TEVLMh?#Fn7d8VVYA[DUMJi<"Io5e?WUXNZGTJKj=-Hl;b>TTWOYFSKHk2,Km=g=U[VLXARHId2g8VVYA[DUMJi="Iod8VVYA[DUMJi="Io3e?WUXNZGTJKj<-Hl1b>TTWOYFSKHk3,Km7c=U[VLXARHId2/Jj1`Tbims;;6\jae{3vc=Umhnr<QPos2345773[ojht>}_^mq456798:0^hoky1p\[jt789:9:6\jae{23>Tbims:~k5]e`fz5wYXg{:;<=??;Sgb`|7uWVey<=>?1028V`gcq8xTSb|?01212>Tbims9;6\jfEmmac=UmoNdbhQPos2345773[omHb`j_^mq456798:0^hhKoog\[jt789:9==5]egFlj`YXg{:;<==>0:PfbAiimVUd~=>?05g8V``AzfgY_=@jxud8V``AzfgY_=@jxu324>TbnOxda_]?Ndzw55773[omJabRR2Ma}r698:0^hhIrnoQW5Hbp};9==5]egDqkhTT8Gosx<=>0:PfbCthe[Y;Bhv{15d8V``AzfgY_=@jxu0e?WcaN{ef^^>Aeyv0b>TbnOxda_]?Ndzw0c=UmoLyc`\\0Og{p0`l1YikH}olPP4Utb?l1YikH}olPP4Utb0?1Yik\NS79QacTby11Yik\jqHlb?WcaZl{Xucmj;SgeV`wXign;<=>i;SgeV`wXign;<=>>f:PfbWcvWhdo<=>?2d9QacTbyVddx=>?1g9QacTbyVddx=>?10f8V``UmxUd~=>?0d9QacTbyVey<=>?1d9QacTbyVey<=>?2d9QacTbyVey<=>?3d9QacTbyVey<=>?479QacSuo11Yik[}gHlf?Wca]{mTmcj?012e?Wca]{mTmcj?0122b>Tbn\xlSl`k01236d=Umn_yk^wac79Qavsk|?1XCX\LE49PS979=2Y\0?08;RU?7?79=2Y\0>0n;RlgVDKD[^X?7Y\ZE59W]UC?3]cfi2?>99Wmhcu48437Ygbes>1:==Sadoy0>08;UknawRD9;1_e`k}TB]`iuYby9:;<<=4ThofvQEXkdzTi|>?01327>Rnelx_ORmbp^gr4567:890XdcjrUA\ghvXmx:;<==>3:Vji`tSKVif|Rk~0123045<\`gn~YMPclr\at6789?<7Ygbes]355=SadoyS=QPaof3456692^bah|P0^]bja6789;:=6Zfmdp\4ZYffm:;<=<>1:Vji`tX8VUjbi>?0114?Qojm{U:==5[ilgq[4YXign;<=>>1:Vji`tX9VUjbi>?01325>RnelxT=RQnne2345403]cfiQ=119WmhcuW;UTmcj?01225>RnelxT>RQnne23457692^bah|P2^]bja67898<7Ygbes]055=SadoyS>QPaof3456692^bah|P3^]bja6789;:=6Zfmdp\7ZYffm:;<=<=2:Vji`tXEhnoSRokd1234ZKg{UYi~{ct=3=67=SadoyS@okd^]b`a6789UFtb|PRdqvhq:56;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7?3?l;UknawYJimnTSljk0123[Zgil9:;<?01]\ekb789;:=<5[ilgq[Zgcl9:;<1?1109WmhcuWVkoh=>?0=0=54=SadoySRokd1234959l2^bah|Paof3456b3]cfiQnne23457d3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA6=QXHi0Z]OP_`lg4567l2\[MRQnne23457e3_ZJSRa}0123g>PWIVUd~=>?00a8RUGXWfx;<=>=c:TSEZYhz9:;<>m4VQC\[jt789:?o6X_A^]lv5678d:ZJHLH_%QNI,= > RVVF%6)9)KXODG;;YMQ63=_[]FBN:5WSU]DJA1ei|;1h>5isc68mjdjk2cdn`Qaou2345b?2038jDBh}}FNa:lB@jssDL;:i6`NDnwwH@7XGK_M?6`NS69mEVYA[Ki0bL]PFR@\MGSA?2dJ_RG@Be9mEVYNGKUDNXHJa:lBWZOHJf:6`NSnwwa>hF[fSca{0122b>hF[fSca{01225c=iIZe~xR``t12357`>2:lAAWTXNZHTSb|?012257=iJLXYSK]M_^mq4567:880bOK]R^DPFZYhz9:;<>74nCGQVZOHJl1eNH\]_HMA[LDRN>1eNJQFOC58jGTXAFHh7cL]_HMA[JDRNo1eN_QFOC]nq}6789;;7cL]_HMA[hs89:;=<>4nCP\MJDXe|r;<=>=119mFWYNGKUfyu>?01124>hEZVCDNRczx12341773gHYSDAM_lw{4567=8:0bO\PIN@\ip~789:===5aBS]JKGYj}q:;<=9;;oABWa=iKHYTOaePIOT23>hDIZUE<45aC@Q\KGSAk2dHM^Qaou2344bk5aDhlLAZgil9:;<>94nEmvpJC?3gNdyyAJ199m@jssGL837cJ`uuMF7f=iLfCHQ@BTD6?kOWD;k0bD^C2^KAQC0:4nMFP<>hKLZUM_Oj4nMFP[CUEW@H^J55aLEQ\MJDb3gFO_RG@B^MAQCCe3gFO_RG@Bnwwg>hKLZUjbi>?00f8jIBTWhdo<=>>1b9mHAUXff~;<=?k;oNGWZhh|9:;=?006`?kJC[Vey<=>>5b9mHAUXg{:;<<8l;oNGWZiu89::;95aLVG6?kJPM8i0bAYJ_`lg4567l2dG[HQnne23457c3gF\IRoad12347bhHM=1eCH?;;oMF6==iGLUDNXH7;oMuawjfq880bBxjrmcz[DekzVCEZ;oMuawjfqVkeh=>?0032?kIqm{fjuRoad12347763gE}ibny^cm`5678:;:7cAyesnb}Zgil9:;<9?>;oMuawjfqVkeh=>?0432?kIqm{fjuRoad123431hUIZ?0b_O\149mVDU5=2dYM^=:;oPBW131e^BCLS0`8jWIJKZUDNXH9;oPLIRC03gXDAZK>b:lQKHQBWFH^J95aUEQ;?kSC[VLXNi5aUEQ\BVDXAK_M46`ZDR]JKGc?0e9mQAUXign;<=>>c:lV@VYig}:;<hQEH20b[CN_HMAa>hQEHUBCOQ@BTDFf>hQEHUBCOazte9mRHGXWhdo<=>?e:lUIDYXign;<=>>c:lUIDYXg{:;<=j4nWOB[Ziu89:;=i5aVLC\[jt789:9h6`YM@]\kw67899o7cXBA^]lv5678=n0b[CN_^mq4567=m1eZ@OP_np34561l2d]ALQPos23451c3g\FMRQ`r1234=b?00f8jSKFWhdo<=>?2e9mRHGXign;<=>=9:s`pNOp0171>FGp:3i6K4=:0yP<2<4i<09>>4>35`3f2<5982>wc=n2;38j6g42?1/?l?538a8yV>12:k>6?<<:017f5d02;;:n=5k38`94?7=9rY3;7=n5;017?741978rQ52j3:1=7?5305xW=1=;h?1>?=5126a4g1=:8;396*<8e82f==#:;>18;m4b2;a>5<3j3?=6l9tH2:a?!51:392n6T88;1x5?2==3w/=o953738/14c2900c:m50;9l7`7=831b?o650;9l032=831b8>750;9l063=831d;h4?::m763<722c8oi4?::m767<722e8hl4?::m0`5<722c2h7>5;hgb>5<5<5<5<5<>i4il0;66a>o4nj0;66g>i3;;0;66a>i4nl0;66a;4`83>>i4mj0;66a>o3>00;66a>i5<;0;6)<=6;075>h5:<0;76a=4183>!45>38?=6`=2482?>i5h5:<0976a=4`83>!45>38?=6`=2480?>i5<00;6)<=6;075>h5:<0?76a=4983>!45>38?=6`=2486?>i5<>0;6)<=6;075>h5:<0=76a=4783>!45>38?=6`=2484?>i5<<0;6)<=6;075>h5:<0376a=4583>!45>38?=6`=248:?>i5<:0;6)<=6;075>h5:<0j76a=3g83>!45>38?=6`=248a?>o3<3:1(?<9:518j7422910e9<50;&163<3;2d9>84>;:k75?6=,;8=69=4n306>7=h5:<0876g;4;3:l160<232c8o7>5$305>156;54i2`94?"5:?0??6`=2484?>o4i3:1(?<9:518j7422110e>750;&163<3;2d9>846;:k0d=h5:<0i76g<6;29 7412=90b?<::b98m63=83.9>;4;3:l1605$305>156h54i5`94?"5:?0??6`=248e?>o3i3:1(?<9:518j74228:07d:6:18'670=<:1e>?;51098m1>=83.9>;4;3:l160<6:21b8:4?:%012?243g8997?<;:k72?6=,;8=69=4n306>42<3`>>6=4+234906=i:;?1=854i2d94?"5:?0??6`=24822>=n;=n;65m38d94?7=83:p(>8=:g28L6?b3A93n6ajf;29?xd4i90;6<4?:1y'734=;1i0D>7j;I1;f>i5:;0;66sm3`:94?7f2;>1?5uG39`8 6052120V:651z09y!7e?39==6g73;29?j7203:17b=90;29?l0d2900e94;h37>5<#:;<1=85a23795>=n9:0;6)<=6;36?k45=3807d?>:18'670=9<1e>?;53:9jb?6=,;8=6<;4n306>1=849;:k`>5<#:;<1=85a23793>=nj3:1(?<9:078j7422110el4?:%012?723g899774;h;94?"5:?0:96`=248b?>o?290/>?85149m673=j21b;7>5$305>436n54i783>!45>3;>7c<=5;f8?l7b290/>?85149m673=m21b=i4?:%012?723g8997h4;c1b4?6=93:16m;n016?6=3th?=54?:483>5}#;?81ii5G38g8L6>e3-lm6=5f8383>>o?<3:17d96:188m=e=831d>>k50;9~f43>29096=4?{%156?7692B85h5G39`8mc7=831d>5;n025?6=3th?=44?:383>5}#;?81=5;n025?6=3thmn7>59;294~"4>;09j6F<9d9K7=d>oa<3:17dh::188mc0=831bj:4?::ke5<5;hd;>5<7j;I1;f>oa:3:17dh<:188k7762900qo<;e;296?6=8r.8:?4>109K7:188k7762900qo<;f;296?6=8r.8:?4>109K7:188k7762900qo<:0;290?6=8r.8:?4=b:J0=`=O;1h0ek<50;9jb6<722cm87>5;n025?6=3th?=n4?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb53g>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb53f>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb53e>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb503>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd3:80;694?:1y'734=:k1C?4k4H2:a?l`52900ek=50;9jb1<722e9=<4?::a6`2=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a6`3=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a6`0=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a6`1=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a6`>=8391<7>t$241>7733A92i6F<8c9jb7<722cm?7>5;n025?6=3th9i44?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb3gb>5<3290;w)=92;0a?M5>m2B84o5ff383>>oa;3:17dh;:188k7762900qo?;1;297?6=8r.8:?4=a:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a513=83?1<7>t$241>7e<@:3n7E=7b:ke6?6=3`l86=44ig694?=nn<0;66a=1083>>{e9=<1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo?;7;297?6=8r.8:?4=159K729086=4?{%156?46<2B85h5G39`8mc4=831bj>4?::m154<722wi=9o50;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e9=i1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo?;2;297?6=8r.8:?4=159K75;n025?6=3th:894?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<=838pR552fc8e2>{t13:1?vP6;c1<58><6k<4}rc94?5|Vh16jo4i8:?20252z\a?8`e2o30q~m50;1xZf=:nj0m>63>448e6>{tl3:1?vPk;c5<58>>6k=4}rg94?5|Vl16jn4i4:?20053z\e?8`d2o?01<:::g78yv76290>wS?>;c0<5=;o6k?4=3g6>c7<58>86k<4}r30>5<1sW;870hl:g58917b2o;01?k9:g3894262o801<:9:g08yv73290c><5;>n6k?4=53`>c5<5;o36k<4=062>c5<58>96k<4}r35>5<1sW;=70hl:g;8972a2o;019?i:g3897c02o;01<:6:g08yv7c2909wS?k;c4:o7h=;|q;7?6=:rT3?63;1984=>{tnh0;6?uQf`9>ba<5981vkl50;0x9cd=:8;01kj5f39~wce=838p1km520389cb=n:1v<:?:181[73827:894=109~w4262909w0?;1;025>;6<00m?6s|15094?4|58>96??>;<377?`43ty:8>4?:3y>515=:8;01<:;:g18yv73=3:1>v3>448154=:9=i1j?5rs065>5<5s4;?:7<>1:?20gj6k=4}r37=?6=:r7:844=109>512=n;1v<:n:181873i38:=63>4c8e7>{t9=h1<777634;?o7h<;|q20f<72;q6=9m5203894252o90q~?:8;296~X6=116=8o52038yv7213:1>v3>588154=:95rs32e>5<5s49j<7<=2:?75=9j50;6xZ72c34;>m7h=;<064?46927:8;4i3:p61c=838p1?:j:332?84283l97p}=4g83>7}::=l1>c252z\1a6=::lk1>6`>=n:1v?k::18184b=38:=63=e`8e6>{t:l<1<7776348n57h=;|q1a2<72;q6>h95203897c>2o90q~=839p19?7:31f?84283l870{t<8n1<777634>9<7h=;|q75`<72;q68f;296~;39o09=<524339b1=z{=8;6=4={<614?46927?><4i2:p07b=838pR9<58?26k?4=06;>c721>v?53;'5g1=;?;0e5=50;9l014=831b?o950;9l063=831d8?850;9l7ag=831b:n4?::k010<722e9;k4?::m751<722c8jo4?::k00=<722c?:54?::k77=<722c98i4?::m777<722c9i>4?::m74a<722e98?4?:%012?4392d9>84?;:m105<72-89:7<;1:l160<632e98o4?:%012?4392d9>84=;:m10d<72-89:7<;1:l160<432e9844?:%012?4392d9>84;;:m10=<72-89:7<;1:l160<232e98:4?:%012?4392d9>849;:m103<72-89:7<;1:l160<032e9884?:%012?4392d9>847;:m101<72-89:7<;1:l160<>32e98>4?:%012?4392d9>84n;:m17c<72-89:7<;1:l1605$305>446=54i0394?"5:?0:>6`=2482?>oa290/>?85139m673=:21bi7>5$305>446>54ie83>!45>3;97c<=5;68?le=83.9>;4>2:l160<232ci6=4+234957=i:;?1:65fa;29 7412880b?<::698m<<72-89:7?=;o011?><3`21<7*=27826>h5:<0276g8:18'670=9;1e>?;5a:9j2?6=,;8=6<<4n306>g=h5:<0h76g>d;29 7412880b?<::e98m4e=83.9>;4>2:l1605$305>446k54i0c94?"5:?0:>6`=24824>=n900;6)<=6;31?k45=3;:76g>8;29 7412880b?<::008?l70290/>?85139m673=9:10e<>50;&163<6:2d9>84>4:9a7<`=83;1<7>t$241>c6<@:3n7E=7b:mfb?6=3th8>54?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl<5583>6<729q/?;<5eb9K7>k50;9~f62029086=4?{%156?cd3A92i6F<8c9j3<<722c3o7>5;n00a?6=3th8944?:283>5}#;?81in5G38g8L6>e3`=26=44i9a94?=h::o1<75rb26:>5<4290;w)=92;g`?M5>m2B84o5f7883>>o?k3:17b<50z&0278?50;194?6|,:<96hm4H2;f?M5?j2.mj7>4i6;94?=n0j0;66a=3d83>>{e:?l1<7=50;2x 6052li0D>7j;I1;f>"an3:0e:750;9jt$241>`e<@:3n7E=7b:&eb?631<75f8b83>>i5;l0;66sm35494?5=83:p(>8=:da8L6?b3A93n6*if;28m2?=831b4n4?::m17`<722wi?io50;194?6|,:<96hm4H2;f?M5?j2c<57>5;h:`>5<N41l1C?5l4$gd94>o013:17d6l:188k75b2900qo5<53;294~"4>;0no6F<9d9K7=d<,ol1<6g89;29?l>d2900c?=j:188yg4?83:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg4?93:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn9:<:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn9>j:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn9=<:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>:m:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>:l:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`012<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`01=<72:0;6=u+37096d=O;0o0D>6m;hd1>5<7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl;3783>6<729q/?;<52068L6?b3A93n6gi2;29?l`42900c??>:188yg26=3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg26>3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn9:::181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn9:9:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn9:8:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn9:7:186>5<7s-9=>7N40k1bj?4?::ke7?6=3`l?6=44ig794?=h:8;1<75rb533>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb532>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb531>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb530>5<2290;w)=92;0`?M5>m2B84o5ff383>>oa;3:17dh;:188mc3=831d>5;hd7>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e:h:1<7<50;2x 60528;:7E=6e:J0>{e:h;1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo5;n025?6=3th9m54?:383>5}#;?81=5;n025?6=3th9m44?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb3cb>5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e:hn1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo109K7:188k7762900qot$241>7733A92i6F<8c9jb7<722cm?7>5;n025?6=3th9n>4?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb3`7>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3`6>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd5j?0;6>4?:1y'734=:8>0D>7j;I1;f>oa:3:17dh<:188k7762900qot$241>4763A92i6F<8c9jb4<722e9=<4?::a6gd=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb3`e>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd5k90;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd5k80;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn?m=:180>5<7s-9=>7<>4:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a6f3=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm2b:94?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd5kk0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn?ml:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn?mk:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`1g`<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm2ec94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm2e`94?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg4ck3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn>?>:180>5<7s-9=>7<>4:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a744=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb237>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb236>5<2290;w)=92;0`?M5>m2B84o5ff383>>oa;3:17dh;:188mc3=831d>5;n025?6=3th8=:4?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e;831<7=50;2x 6052;;?7E=6e:J07<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl<0683>6<729q/?;<52068L6?b3A93n6gi2;29?l`42900c??>:188yg5703:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg5713:1?7>50z&027<5i2B85h5G39`8mc4=831bj>4?::m154<722wi?=o50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?=l50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?=m50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?=j50;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<6m;hd2>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e:oo1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qot$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb221>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd48:0;6>4?:1y'734=:8>0D>7j;I1;f>oa:3:17dh<:188k7762900qo=?4;297?6=8r.8:?4=159K75;n025?6=3th8<;4?:583>5}#;?81><;4H2;f?M5?j2cm>7>5;hd0>5<1<75`20394?=zj;oo6=4=:183!51:3;:=6F<9d9K7=d7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=f483>6<729q/?;<52`9K74?::m154<722wi>k750;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e:ll1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo5;n025?6=3th9j<4?:583>5}#;?81><;4H2;f?M5?j2cm>7>5;hd0>5<1<75`20394?=zj;n:6=4=:183!51:3;:=6F<9d9K7=d:188yg4c<3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn?j::180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`1`3<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm32d94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm35294?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm35394?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm35094?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm35194?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg53<3:187>50z&027<5j2B85h5G39`8mc4=831bj>4?::ke0?6=3f8:=7>5;|`000<72=0;6=u+37096g=O;0o0D>6m;hd1>5<>i5980;66sm43594?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm43:94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm43;94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm43c94?2=83:p(>8=:336?M5>m2B84o5ff383>>oa;3:17dh;:188k7762900qo:=b;297?6=8r.8:?4=a:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a77g=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a77d=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a77e=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb20e>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb216>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd4;?0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd4;>0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd4;10;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd4;00;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd4;h0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd4;k0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn>=l:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`075<72:0;6=u+37096d=O;0o0D>6m;hd1>5<>i5980;66sm32094?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg54;3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn>=;:187>5<7s-9=>7N40k1bj?4?::ke7?6=3`l?6=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm26594?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26:94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26;94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26c94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26`94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26a94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26f94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26g94?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg40<3:1;7>50z&027<5m2B85h5G39`8mc4=831bj>4?::ke0?6=3`l>6=44ig494?=nn>0;66a=1083>>{e:>?1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo<86;297?6=8r.8:?4=159K7329086=4?{%156?4f3A92i6F<8c9jb7<722cm?7>5;n025?6=3th94k4?:383>5}#;?81=5;n025?6=3th95;4?:383>5}#;?81=5;n025?6=3th95:4?:383>5}#;?81=5;n025?6=3th9554?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb3;:>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3;b>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3;a>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3;`>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3:6>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3:5>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3:4>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd5010;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn?66:187>5<7s-9=>7N40k1bj?4?::ke7?6=3`l?6=44o332>5<53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb3:a>5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e:1i1<7=50;2x 6052;;?7E=6e:J06<729q/?;<52`9K7b29086=4?{%156?4f3A92i6F<8c9jb7<722cm?7>5;n025?6=3th95=4?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb3;2>5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e:081<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo<63;297?6=8r.8:?4=159K75;n025?6=3th9584?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<6m;hd2>5<6m;hd1>5<7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=6d83>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=5583>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=5483>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=5783>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=5683>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=5983>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=5883>6<729q/?;<52`9K75;n025?6=3th99o4?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb37`>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd5=m0;6;4?:1y'734=:m1C?4k4H2:a?l`52900ek=50;9jb1<722cm97>5;hd5>5<6m;hd1>5<>i5980;66sm27394?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg41:3:1?7>50z&027<5i2B85h5G39`8mc4=831bj>4?::m154<722wi>;=50;194?6|,:<96??;;I1:a>N40k1bj?4?::ke7?6=3f8:=7>5;|`121<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm27494?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg41?3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831v;4?:3y]2>;5i80m>6s|7;296~X0348j57h=;|q;>5<5sW201?oi:g08yv?=838pR4522c79b7=z{h0;6?uQa:?1fg52z\a?84d93l97p}l:181[e<5;i<6k<4}rf94?4|Vm16>nj5f39~w`<72;qUi63=d48e6>{t990;6?uQ119>6<`=n:1v5<5sW;<70vP>8:?1ea5rs0c94?4|V8k01?l6:g18yv7e2909wS?m;<0ab?`43ty:o7>52z\2g>;5k<0m?6s|1e83>7}Y9m16>nl5f29~w4c=838pRc40z\5g>;1n32h70<81;d1?856:3l870=>5;d1?85703l:70=?9;d0?857m3l:70j3l:70<60;d1?84203l:7p}9f;290~;1n388i63<0`8e5>;4;?0m=63=9`8e5>{t0:0;6kuQ829>77>=?016?8:5789>711=?016?875789>71?=?016:k489:?114<01279:k489:?1<7<012788;489:?1ag<01279jl489:?04c<01278ho4i1:p65b=838p1>7i:dd8964?21>0q~<l<52038yv4383:1>vP>3:\105=z{;>96=4<{_d8Z725348on7h=;|q106<72;qU>9=4=3cb>7763ty9894?:3y]612<5;h;6??>;|q100<72;qU>9;4=3`5>7763ty98;4?:3y]610<5;hh6??>;|q102<72;qU>994=3a1>7763ty9854?:3y]61><5;i36??>;|q10<<72;qU>974=3af>7763ty98l4?:3y]61g<5;n=6??>;|q10g<72;qU>9l4=3f`>7763ty98i4?:dy]61b<5;2;6k?4=352>c5<5:;96k<4=22a>c7<5;l>6k<4=3f2>c7<5;n86k=4=21f>c5<5=8<6k?4=214>c7<5;336k<4=3;:>c7<5;3h6k?4}r065?6=ir799<4=3d9>01>=n;168<=5f39>627=n=16?<=5f39>6`c=n;16?>h5f09>07>=n816>465f29>630=n;1v?;=:181842932h70<97;025>{t:<91<7776348>57h<;|q111<72;q6>8:52038973c2o80q~<:5;296~;5=<09=<5224f9b1=z{;?=6=4={<062?4692799i4i5:p601=838p1?;8:332?842l3l=7p}=5983>7}::<21>c557>52z?11<<59816>8h5f39~w73f2909w0<:a;025>;5=o0m?6s|24`94?4|5;?i6??>;<054?`43ty99n4?:3y>60e=:8;01?8>:g18yv42l3:1>v3=5e8154=::?91j>5rs37f>5<5s48>i7<>1:?11d8h50;0x973a2;;:70<94;d1?xu5>90;6?u22729647<5;<96k<4}r055?6=:r79:<4=109>635=n;1v?8=:181841:38:=63=658e7>{t:?91<7776348=97h=;|q121<72;q6>;:5203897012o90q~<95;296~;5><09=<522759b6=z{;<=6=4={<052?469279::4i2:p63>=838p1?87:332?842i3l87p}=6883>7}::?31>c452z?12d<59816>8l5f29~w70e2909w0<9b;025>;5>;0m?6s|27a94?4|5;;<055?`53ty9:i4?:3y>63b=:8;01?;l:g18yv41m3:1>v3=6d8154=::5rs34e>5<5s48=j7<:>50;0x97162;;:70<8d;d2?xu5?;0;6?u227d9<1>4=109>623=n;1v?9;:181840<38:=63=778e7>{t:>?1<7776348<:7h=;|q132<72;q6>:952038971b2o90q~<88;296~;5?109=<522669b6=z{;=26=4={<04=?469279;94i2:p62g=838p1?9n:332?840<3l?7p}=7c83>7}::>h1>c052z?13f<59816>::5f49~w71c2909w0<8d;025>;5?=0m;6s|26g94?4|5;=n6??>;<041?`43ty9;k4?:3y]62`<5;2:6??>;|q1<5<72;q6>5>5203897>62o90q~<72;2953}::181>>k4=2fe>c7<5;3m6k<4=3c3>c7<5;k<6k<4=3c;>c7<5;ko6k<4=3cf>c7<5;h86k<4=3`7>c7<5;h26k<4=3`b>c7<5;hm6k<4=3a3>c7<5;i>6k<4=3a5>c7<5;ii6k<4=3a`>c7<5;n26k<4=3fb>c7<5;n96k?4=3f0>c252z?1<732909w0<74;025>;50>0m>6s|29794?4|5;2>6??>;<0:6?`43ty94;4?:3y>6=0=:8;01?7;:g18yv4??3:1>v3=868154=::1h1j?5rs3:;>5<5s48347<>1:?1=65750;0x97>>2;;:70<7c;d0?xu50h0;6?u229c9647<5;2o6k=4}r0;f?6=:r794o4=109>6=c=n:1v?6l:18184?k38:=63=918e7>{t:1n1<77763482=7h<;|q1<`<72;q6>5k5203897?22o80q~<7f;296~;50o09=<522959b6=z{;3;6=4={<0:4?4692795<4i2:p6<7=838p1?7>:332?84>:3l97p}=9383>7}::081>c552z?1=6<59816>4:5f39~w7?32909w0<64;025>;51<0m?6s|28494?4|5;3=6??>;<0;f?`43ty95:4?:3y>6<1=:8;01?67:g18yv4>03:1>v3=998154=::1i1j?5rs3;:>5<5s48257<>1:?1<<4o50;0x97?f2;;:70<79;d7?xu51k0;6?u228`9647<5;2j6k<4}r0:g?6=:r795n4=109>6=g=n:1v?7j:18184>n38:=63=a38e6>{t:0l1<7776348j=7h<;|q1e5<72;q6>l?5203897g52o90q~09=<522`c9b7=z{;k<6=4={<0b=838p1?o6:332?84fi3l87p}=ab83>7}::hn1>c452z?1e`<59816>lh5f29~w7gb2909w0;5j90m?6s|2c094?4|5;h86??>;<0a2?`53ty9n>4?:3y>6g2=:8;01?l::g18yv4e<3:1>v3=b48154=::k<1j>5rs3`;>5<5s48i57<>1:?1ffo750;0x97df2;;:706f4=n;1v?li:18184d838:=63=c08e7>{t:j:1<7776348h>7h<;|q1g1<72;q6>n;5203897e?2o80q~7}::ji1>c552z?1ga<59816>nk5f29~w7b72909w0;5l=0m>6s|2e394?4|5;n96??>;<0g0?`43ty9h?4?:3y>6a5=:8;01?j9:g18yv4c;3:1>v3=d58154=::m?1j>5rs3f7>5<5s48o97<>1:?1`3i650;0x97b>2;;:706ae=n:1v?k<:181[4b;27??>4i1:p6`d=83;8w0;49k0m=63<108e7>;49<0m863<068e6>;48m0m?63=f58e5>;5mo0m>63<438e5>;4:h0m=63<398e5>;5?h0m=63=7d8e6>;50=0m?63=878e5>;5=l0m>63=6c8e5>;5>j0m>63=548e5>{t:li1<7=e<5;l:6??>;|q1aa<72;q6>hj5203897`?2o80q~7}::o81>c552z?1b6<59816>k75f39~w7`32909w0;5n00m?6s|2g794?4|5;l>6??>;<0e4?`43ty9j;4?:3y>6c0=:8;01?kj:g18yv4a?3:1>v3=f68154=::o:1j95rs3d;>5<5s48m47<>1:?1ack750;0x97`>2;;:7075b349:o7h=;<125?`5349:97h:;<13g?`6348mh7h=;<0f`?`6348m?7h=;<0e2?`6349?<7h>;<11g?`5349857h>;<047?`4348<47h>;<0:2?`6348397h>;<0;?7h>;<06a?`4348=o7h<;<062?`63ty9jo4?:3y>6cg=0j16?=852038yv4ak3:1>v3=fb8154=::on1j>5rs3dg>5<5s48mh7<>1:?043kk50;0x97`b2;;:70=?3;d1?xu5no0;6?u22gd9647<5:::6k=4}r134?6=:r78<=4=109>750=n=1v>>>:181857938:=63<038e6>{t;981<7776349;?7h<;|q046<72;q6?==5203896632o90q~=?4;296~;48=09=<523179b7=z{::>6=4={<131?469278<;4i2:p751=838p1>>8:332?857<3l97p}<0983>7}:;921>c552z?04<<59816>kh5f39~w66f2909w0=?a;025>;5no0m?6s|31`94?4|5::i6??>;<136?`43ty875e=:8;01>>::g18yv57l3:1>v3<0e8154=:;9:1j?5rs22f>5<5s49;i7<>1:?045;5nj0m=63<068e7>;5n;0m=63=f28e7>;5n>0m=63<408e5>;4;h0m=63=728e6>;5?00m=63=968e5>;50l0m>63=698e5>;5=>0m=63=588e6>;5=j0m>63=618e6>{t;8:1<7=e<5:;26??>;|q054<72;q6?2;296~;49;09=<523049b7=z{:;86=4={<127?469278=;4i3:p742=838p1>?;:332?85603l87p}<1483>7}:;8?1>c552z?053<59816?<95f29~w6702909w0=>7;025>;4910m>6s|30:94?4|5:;36??>;<12=?`53ty8=l4?:3y>74d=:8;01>?l:g18yv5503:1?v3<29817`=:;=h1j<523459b4=z{:826=4={<1153499h7<>1:p77g=838p1>7}:;;h1>c552z?06f<59816??j5f39~w64b290?w0=<4;025>;5?j0m=63=688e5>;5==0m=6s|33d94?4|5:8m6??>;<10f?`43ty8?=4?:3y>766=:8;01>=;:g68yv5493:1>v3<308154=:;:81j?5rs211>5<5s498>7<>1:?076=50;0x96542;;:70=<4;d0?xu4;<0;6?u23279647<5:9h6k<4}r102?6=:r78?;4=109>76e=n:1v>=8:181854?38:=63<338e7>{t;:21<7776349887h=;|q07<<72;q6?>75203896572o80q~=4i2:p76e=838p1>=l:332?85493l87p}<3e83>1}:;=?1>c7<5;c752z?07`<59816?9;5f29~w65a2909w0=;4<:0m?6s|35294?4|5:>;6??>;<170?`43ty88<4?:3y>717=:8;01>:;:g08yv53:3:1>v3<438154=:;=>1j95rs260>5<5s49??7<>1:?00075?=n;16?>;5f39>6=?=n:1v>:7:181[5302788447c:p71?=83>p1><7:9a8962>2;9n70=;c;d1?855j3l:7p}<4`83>7}:;==14n5235a964752z?00g<59816?9m5f29~w633290ow0=:4;00a>;49:0m?63<148e7>;48m0m>63=fd8e6>;4880m>63<428e6>;4;k0m>63<308e6>;5?>0m=63=858e6>;50m0m>63=6e8e5>{t;7}:;<>14n5234:9647;7>52z?012<59816?865f29~w63>2909w0=:9;00a>;4=10m>6s|3`494?4|5:>=65m4=23`>7763ty8n:4?:7y]7g1<5=9=6k=4=535>c4<5=>>6k?4=533>c7<5=8j6k=4}r1ge?6=:rT8hl523ec966c52z?0`d<01278ho4=109~w6bb2909w0=ka;:`?85cn38:=6s|3g`94?4|V:li70:>2;d2?xu38m0;6?uQ41f8916b2;;:7p};0g83>7}:<9o1j<524019647:<7>52z?755<598168<=5f29~w1762909w0:>1;025>;39:0m86s|40094?4|5=;96??>;<627?`23ty?=94?:3y]042<5=;=6??>;|q750<72;q68<;5203891712o90q~:=6;296~X3:?168?l52038yv25?3:1>v3;268154=:<;k1j?5rs50;>5<5s4>947<>1:?76d2;;:70:=b;d0?xu3:h0;6?u243c9647<5=8i6k<4}r606?6=:rT???524219647897>52z\770=:<:<1>96=4={_676>;3<:09=<5rs567>5<5s4>??7h>;<6736k:4}r673?6=:r7?8:4=109>01>=n<1v987:186[21027??;4i2:?750ok:1827?0?2>8pD>6m;%156?7412.:n:4<609j<6<722c:>54?::k21=<722c:=>4?::k0f2<722c=o7>5;n36f?6=3`9mn7>5;h374?6=3f;=47>5;h65847>5;n37`?6=3f;8m7>5;n1fg?6=3f;jn7>5;n3e>5<7j;I1;f>ibn3:17pl0<729q/?;<5ee9K75;|`152<72<0;6=u+3709aa=O;0o0D>6m;%de>5=n0;0;66g74;29?l1>2900e5m50;9l66c=831vn?950;794?6|,:<96hj4H2;f?M5?j2c3>7>5;h:7>5<31<75f8b83>>i5;l0;66sm8883>6<729q/?;<5eb9K7>k50;9~f76e29086=4?{%156?cd3A92i6F<8c9j3<<722c3o7>5;n00a?6=3th:;h4?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>ac83>0<729q/?;<5ee9K75;|`20a<72<0;6=u+3709aa=O;0o0D>6m;h:1>5<1<75f7883>>o?k3:17b<10;684?:1y'734=mm1C?4k4H2:a?l>52900e5:50;9j3<<722c3o7>5;n00a?6=3th:n?4?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>5583>0<729q/?;<5ee9K75;|`233<72<0;6=u+3709aa=O;0o0D>6m;h:1>5<1<75f7883>>o?k3:17b<50z&0275;h:`>5<N41l1C?5l4$gd94>o013:17d6l:188k75b2900qo<=7;297?6=8r.8:?4jc:J0=`=O;1h0(kh50:k4=?6=3`2h6=44o31f>5<53;294~"4>;0no6F<9d9K7=d<,ol1<6g89;29?l>d2900c?=j:188yg5bl3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg>e29086=4?{%156?4f3A92i6F<8c9jb7<722cm?7>5;n025?6=3th:9;4?:383>5}#;?81=5;n025?6=3th:n94?:383>5}#;?81=5;n025?6=3th8ol4?:383>5}#;?81=5;n025?6=3th8oo4?:383>5}#;?81=5;n025?6=3th8on4?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e91:1<7<50;2x 60528;:7E=6e:J0>{e91;1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo<109K7:188k7762900qo<t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm17f94?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd64?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn<;?:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn<;>:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn<;=:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`216<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm1`g94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm1`d94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm1c294?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg7e93:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn>h?:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`0b4<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`0b7<72:0;6=u+37096d=O;0o0D>6m;hd1>5<>i5980;66sm22194?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg44<3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg44=3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg44>3:1?7>50z&027<5i2B85h5G39`8mc4=831bj>4?::m154<722wi>>950;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi>>650;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e:;o1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo<=f;297?6=8r.8:?4=159K75;n025?6=3th9?<4?:583>5}#;?81><;4H2;f?M5?j2cm>7>5;hd0>5<1<75`20394?=zj;826=4<:183!51:38j7E=6e:J06<729q/?;<52068L6?b3A93n6gi2;29?l`42900c??>:188yg74j3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg74k3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg74l3:1?7>50z&027<5i2B85h5G39`8mc4=831bj>4?::m154<722wi=>k50;694?6|,:<96??:;I1:a>N40k1bj?4?::ke7?6=3`l?6=44o332>5<h7>52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<j7>53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb043>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd6>80;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd6>;0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd6>:0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn<8;:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`220<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm14g94?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd6?10;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn<96:180>5<7s-9=>7<>4:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a52g=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a52d=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a52e=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<54;294~"4>;09n6F<9d9K7=d>oa<3:17b<>1;29?xd6>o0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn<9?:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn<9>:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn<9=:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn<9<:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`231<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<54;294~"4>;09n6F<9d9K7=d>oa<3:17b<>1;29?xd5900;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd59h0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd59k0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd59j0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd59m0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn??j:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`15c<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm23394?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd60:0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd60=0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd60<0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd60?0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd60>0;684?:1y'734=:j1C?4k4H2:a?l`52900ek=50;9jb1<722cm97>5;n025?6=3th:454?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<5<5sW;m70?i:31f?xu5?3:1==uQ269>62<5;l164o4i3:?22d54?:3y>621:p2f<72hqU:n522059521729b6=:9>21j?5217d9b6=::8i1j<521949b4=z{191<7?={_:0?85d?3=270<>7;5:?8402>301575789>65d=?016=:k5789>5dd=?016=9j5789>53>=?016=o<5789>502=?016=:85789>5c<0127:9o489:?262<01279>:489:?16g<012wx444?:3y><<<5;l16>44i2:p2o901<;k:g3894>32o;0q~?=8;297~X6:116?no5f09>5=6=n81v<=n:181[74i27:?h4=109~w45e2909w0?;6;m0m?6s|12a94?4|589h6??>;<30a?`33ty:?i4?:3y>56b=:8;01<=j:g08yv7383:14vP>419>7c6=n;16>>=5f39>56e=n816=;>5f39>52>=n:16=;h5f39>676=n;1v<:k:181[73l27:8i4=3d9~w42b2909w0?;d;:`?872;38:=6s|15d94?4|58>m6??>;<367?`53ty:9=4?:3y>506=:8;01<;=:g08yv7293:1>v3>508154=:9<81j>5rs071>5<5s4;>>7<>1:?2165<5sW;>n63>5c817`=z{8?h6=4={<36f?>d34;>i7<>1:p50b=838p1<;k:332?871;3l87p}>5g83>7}:9c452z?225<59816=;:5f39~w4062909w0?91;025>;6>=0m?6s|17094?4|58<96??>;<352?`43ty::>4?:3y>535=:8;01<;j:g08yv71<3:1>v3>658154=:9??1j>5rs046>5<5s4;=97<>1:?22310;6?uQ17:8940?2;9n7p}>6883>7}:9?214n5217f964752z?22d<59816=;j5f39~w40e2909w0?9b;025>;6>j0m?6s|17a94?4|58;<35`?`43ty::h4?:3y>53>=0;16=:;52038yv71n3:1>v3>6g8154=:9>91j?5rs053>5<5s4;<<7<>1:?2366k:4}r347?6=:r7:;>4=109>522=n:1v<9;:181870<38:=63>748e7>{t9><1<775b34;=m7h<;|q232<72;q6=:652038941c2o90q~?88;296~;6?009=<5216a9b7=z{8=26=4={<34e?46927:;n4i3:p52g=838p1<9m:332?870l3l?7p}>7c83>7}:9>i1>c452z?23`<5;l16=>k5f29~w41a2909w0?8e;:`?87?938:=6s|19294?4|582;6??>;<3;5?`43ty:4?4?:2y>52c=0;16?n95839>5=>=:8;0q~?73;296~;60:09=<521959b6=z{82?6=4={<3;0?46927:4:4i4:p5=3=838p1<6::332?87??3l>7p}>8783>7}:91<1>c552z?2<2<59816=565f39~w4ge2909wS?nb:?2eg<5;l1v{t9hn1<777634;i=7h=;|q2e`<72;q6=lk5203894d72o80q~?nf;296~;6io09=<521c29b6=z{8h;6=4={<3a4?46927:n<4i3:p5g4=838p1b283>7}:9k814n521c6964755z?13?>d348;n7<65d=0j16=:85839>52b=:8;0q~;59>03863=7;:7?870m32?70?nb;:7?873l32?70?98;:7?87e:32?70?:4;:7?870>32?7p}=1683>3}::8=1>>k4=317>c7<58<:6k?4=05a>c7<58=;6k?4=0:4>c452z?152<4=109~w77>2909w0<>9;025>;59m0m?6s|20c94?4|5;;j6??>;<02a?`53ty9=o4?:3y>64d=:8;01??j:g18yv46k3:1>v3=1b8154=::;;1j>5rs33g>5<5s48:h7<>1:?15cf;d0?xu59o0;6?u220d9647<5;8;6k=4}r014?6=:r79>=4=109>677=n;1v?<8:18:845?388i63=3c8e5>;5;<0m=63=378e6>;5:00m>63>638e5>;6?00m>63>738e5>;59h0m=6s|23:94?4|5;8<65m4=30b>7763ty9>44?:3y>67?=:8;01?;1j<5220`9b4=:9191j<5rs30`>5<5s489n76l;<005?4692wx>?j50;0x974c2;;:70<<8;d0?xu5:l0;6?u223g9647<5;9:6k:4}r01b?6=:r79>k4=109>666=n;1v?=?:181844838:=63=308e6>{t::81<77763489j7h=;|q176<72;q6>>=52038975>2o80q~<<4;296~;5;=09=<5222;9b6=z{;9>6=4={<001?469279?=4i3:p660=838p1?=9:332?845m3l97p}=3683>7}:::=1>c552z?17=<59816>>?5f29~w75>2909w0<<9;025>;5:o0m?6s|22c94?4|5;9i6??>;<00g?`43ty9?i4?:4y>5dd=0;16=9j5839>5c;|q0g2<72;qU?n94=2a4>75b3ty8o44?:3y>7f1=0j16?nm52038yv5di3:1>v35<5s49hn7<>1:?0gf1:p7``=838p1>kk:g3896`52;;:7p}7}:;o:1>c452z?0b4<59816?k<5f29~w6`e2908wS=ib:?213=839pR9=7;<3a0?`634;jj7h>;|q72=<72=qU8;64=055>=e<58c5"6j>08:<5`47394?=h;l:1<75f3c594?=h>j0;66gja;29?l56l3:17d==2;29?l55?3:17dhn:188f6?a290:6=4?{%156?`73A92i6F<8c9lac<722wi?h>50;794?6|,:<96hj4H2;f?M5?j2c3>7>5;h:7>5<31<75f8b83>>i5;l0;66sm30g94?3=83:p(>8=:df8L6?b3A93n6g72;29?l>32900e:750;9jt$241>`b<@:3n7E=7b:k;6?6=3`2?6=44i6;94?=n0j0;66a=3d83>>{e;1o1<7;50;2x 6052ln0D>7j;I1;f>o?:3:17d6;:188m2?=831b4n4?::m17`<722wi?=4?:283>5}#;?81in5G38g8L6>e3-lm6=5f7883>>o?k3:17b<7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg0b29086=4?{%156?46<2B85h5G39`8mc4=831bj>4?::m154<722wi?94?:383>5}#;?81=5;n025?6=3th8i;4?:383>5}#;?81=5;n025?6=3th8i:4?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e;;?1<7<50;2x 60528;:7E=6e:J0>{e;;<1<7=50;2x 6052;;?7E=6e:J07<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl<2083>6<729q/?;<52068L6?b3A93n6gi2;29?l`42900c??>:188yg5629096=4?{%156?7692B85h5G39`8mc7=831d>4?::m154<722wi?4<50;194?6|,:<96??;;I1:a>N40k1bj?4?::ke7?6=3f8:=7>5;|`0=6<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm38494?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xu483:1>v3<0;00a>;1m3l97p}<2;296~;483=270=>:332?xu4;3:1>v3<0;:`?8532;;:7p}9c;29=~X1k278>>47c:?05`1:?0a3=4i1:?0=12a<59816:h4i3:pad<72?qUil523d293<=:;8o1;45233193<=:;1o1;452308e5>{tnh0;6>uQf`9>7`1=n;16?4>5f29~w76c290>w0=6f;ge?85b832?70=>e;:7?855;32?70=7e;:7?xu49m0;6>uQ30f896462o801>7<:g08yv56m3:1>v3<1d817`=:;091j>5rs23e>5<5s49:i76=;<115?4692wx??>50;0x96472;;:70==1;d0?xu4:;0;6>uQ330896412o801>7=:g08yv55;3:1>v3<22817`=:;081j>5rs207>5<5s499?76=;<112?4692wx??;50;0x96422;;:70==6;d0?xu40l0;6?u239g966c<5=<96k?4}r1;b?6=:r784h47c:?0=5<5981v>7>:18085?m32970=j0;:1?85>>38:=6s|38094?4|5:396??>;<1:1?`53ty85>4?:3y>7<5=:8;01>7::g18yv5><3:1>v3<958154=:;0<1j>5rs2;6>5<5s49297<>1:?0=35<5sW9n<636=4={<1f4?>d349n;7<>1:p7`0=838p1>k9:332?85b?3l87p};6083>7}Y;0;6?u24709647<5=<86k=4}|`0ed<728?1n54l4zJ064$0`4>6063f;947>5;h655?6=3f9ih7>5;h1f4?6=3`;i:7>5;n327?6=3`3o6=44i50g>5<>o6=>0;66g94;29?j2403:17d?m5;29?l5d>3:17b=j8;29?j5a;3:17b?i:188k12>2900n>7i:182>5<7s-9=>7h?;I1:a>N40k1dik4?::a01?=83?1<7>t$241>`b<@:3n7E=7b:k;6?6=3`2?6=44i6;94?=n0j0;66a=3d83>>{ei?0;6>4?:1y'734=mj1C?4k4H2:a?l1>2900e5m50;9l66c=831vnoo50;194?6|,:<96hm4H2;f?M5?j2c<57>5;h:`>5<5<4290;w)=92;g`?M5>m2B84o5f7883>>o?k3:17b<50z&0275}#;?81in5G38g8L6>e3`=26=44i9a94?=h::o1<75rb00;>5<4290;w)=92;g`?M5>m2B84o5f7883>>o?k3:17b<50z&0275;h:`>5<5<4290;w)=92;g`?M5>m2B84o5f7883>>o?k3:17b<4?:1y'734=mj1C?4k4H2:a?l1>2900e5m50;9l66c=831vn:;50;194?6|,:<96hm4H2;f?M5?j2c<57>5;h:`>5<N41l1C?5l4i6;94?=n0j0;66a=3d83>>{e0l0;6>4?:1y'734=mj1C?4k4H2:a?l1>2900e5m50;9l66c=831vn9=7:180>5<7s-9=>7kl;I1:a>N40k1b;44?::k;g?6=3f88i7>5;|`0bg<72:0;6=u+3709af=O;0o0D>6m;h5:>5<6<729q/?;<5eb9K7>k50;9~f`4=8391<7>t$241>`e<@:3n7E=7b:k4=?6=3`2h6=44o31f>5<53;294~"4>;0no6F<9d9K7=d31<75f8b83>>i5;l0;66sm7383>6<729q/?;<5eb9K7>k50;9~f6?029086=4?{%156?cd3A92i6F<8c9j3<<722c3o7>5;n00a?6=3th3h7>53;294~"4>;0no6F<9d9K7=d31<75f8b83>>i5;l0;66sm17594?5=83:p(>8=:da8L6?b3A93n6*if;28m2?=831b4n4?::m17`<722wih44?:283>5}#;?81in5G38g8L6>e3-lm6=5f7883>>o?k3:17b<;3:1?7>50z&0275;h:`>5<N41l1C?5l4$gd94>o013:17d6l:188k75b2900qo?7f;297?6=8r.8:?4jc:J0=`=O;1h0(kh50:k4=?6=3`2h6=44o31f>5<53;294~"4>;0no6F<9d9K7=d<,ol1<6g89;29?l>d2900c?=j:188yge629086<4<{I1;f>"4>;0:n45f9083>>o>:3:17b=:a;29?g5f<3:1?7>50z&027<41m1C?4k4H2:a?l`52900ek=50;9l647=831v4?50;0xZ<7<5:k?6k=4}r;1>5<5sW3970=n4;d1?xu4=h0;6?uQ34c896g32;;:7psmc183>6<62:qC?5l4$241>4d>3`3:6=44i8094?=h;8=:2;g?M5>m2B84o5ff383>>oa;3:17b<>1;29?xu>93:1>vP61:?0e11j?5rs27b>5<5sW9>m63b89j=4<722c2>7>5;n16e?6=3k9j87>53;294~"4>;085i5G38g8L6>e3`l96=44ig194?=h:8;1<75rs8394?4|V0;01>o;:g18yv?52909wS7=;<1b0?`53ty89l4?:3y]70g<5:k?6??>;|af`<72:0:6>uG39`8 60528h27d7>:188m<4=831d?8o50;9a7d2=8391<7>t$241>6?c3A92i6F<8c9jb7<722cm?7>5;n025?6=3ty2=7>52z\:5>;4i=0m?6s|9383>7}Y1;16?l:5f39~w63f2909wS=:a:?0e1<5981vqo6m;hd1>5<6<729q/?;<52`9K7t$241>4763A92i6F<8c9jb4<722e9=<4?::a7t$241>4763A92i6F<8c9jb4<722e9=<4?::a32<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`1a7<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`741<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`704<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`70`<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`ag?6=:3:16m;hd2>5<5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xde03:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188ygd>29086=4?{%156?4f3A92i6F<8c9jb7<722cm?7>5;n025?6=3thi87>52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<6=4<:183!51:38j7E=6e:J0109K7:188k7762900qol>:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|``7?6=;3:1N41l1C?5l4ig094?=nn:0;66a=1083>>{ek<0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vnn950;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb6694?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm3g694?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm3g794?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm3g494?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd4mk0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`2e6<72:0;6=u+37096d=O;0o0D>6m;hd1>5<6=4=:183!51:3;:=6F<9d9K7=da983>6<729q/?;<52068L6?b3A93n6gi2;29?l`42900c??>:188yg7f13:187>50z&027<5j2B85h5G39`8mc4=831bj>4?::ke0?6=3f8:=7>5;|`2ed<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm19a94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm19f94?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg7?m3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vnil50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wihn4?:383>5}#;?81=5;n025?6=3thoh7>52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<>{em90;6:4?:1y'734=:l1C?4k4H2:a?l`52900ek=50;9jb1<722cm97>5;hd5>5<5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`71f<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`71a<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`71`<72:0;6=u+37096d=O;0o0D>6m;hd1>5<6<729q/?;<52`9K729096=4?{%156?7692B85h5G39`8mc7=831d>4?::m154<722wi=4<50;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e90>1<7:50;2x 6052;h0D>7j;I1;f>oa:3:17dh<:188mc2=831d>4?::ke0?6=3f8:=7>5;|`2=3<72:0;6=u+37096d=O;0o0D>6m;hd1>5<>i5980;66sm2283>6<729q/?;<52068L6?b3A93n6gi2;29?l`42900c??>:188yg4329096=4?{%156?7692B85h5G39`8mc7=831d>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<>i5980;66sm3cd94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm3b294?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm3b394?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd4k;0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn5<7s-9=>7<>4:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a54b=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<54;294~"4>;09n6F<9d9K7=d>oa<3:17b<>1;29?xd69?0;6>4?:1y'734=:8>0D>7j;I1;f>oa:3:17dh<:188k7762900qo::0;293?6=8r.8:?4=e:J0=`=O;1h0ek<50;9jb6<722cm87>5;hd6>5<>i5980;66sm44394?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm44094?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm44194?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm44694?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm44794?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm44494?2=83:p(>8=:3`8L6?b3A93n6gi2;29?l`42900ek:50;9l647=831vn9;8:180>5<7s-9=>7<>4:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a00>=83>1<7>t$241>7d<@:3n7E=7b:ke6?6=3`l86=44ig694?=h:8;1<75rb8794?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd>>3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg?029096=4?{%156?7692B85h5G39`8mc7=831d>=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a=<<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`:e?6=;3:1N41l1C?5l4ig094?=nn:0;66a=1083>>{e1k0;6;4?:1y'734=:m1C?4k4H2:a?l`52900ek=50;9jb1<722cm97>5;hd5>5<5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{ek00;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xddl3:187>50z&027<5j2B85h5G39`8mc4=831bj>4?::ke0?6=3f8:=7>5;|``a?6=:3:16m;hd2>5<5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rbe294?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66smd083>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17plk2;296?6=8r.8:?4>109K7:188k7762900qoj<:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`g0?6=<3:1N41l1C?5l4ig094?=nn:0;66gi4;29?j4693:17plla;297?6=8r.8:?4=159K71<7>t$241>7d<@:3n7E=7b:ke6?6=3`l86=44ig694?=h:8;1<75rbba94?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xdf03:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188ygg>290>6=4?{%156?46>2B85h5G39`8mc4=831bj>4?::ke0?6=3`l>6=44o332>5<7j;I1;f>oa:3:17dh<:188k7762900qool:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`b`?6=;3:16m;hd1>5<>i5980;66sm41c94?2=83:p(>8=:3`8L6?b3A93n6gi2;29?l`42900ek:50;9l647=831vn9>m:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`74f<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb001>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb000>5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e9;>1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo?=5;297?6=8r.8:?4=a:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a570=8391<7>t$241>7733A92i6F<8c9jb7<722cm?7>5;n025?6=3th:>l4?:383>5}#;?81=5;n025?6=3th:?=4?:383>5}#;?81=5;n025?6=3th:?<4?:383>5}#;?81=5;n025?6=3th:??4?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb010>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb017>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb016>5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e9:<1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo?<7;297?6=8r.8:?4=a:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a57d=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<54;294~"4>;09n6F<9d9K7=d>oa<3:17b<>1;29?xd6:m0;694?:1y'734=:8?0D>7j;I1;f>oa:3:17dh<:188mc2=831d>5;n025?6=3th:>k4?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<5<5sW;m70?i:31f?xu5:3:1>v3>f;:`?8412;;:7p}=3;296~;5;38:=63=5;d1?xu5<3:1>v3=4;025>;5=3l87p}=5;296~;5=38:=63=6;d0?xu1<3:18vP94:?0b04i3:?:f?`43ty<<7>53z?45?46927:544i1:?25=37<5;l16;<4i3:p36<72hq6;?489:?77=<01278jo489:?0a=<01278ni489:?0=2<01279hk489:?222<01273h796;<57>7763ty<97>52z?41?44m27<=7h=;|q42?6=;r7<976l;<51>=e<5>=1>5<6=rT;f>3=270ln:6;89g0=?016n?489:?ba?1>34;94796;<3e>2?<58;86:74=d193<=::l:1;4527484=>;4110<5637e;5:?8b>2>3014=5789>5=?=?016=5h5789>5d6=?016;94i1:p<5<72;6i=0m=63>9e8e5>;69k0m=6s|8e83>6}:0m09?h521839b4=:98?1j<5rs9g94?5|51o1>>k4=0;;>c7<58;<6k?4}r:e>5<4s42n65m4=9f9>k4=2d7>c7=e<50i1>5<5s43>6??>;<;b>c5776343i6k<4}r;4>5<5s43<6??>;<;a>c2776343i6k;4}r;:>5<5s4326??>;<;a>c0776343h6k<4}r;a>5<5s43i6??>;<;`>c5:18b8g12;9n70lj:8389f5=n:16ho4i1:?71dc7<5hk1j<5rs`594?4|5h<14n52ae8154=z{h21<75rs`;94?4|5h31>5rs``94?4|5hh1>5rs`094?g|5ho1>>k4=cg9=7=:k:0m>63kd;d2?822j3l970::0;d0?82293l:70mk:g189fc=n816m44i2:pec<72;q6mh47c:?a5?4692wxn=4?:3y>f5<59816n<4i3:pe6<720q6n?4=3d9>fc<>:27h97h=;c7<5=?i6k=4=573>c2<5=?86k?4=e09b4=:i00m?6s|b283>7}:j;03o63m5;025>{tj=0;6?u2b58154=:j<0m?6s|a583>=}:j?09?h52c18:6>;d?3l970jj:g38913d2o;019;::g389a7=n816m44i4:pf2<72;q6n;47c:?a=?4692wxn54?:3y>f=<59816n44i3:pe0<721q6nl4=3d9>g4<>:27n<7h<;<66`?`634>>87h>;c2<5m:1j<52a88e1>{tjk0;6?u2b`8;g>;el38:=6s|bb83>7}:jj09=<52be8e7>{tk;0;6>u2bg8:5>;d;38:=63l5;d0?xud<3:1?v3l0;;2?8e22;;:70m8:g18yve12909w0m>:8389f1=:8;0q~m7:1868dd2o;01o65f09>f1;7763tyh57>52z?`=?46927o?7h<;|q`e?6=:r7hm7<>1:?`f?`43tyhn7>52z?`f?46927ho7h<;|q``?6=:r7hh7<>1:?`e?`53tyhi7>52z?`a?46927o87h<;|q`b?6=:r7hj7<>1:?g0?`53tyo<7>52z?g4?46927o87h;;|qg5?6=:r7o=7<>1:?`f?`53tyo>7>52z?g6?46927hn7h;;|qg7?6=:r7o?7<>1:?`g?`53tyo87>52z?g0?46927hm7h<;|qg1?6=:r7ii7=:a:?a5?`53tyo:7>52z?ab?52i27i97h=;|qg3?6=:r7h<7=:a:?a=?`53tyo47>52z?`5?52i27ih7h=;|qg=?6=;r7o57<`g<59816i=4i2:p`f<72;q6hn4=109>a5`a<59816i=4i6:p``<72;q6hh4=109>a5`c<59816i=4i7:pa7<72=q6i>47c:?f6?44m27h57h>;c775b34n86k<4=``9b7=z{l31<75<3sW;:?63>12817`=:<>4i2:?2761683>7}:98=1>c552z?25=<59816=2909w0?>9;025>;69m0m>6s|10c94?4|58;j6??>;<32`?`43ty:=o4?:3y>54d=:8;01v3>1b8154=:98o1j>5rs03g>5<5s4;:h7<>1:?25`6;d1?xu69o0;6?u21019=4=109>575=n:1v<<>:181875938:=63>258e6>{t9;81<777634;987h<;|q266<72;q6=?=5203894422o90q~?=4;296~;6:=09=<521349b6=z{88>6=4={<311?46927:>;4i2:p57>=839pR<<7;<31h4i2:p57?=838p1<<7:9a8944a2;;:7p}>2`83>7}:9;k1>c552z?26g<59816=?j5f29~w44d2909w0?=c;025>;6:o0m?6s|13f94?4|588o6??>;<31a?`43ty:>h4?:3y>57c=:8;01<v3>318154=:9:<1j>5rs012>5<5s4;8=7<>1:?26a<50;0x94552;;:70?=c;d0?xu6;:0;6?u21219647<589<6k=4}r300?6=:r7:?94=109>57e=n=1v<=::181874=38:=63>2c8e7>{t9:<1<777634;9h7h=;|q272<72;q6=>952038944d2o80q~?:7;296~X6=>168=<5f39~w43e2909wS?:b:?222577=n;1v<66:18e87?1388i63;6i;0m>63>a78e5>;60k0m?63>9d8e5>;5<3l:70=l1;d1?8?02o;019>8:g38916>2o801<<=:g3894572o;01<==:g08944e2o80q~?7a;296~;60003o63>8d8154=z{82i6=4={<3;f?46927:4h4i2:p5=e=838p1<6l:332?87?l3l87p}>8e83>7}:91n1>c55fz?25d4=n:16=l;5f09>5=b=n;16=485f39>63c4<588>6k<4=00b>c7<58996k=4=015>c452z?2;61o0m>6s|18094?4|58396??>;<3:0?`33ty:5>4?:3y>5<5=:8;01<78:g18yv7><3:1>v3>958154=:90?1j>5rs0;6>5<5s4;297<>1:?2=35<2=n;1v<7n:18187>i38:=63>938e6>{t90h1<777634;2>7h<;|q2=f<72;q6=4m5203894?22o80q~?6d;296~;61m09=<521879b1=z{83n6=4={<3:a?46927:5>4i3:p5<`=838p1<7i:332?87><3l87p}>a183>46|58k;6?=j;<3b;<3:7?`534886k=4=2`e>c7<50?1j>52988e5>;38?0m=63;0`8e7>;6:90m=63>208e7>;6;80m=63>368e6>{t9h;1<7=e<58kj6??>;|q2e7<72;q6=l<5203894gf2o80q~?n3;296~;6i:09=<521`59b7=z{8k?6=4={<3b0?46927:m:4i3:p5d3=838p1a783>7}:9h<1>c252z?2e2<59816=l65f29~w4g?2909w0?n8;025>;6i00m?6s|1`;94?4|58k26??>;<3be?`43ty:n84?:3y]5g3<5=9m6k<4}r3a2?6=;rT:n;529`8e6>;3810m=6s|21f94?4|5:3m6hh4=56:>=253z?1``<59816=4l5f09>54g=n81v?ji:18184cn388i63=dd8e7>{t:l:1<775b348oi7h=;|q1a4<72:q6>h>58b9>6a`=0j16>h<52038yv5>?3:1?v3<96817`=:<78:9a896?f2;;:7p}7}Y;kn01>lk:31f?xu4jl0;6?u23cf97f7=n:1v>m?:18185d838:=63{t;j;1<7776349h>7h=;|q0g3<72:qU?n84=659b4=:9:>1j<5rs2g3>5<5sW9n<6360;d2?xu4m10;6?uQ3d:896c?2;9n7p}7}:;l214n523d`964752z\0b6=:;o<1>7c0=n;1v>h::18185a=38:=63{t;oh1<7;<630?`63ty?<>4?:3y>7cd=0j168=:52038yv27=3:1?v3;038e7>;3;o0m?63;0b8154=z{=:=6=4={<632?46927?<44i3:p051=838p19>8:332?827i3l97p};0983>7}:<921>c2;57>52z?74<<598168=l5f29~w16f2909w0:?a;025>;38j0m?6s|41`94?4|5=:i6??>;<63g?`53ty?>i4?:3y]07b<5;o96k?4}r608i7>52z?77c<5981689?5f09~w1272909w0:<8;:`?823938:=6s|45;94?5|V=>270:;9;00a>;ck3l:7p};4e83>7}:<=314n5245g9647?j7>52z?70<;3=>0m>6s|44394?4|5=?:6??>;<662?`43ty?9?4?:3y>004=:8;019;9:g08yv22;3:1>v3;528154=:<<<1j95rs577>5<5s4>>87<>1:?71=00>=n:1v9;6:181823m3l:70:90;025>{t<77634>=<7h=;|q71g<72;q688l52038913a2o80q~::c;296~;3=j09=<5244g9b7=z{=?o6=4={<66`?46927?9h4i3:p00c=838p19;j:332?822n3l87p};5g83>7}:<c5==7>52z\724=:;0k1j<5r}c1b3?6=037)?m7;155>i4==0;66g73;29?j53?3:17b=k0;29?l?c2900e>h7:188k1562900c;:50;9a7<`=83;1<7>t$241>c6<@:3n7E=7b:mfb?6=3th8;o4?:483>5}#;?81ii5G38g8L6>e3-lm6=5f8383>>o?<3:17d96:188m=e=831d>>k50;9~f610290>6=4?{%156?cc3A92i6F<8c9'bc<73`296=44i9694?=n?00;66g7c;29?j44m3:17pl<7283>0<729q/?;<5ee9K75;h5:>5<5;|`2g`<72<0;6=u+3709aa=O;0o0D>6m;h:1>5<1<75f7883>>o?k3:17b<52900e5:50;9j3<<722c3o7>5;n00a?6=3th:h>4?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>d583>0<729q/?;<5ee9K75;|`2`0<72<0;6=u+3709aa=O;0o0D>6m;h:1>5<1<75f7883>>o?k3:17b<52900e5:50;9j3<<722c3o7>5;n00a?6=3th:h:4?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>d983>0<729q/?;<5ee9K75;|`2`<<72<0;6=u+3709aa=O;0o0D>6m;h:1>5<1<75f7883>>o?k3:17b<52900e5:50;9j3<<722c3o7>5;n00a?6=3th:h=4?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>d083>0<729q/?;<5ee9K75;|`2`7<72<0;6=u+3709aa=O;0o0D>6m;h:1>5<1<75f7883>>o?k3:17b<0;684?:1y'734=mm1C?4k4H2:a?l>52900e5:50;9j3<<722c3o7>5;n00a?6=3th8::4?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl<4683>0<729q/?;<5ee9K75;|`f3?6=;3:1N41l1C?5l4i6;94?=n0j0;66a=3d83>>{em?0;6>4?:1y'734=mj1C?4k4H2:a?l1>2900e5m50;9l66c=831vn>j?:180>5<7s-9=>7kl;I1:a>N40k1b;44?::k;g?6=3f88i7>5;|`774<72:0;6=u+3709af=O;0o0D>6m;h5:>5<1<7=50;2x 6052li0D>7j;I1;f>o013:17d6l:188k75b2900qo=ia;297?6=8r.8:?4jc:J0=`=O;1h0e:750;9jt$241>`e<@:3n7E=7b:&eb?631<75f8b83>>i5;l0;66sm11494?5=83:p(>8=:da8L6?b3A93n6*if;28m2?=831b4n4?::m17`<722wi?5?50;195?5|@:2i7)=92;3a=>o>93:17d7=:188k63f2900n>o;:180>5<7s-9=>7=6d:J0=`=O;1h0ek<50;9jb6<722e9=<4?::p=4<72;qU5<523`69b6=z{081<74>:2yK7=d<,:<965<1<7=50;2x 6052:3o7E=6e:J09278m94i3:p=7<72;qU5?523`69b7=z{:?j6=4={_16e>;4i=09=<5r}c14b?6=;3;1?vF<8c9'734=9k30e4?50;9j=7<722e89l4?::`0e1<72:0;6=u+370975<:278m94i2:p70g=838pR>;n;<1b0?4692wvn4<4sA93n6*<6382f<=n180;66g62;29?j52i3:17o=n4;297?6=8r.8:?4<9e9K7c57}Y;o;:332?x{e9mi1<7=51;1xL6>e3-9=>7?m9:k:5?6=3`396=44o27b>5<>i5980;66s|9083>7}Y1816?l:5f29~w<4=838pR4<4=2c7>c4m7>52z\01d=:;h>1>uG39`8 60528h27d7>:188m<4=831d?8o50;9a7d2=8391<7>t$241>6?c3A92i6F<8c9jb7<722cm?7>5;n025?6=3ty2=7>52z\:5>;4i=0m?6s|9383>7}Y1;16?l:5f39~w63f2909wS=:a:?0e1<5981vqo?j6;297?7=;rB84o5+37095g?>i4=h0;66l6<729q/?;<538f8L6?b3A93n6gi2;29?l`42900c??>:188yv?62909wS7>;<1b0?`43ty2>7>52z\:6>;4i=0m>6s|34c94?4|V:?j70=n4;025>{zj8o>6=4<:080M5?j2.8:?4>b89j=4<722c2>7>5;n16e?6=3k9j87>53;294~"4>;085i5G38g8L6>e3`l96=44ig194?=h:8;1<75rs8394?4|V0;01>o;:g18yv?52909wS7=;<1b0?`53ty89l4?:3y]70g<5:k?6??>;|a5`2=8391=7=tH2:a?!51:3;i56g61;29?l?52900c>;n:188f6g329086=4?{%156?5>l2B85h5G39`8mc4=831bj>4?::m154<722wx5<4?:3y]=4=:;h>1j>5rs8094?4|V0801>o;:g08yv52i3:1>vP<5`9>7d2=:8;0qpl>e283>6<62:qC?5l4$241>4d>3`3:6=44i8094?=h;8=:2;g?M5>m2B84o5ff383>>oa;3:17b<>1;29?xu>93:1>vP61:?0e11j?5rs27b>5<5sW9>m637>53;397~N40k1/?;<51c;8m<7=831b5?4?::m01d<722h8m94?:283>5}#;?81?4j4H2;f?M5?j2cm>7>5;hd0>5<5<5sW3:70=n4;d0?xu>:3:1>vP62:?0e11:~f4c629086<4<{I1;f>"4>;0:n45f9083>>o>:3:17b=:a;29?g5f<3:1?7>50z&027<41m1C?4k4H2:a?l`52900ek=50;9l647=831v4?50;0xZ<7<5:k?6k=4}r;1>5<5sW3970=n4;d1?xu4=h0;6?uQ34c896g32;;:7psm1d294?5=939pD>6m;%156?7e12c2=7>5;h;1>5<5<4290;w)=92;1:`>N41l1C?5l4ig094?=nn:0;66a=1083>>{t180;6?uQ909>7d2=n:1v4<50;0xZ<4<5:k?6k<4}r16e?6=:rT89l523`696476}O;1h0(>8=:0`:?l?62900e4<50;9l70g=831i?l:50;194?6|,:<96>7k;I1:a>N40k1bj?4?::ke7?6=3f8:=7>5;|q:5?6=:rT2=63{t1;0;6?uQ939>7d2=n;1v>;n:181[52i278m94=109~yg7cm3:1?7?53zJ0>d4i=0;6>4?:1y'734=;0n0D>7j;I1;f>oa:3:17dh<:188k7762900q~7>:181[?6349j87h<;|q:6?6=:rT2>63{t;8=:032?M5>m2B84o5ff083>>i5980;66sma183>6<729q/?;<52`9K7f29086=4?{%156?4f3A92i6F<8c9jb7<722cm?7>5;n025?6=3th88h4?:383>5}#;?81=5;n025?6=3th:i44?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb0ga>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd6mm0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`2b4<72:0;6=u+37096d=O;0o0D>6m;hd1>5<f483>6<729q/?;<52`9K75;n025?6=3th:j44?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb0da>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd6nm0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>9k:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>9j:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`03<<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`03d<72:0;6=u+37096d=O;0o0D>6m;hd1>5<6=4=:183!51:3;:=6F<9d9K7=d7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl<7083>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl<7383>6<729q/?;<52`9K7429086=4?{%156?4f3A92i6F<8c9jb7<722cm?7>5;n025?6=3th8484?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb02f>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb02e>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd6880;694?:1y'734=:k1C?4k4H2:a?l`52900ek=50;9jb1<722e9=<4?::a73?=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm37f94?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg7d13:157>50z&027<5n2B85h5G39`8mc4=831bj>4?::ke0?6=3`l>6=44ig494?=nn>0;66gi8;29?l`>2900c??>:188yg7di3:197>50z&027<5k2B85h5G39`8mc4=831bj>4?::ke0?6=3`l>6=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm1bf94?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg7703:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg7713:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg77i3:1?7>50z&027<5i2B85h5G39`8mc4=831bj>4?::m154<722wi==l50;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<6m;hd1>5<:188yg77=3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn>;?:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>;>:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>;=:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>;<:187>5<7s-9=>7N40k1bj?4?::ke7?6=3`l?6=44o332>5<>{e>10;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn;750;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e>k0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn>j<:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`0`1<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`0`0<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`0`3<72:0;6=u+37096d=O;0o0D>6m;hd1>5<>i5980;66sm3e:94?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg5c13:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831v;:50;1xZ32<5?>1>>k4=7:9b7=z{??1<7k09=<5rs7494?4|5?<1>5rs7:94?4|5?21>5rs7c94?4|5?k1>301h85789>7a6=?0168>?5789>21<01278jl489:?247<0127:<;489:p=a<72;qU5i52e78;g>{t1l0;64u23759<7=:;==14?5237g9<7=:;>h14?523659<7=:;>914?52a18154=:;1k1j>521139b7=z{0l1<75rsd694?5|5l=14n52e7817`=:1o0m=6s|e483>7}:m>09?h52a18e6>{t99:1<7:t=022>77634;;57h>;<33e?`534;;87h=;|q247<72>q6==<522g8946a2o801<>7:g3894622o801;l5f39>7a5=n;16?i:5f09~w4642909w0??2;:`?877=38:=6s|11694?4|58:?6??>;<331?`43ty:<;4?:6y>550=::o01<>j:g38946e2o801<>;:g68930=n816:44i2:?0`=l:332?xu6810;6?u211:9647<58:j6k=4}r33=?6=:r7:<44=109>55d=n:1v<>n:181877i38:=63>0b8e6>{t99h1<777634;;o7h<;|q24a<72;q6==k52038946a2o90q~?>0;296~;3;803o63>0g8154=z{8i<6=4={<3`3?44m27:<<4i4:p5f>=838p1c883>7}:9j31>c452z?2gd<59816=nm5f39~w4ee2909w0?lb;025>;6kj0m?6s|1ba94?4|58ih6??>;<3``?`43ty:nl4?:4y>5fc=::o012o901p1:31f?87ck33970?id;d1?87di3l87p}>be83>6}:9m81>>k4=0fg><4<58ij6k;4}r3ab?6=4=3d9>5a`=1;16=hl5f39>5f?=n:1ve18:6>;6mm0m>63>c88e0>{t9j;1<7:t=0f6>75b34;n=77=;<3fb?`534;h57h9;|q2g7<72=q6=i8522g894c520801:g0894e>2o?0q~?l3;290~;6l>09?h521d19=7=:9o91j?521b;9b2=z{8i?6=4;{<3gp1c783>1}:9mk1>>k4=0g5><4<58l26k<4=0ab>c453z?2`c<>927:i44=109>5`d=n:1v;6mm0m?6s|1da94?5|58o:64?4=0gg>77634;nj7h<;|q2a`<72:q6=h<5909>5``=:8;01:g18yv7a83:1?v3>e28:5>;6n809=<521g19b6=z{8l96=4<{<3f0??634;m?7<>1:?2b0f783>6}:9l<15<521g59647<58l26k=4}r3efe8e7>{t9oi1<7<7<58lo6??>;|q2b`<72;q6=nk58b9>5`1=:8;0q~?if;296~;6l903o63>dc801d=z{;:;6=4={<3g5?>d34;oo7=:a:p657=838p17}:9jl14n521eg970g52z?2`6m6s|21794?4|58n>65m4=0g2>63f3ty9<;4?:3y>5a0=0j16=h<534c8yv47?3:1>v3>d68;g>;6m:089l5rs32;>5<5s4;o476l;<3f0?52i2wx>=750;0x94b>21i0172d=0=16?:95859>725=0=16?;k5859>5fc=0=16=nh5859>5a5=0=16=i:5859>5a3=0=16=i85859>5a1=0=16=i65859>5a?=0=16=io5859>5a6=0=16=i?5859>5a4=0=16=n95859>731=0=16?995859~w6202909wS=;7:?002<5;l1v>:k:181853?32h70=;e;025>{t;=l1<7c7<5:?86??>;|q015<72;q6?8>5203896342o90q~=:1;296~;4=809=<523419b7=z{:?96=4={<166?4692789>4i4:p731=839p1>88:31f?85?i3l970??1;d0?xu4>10;6?u2375973b=n;1v>8n:181851i38:=63<6b8e6>{t;?h1<7776349=o7h<;|q02f<72;q6?;m52038960c2o90q~=93;291~;4>l09?h5236d9=4=:;>;1j<523919b6=:;?31j?5rs24e>5<5s49=i76l;<146?4692wx?:>50;0x96172;;:70=82;d1?xu4?80;6?u23639647<5:=96k=4}r150?6==r78;>4=3d9>72`=1;16?5=5f39>73g=n816?8?5f09~w6132909w0=83;:`?850>38:=6s|36794?4|5:=>6??>;<142?`43ty8:84?:4y>721=::o01>6?:80896>22o801>8m:g3896372o;0q~=88;296~;4?>03o63<7`8154=z{:=26=4={<14=?469278;l4i3:p730=83?pR>;;;<14f?44m2784<462:?02<9m:9a8961b2;;:7p}<7e83>7}:;>n1>c57>53z?0<5<>92784>4=109>7=3=n:1v>6;:18185?933:70=75;025>{t;1<1<763f349<:7h=;|q0<2<72;q6?5>534c8961f2o80q~=78;296~;408089l5236g9b7=z{:226=4>3z?2g`72?=n816?:;5f09>726=n81v>j?:186[5c8278h=4=3d9>224i3:?0`2j6:332?xu4l:0;6?u23e19647<5:n26k<4}r1g0?6=:r78h94=109>7a0=n;1v>j::18185c=38:=63{t;m<1<7776349o;7h<;|q0`2<72;q6?i95203896b?2o90q~=k8;296~;4l109=<523e;9b6=z{:l36=4={_1e<>;4nh03o6s|3gc94?5|5:lj6?=j;<330?`4349o97h>;|q774<72;qU8>?4=512>75b3twi?o;50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?n:50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?o?50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?o<50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?o=50;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<6m;hd2>5<6m;hd2>5<N41l1C?5l4ig394?=h:8;1<75rb51b>5<5290;w)=92;df?M5>m2B84o5ff083>>i5980;66sm3bg94?4=83:p(>8=:gg8L6?b3A93n6gi1;29?j4693:17plj1;296?6=8r.8:?4ie:J0=`=O;1h0ek?50;9l647=831vnhl50;094?6|,:<96kk4H2;f?M5?j2cm=7>5;n025?6=3th8i94?:383>5}#;?81jh5G38g8L6>e3`l:6=44o332>5<52;294~"4>;0mi6F<9d9K7=d>{e080;6?4?:1y'734=nl1C?4k4H2:a?l`62900c??>:188yg1e29096=4?{%156?`b3A92i6F<8c9jb4<722e9=<4?::a7cb=8381<7>t$241>cc<@:3n7E=7b:ke5?6=3f8:=7>5;|`72d<72;0;6=u+3709b`=O;0o0D>6m;hd2>5<5<5290;w)=92;df?M5>m2B84o5ff083>>i5980;66sm5`83>7<729q/?;<5fd9K7:188k7762900qo;6:181>5<7s-9=>7hj;I1:a>N40k1bj<4?::m154<722wi954?:383>5}#;?81jh5G38g8L6>e3`l:6=44o332>5<>{e=<0;6?4?:1y'734=nl1C?4k4H2:a?l`62900c??>:188yg3329096=4?{%156?`b3A92i6F<8c9jb4<722e9=<4?::a16<72;0;6=u+3709b`=O;0o0D>6m;hd2>5<5<5290;w)=92;df?M5>m2B84o5ff083>>i5980;66sm5083>7<729q/?;<5fd9K7:188k7762900qo;?:181>5<7s-9=>7hj;I1:a>N40k1bj<4?::m154<722wi8k4?:383>5}#;?81jh5G38g8L6>e3`l:6=44o332>5<n6=4=:183!51:3ln7E=6e:J0>{e:188yg0429096=4?{%156?`b3A92i6F<8c9jb4<722e9=<4?::a27<72;0;6=u+3709b`=O;0o0D>6m;hd2>5<5<5290;w)=92;df?M5>m2B84o5ff083>>i5980;66sm6183>7<729q/?;<5fd9K7:188k7762900qo;i:181>5<7s-9=>7hj;I1:a>N40k1bj<4?::m154<722wi9h4?:383>5}#;?81jh5G38g8L6>e3`l:6=44o332>5<>{e=j0;6?4?:1y'734=nl1C?4k4H2:a?l`62900c??>:188yg3029096=4?{%156?`b3A92i6F<8c9jb4<722e9=<4?::a07c=83>1<7>t$241>45a3A92i6F<8c9jb4<722c2h7>5;n025?6=3f;:>7>5;|`4`?6=:3:16m;hd2>5<6m;hd2>5<6m;hd2>5<6m;hd2>5<5<5290;w)=92;026>N41l1C?5l4ig394?=h:8;1<75rb50`>5<5290;w)=92;026>N41l1C?5l4ig394?=h:8;1<75rb500>5<5290;w)=92;026>N41l1C?5l4ig394?=h:8;1<75rb2fg>5<5290;w)=92;026>N41l1C?5l4ig394?=h:8;1<75rb2f2>5<5290;w)=92;026>N41l1C?5l4ig394?=h:8;1<75rb2`a>5<4290;w)=92;027>N41l1C?5l4ig394?=n1m0;66a=1083>>{e;j?1<7<50;2x 6052;;97E=6e:J0>{e<;?1<7<50;2x 6052;;97E=6e:J0>{e<:i1<7=50;2x 6052;;87E=6e:J07<729q/?;<52008L6?b3A93n6gi1;29?j4693:17pl7<729q/?;<52008L6?b3A93n6gi1;29?j4693:17pl7<729q/?;<52008L6?b3A93n6gi1;29?j4693:17pl;3583>7<729q/?;<52008L6?b3A93n6gi1;29?j4693:17pl7<729q/?;<52008L6?b3A93n6gi1;29?j4693:17pl6<729q/?;<52018L6?b3A93n6gi1;29?l?c2900c??>:188yg23j3:1?7>50z&027<59:1C?4k4H2:a?l`62900e4j50;9l647=831vn>kj:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn>h8:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn>m7:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?o;:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?o<:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?j8:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?mi:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?m6:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?m<:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?lk:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?l8:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?l>:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?om:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?o::181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?7k:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831v?>50;0x96gf28l01>l>:g38yv462909w0=nd;3e?85e:3l:7p}=7;296~;4im09;63{t;<0;6?uQ349>0a7}Y;>168k4i1:p7=<72;qU?552518e5>{t;00;6?uQ389>147}Y;k169>4i1:p7f<72;qU?n52558e5>{t;m0;6?uQ3e9>107}Y;o169:4i1:p05<72;qU8=52598e5>{t<80;6?uQ409>1<7}Y<=169o4i1:p00<72;qU88525b8e5>{t1a7}Y<1169k4i1:p0<<72;qU8452618e5>{t24;0m=6s|4b83>7}Y4i1:p0a<72:q6?l656:?72g<134>o6??>;|q7a?6=;r78m548;<65f?1<5=o1>5<4s49j4764=54a>==:;2838:=6s|5083>6}:;h21m63;6c8b?8362;;:7p}:2;297~;4i10i70:9b;`8904=:8;0q~;<:18085f03i0198m:b9>16<5981v8:50;1x96g?2m168;l5d:?60?4692wx984?:2y>7d>=m27?:o4j;<76>7763ty>:7>53z?0e==n7h4=449647;|q61:?72g<6927>47<>1:p1<<72:q6?l65129>03d=9:16944=109~w0g=838p1>o7:06890g=:8;0q~;m:18185f03;=70;m:332?xu2k3:1>v3;6c823>;2k38:=6s|5e83>7}:4?<55<5s4>=n7?n;<7e>7763ty=<7>52z?72g<6j27=<7<>1:p24<72;q68;l51b9>24<5981v;<50;1x96g?28n0198m:0f8934=:8;0q~8<:18085f03;n70:9b;3f?8042;;:7p}94;293~;4ih0=863;4k=0m=63;3;j02h63;31}:;h21:n5247`92f=:;hn1:n523`;92f=z{>k1<7k01:l52038yv1d2909wS9l;<5g>7763ty52z\4a>;0n38:=6s|7g83>1}:;h:1>?<4=2c:>64034=o6k?4=6d9b4=z{1:1<7c77763tynm7>52z\fe>;bj3l:7p}jb;292~;4i103?63;6c8;7>;4im03?63;4i>03?63jb;025>{tnh0;6?u23`:9bd=:;h31jl5rs030>5<5s49jh7?>3:?0ed<69:1v<<7:18185fl3;9463;4im0:8=5rs06g>5<5s49jh7?;d:?0ed<6=>1v<;7:18185f03;>4635c9~w40?2909w0=nd;35<>;4ij0m=6s|1``94?4|5:ko6=j50;0x96?a2ll01914c3ty9?k4?:3y]66`<5;3o6??>;|q105<72;qU>9>4=3c0>7763ty98?4?:3y]614<5;k?6??>;|q106<72;qU>9=4=3c6>7763ty9894?:3y]612<5;ki6??>;|q100<72;qU>9;4=3`2>7763ty98;4?:3y]610<5;h<6??>;|q102<72;qU>994=3`g>7763ty9854?:3y]61><5;i86??>;|q10<<72;qU>974=3a:>7763ty98l4?:3y]61g<5;im6??>;|q10g<72;qU>9l4=3f4>7763ty98i4?:3y>7d>=:=n0198m:36g?xu5?o0;6?u247`962`<5:k26>?k;|q1=a<72;q68;l522d897?c2o;0q~k098=522`19b4=z{;k?6=4={<65f?43:279m94i1:p6d3=838p198m:360?84f=3l:7p}=ac83>7}:9:4=3ca>c752z?72g<5<<16>o?5f09~w7d02909w0:9b;072>;5j>0m=6s|2cf94?4|5=4?:3y>03d=:=201?m<:g38yv4d13:1>v3;6c810<=::j31j<5rs3ae>5<5s4>=n7<;a:?1gci950;0x910e2;>i70<7p}<5583>7}:6333ty8mo4?:3y>7dg=9k<01>ol:332?xu4il0;6?uQ3`g896ga2;;:7p}7}:;k91>c752z?0f4<59816?o=5f39~w6d62909w0=m2;025>;4j:0m?6s|3c694?4|V:h?70=m6;025>{t;k<1<7776349i:7h>;|q0f=<72;qU?o64=2`:>c754z?72g<4j>16?lj53c5896g>2:h<70=m9;025>{t;kk1<7lk;<1af?`63ty8o>4?:3y]7f5<5:i>6??>;|q0g0<72;q6?n:5203896e22o;0q~=l7;296~X4k>16?n652038yv5d03:1>v35<5sW9hh63{t;jo1<76e1349hi7<>1:p7a6=838pR>j?;<1g5?4692wx?i?50;0x96g02:n;70=k1;d2?xu4lh0;6?uQ3ec896bc2;;:7p}7}:c752z\0a4=:;l81>7`4=n81v>k<:181[5b;278i94i1:p7`2=838p1>on:2g3?85b<38:=6s|3d:94?4|V:o370=j9;025>{t;l31<76c?349n57h>;|q0af<72;qU?hm4=2gf>7763ty8ih4?:3y>7db=;li01>kj:g38yv5a;3:1>vP7c1=:8;0q~=i7;296~;4ih08j>523g59b4=z{:l36=4={_1e<>;4n00m=6s|3g;94?4|5:k<6>h7;<1e=?4692wx?km50;0xZ6`d349mh7h>;|q0ba<72:q68;l53g`896gc2:li70=id;025>{t;oo1<7hm;<1eb?`63ty?=94?:3y]042<5=;<6??>;|q752<72;q68;l5406891702o;0q~:=2;296~X3:;168?=52038yv25;3:1>v3;6c874a=:<;91j<5rs507>5<5sW>9863;248154=z{=8>6=4={<65f?23:27?>84i1:p070=838pR9<9;<61g?4692wx8?m50;0x910e2=8=70:=c;d2?xu3:m0;6?uQ43f8914b28;97p};2g83>7}:<::1>8=7>52z?0e2<3;8168>>5f09~w1552909wS:<2:?771<5981v9=;:181821j3>8>63;358e5>{t<:?1<77db=<:2019=n:332?xu3;k0;6?uQ42`8915d2;;:7p};3e83>7}:;hk18>64=51`>c7?m7>52z\70d=:<=h1>01d=n81v98;:181[21<27?:84=109~w1022909w0=n9;655>;3><0m=6s|47494?4|V=<=70:97;d2?xu3>>0;6?u23`c9037<5=<<6??>;|q72<<72;qU8;74=54b>c7=m7>54z?0e=<3>1168;l547:896gc2=<370:9a;025>{zfo2<6=4={I1;f>{in121<7e290:wE=7b:mb=e=83;pD>6m;|le5<6sA93n6saf8294?7|@:2i7p`i9083>4}O;1h0qch62;295~N40k1vbk7<:182M5?j2wej4:50;3xL6>e3tdm584?:0yK7=d51zJ0{I1;f>{in021<7?tH2:a?xha100;6i3:1=vF<8c9~jc?e290:wE=7b:mb6m;|le=a<728qC?5l4}od:a?6=9rB84o5rng;e>5<6sA93n6saf`294?7|@:2i7p`ia083>6}O;1h0qchn2;297~N40k1vbko<:180M5?j2wejl:50;1xL6>e3tdmm84?:2yK7=d53zJ0{inh21<7=tH2:a?xhai00;6>uG39`8yk`fi3:18vF<8c9~jcge2909wE=7b:mbde=839pD>6m;|leea<72=qC?5l4}odba?6=:rB84o5rngce>5<5sA93n6safc294?4|@:2i7p`ib083>7}O;1h0qchm2;296~N40k1vbkl<:181M5?j2wejo:50;0xL6>e3tdmn84?:3yK7=d53zJ0{ink21<7=tH2:a?xhaj00;68uG39`8yk`ei3:1=vF<8c9~jcde2909wE=7b:mbge=83;pD>6m;|lefa<728qC?5l4}odaa?6=9rB84o5rng`e>5<6sA93n6safb294?4|@:2i7p`ic083>4}O;1h0qchl2;297~N40k1vbkm<:182M5?j2wejn:50;4xL6>e3tdmo84?:2yK7=d53zJ0{inj21<7=tH2:a?xhak00;6>uG39`8yk`di3:1?vF<8c9~jcee2908wE=7b:mbfe=839pD>6m;|lega<72;qC?5l4}od`a?6=;rB84o5rngae>5<6sA93n6safe294?5|@:2i7p`id083>4}O;1h0qchk2;295~N40k1vbkj<:182M5?j2weji:50;3xL6>e3tdmh84?:0yK7=d51zJ0{I1;f>{inm21<7?tH2:a?xhal00;66m;|le`a<72:qC?5l4}odga?6=;rB84o5rngfe>5<5sA93n6safd094?4|@:2i7p`ie283>7}O;1h0qchj4;296~N40k1vbkk::181M5?j2wejh850;0xL6>e3tdmi:4?:3yK7=d52zJ0{inlk1<7uG39`8yk`bk3:1?vF<8c9~jccc290?wE=7b:mb`c=838pD>6m;|leac<728qC?5l4}ode4?6=9rB84o5rngd2>5<6sA93n6safg094?7|@:2i7p`if283>4}O;1h0qchi4;295~N40k1vbkh::182M5?j2wejk850;6xL6>e3tdmj:4?:0yK7=d52zJ0{I1;f>{inok1<7?tH2:a?xhank0;6vF<8c9~jc`c290:wE=7b:mbcc=838pD>6m;|lebc<728qC?5l4}o3345<72;qC?5l4}o3344<728qC?5l4}o3347<72;qC?5l4}o3346<728qC?5l4}o3341<72;qC?5l4}o3340<728qC?5l4}o3343<72;qC?5l4}o3342<728qC?5l4}o334=<72;qC?5l4}o334<<728qC?5l4}o334d<72;qC?5l4}o334g<728qC?5l4}o334f<72;qC?5l4}o334a<728qC?5l4}o334`<72;qC?5l4}o334c<728qC?5l4}o3355<72:qC?5l4}o3354<728qC?5l4}o3357<72;qC?5l4}o3356<728qC?5l4}o3351<72;qC?5l4}o3350<728qC?5l4}o3353<72;qC?5l4}o3352<728qC?5l4}o335=<72;qC?5l4}o335<<728qC?5l4}o335d<72;qC?5l4}o335g<728qC?5l4}o335f<728qC?5l4}o335a<72;qC?5l4}o335`<728qC?5l4}o335c<72;qC?5l4}o3365<728qC?5l4}o3364<72;qC?5l4}o3367<728qC?5l4}o3366<72:qC?5l4}o3361<728qC?5l4}o3360<72;qC?5l4}o3363<728qC?5l4}o3362<72;qC?5l4}o336=<728qC?5l4}o336<<72;qC?5l4}o336d<728qC?5l4}o336g<72;qC?5l4}o336f<728qC?5l4}o336a<72=qC?5l4}o32e?6=9rB84o5rn03a>5<6sA93n6sa10f94?7|@:2i7p`>1d83>4}O;1h0qc?>f;295~N40k1vb<e3td:>?4?:0yK7=d51zJ0{I1;f>{i9;?1<7?tH2:a?xh6:?0;6290:wE=7b:m57g=83;pD>6m;|l26f<728qC?5l4}o31`?6=9rB84o5rn00e>5<6sA93n6sa12294?7|@:2i7p`>3083>4}O;1h0qc?<2;295~N40k1vb<=<:182M5?j2we=>:50;3xL6>e3td:?84?:0yK7=d51zJ0{I1;f>{i9:21<7?tH2:a?xh6;00;66m;|l7f=<728qC?5l4}o6ae?6=9rB84o5rn5a;>5<6sA93n6sa4b;94?7|@:2i7p`;c`83>4}O;1h0qc:lb;295~N40k1vb9ml:182M5?j2we8nj50;3xL6>e3td?oh4?:0yK7=dhj7>51zJ0{I1;f>{i6m;|l7`3<728qC?5l4}o6g3?6=9rB84o5rn5f;>5<6sA93n6sa4e;94?7|@:2i7p`;d`83>4}O;1h0qc:kb;295~N40k1vb9jl:182M5?j2we8ij50;3xL6>e3td?hh4?:0yK7=doj7>51zJ0{I1;f>{i6m;|l7a3<728qC?5l4}o6f3?6=9rB84o5rn5g;>5<6sA93n6sa4d;94?7|@:2i7p`;e`83>4}O;1h0qc:jb;295~N40k1vb9kl:182M5?j2we8hj50;3xL6>e3td?ih4?:0yK7=dnj7>51zJ0{I1;f>{i6m;|l7b2<728qC?5l4}o6e5<6sA93n6sa4gc94?7|@:2i7p`;fc83>4}O;1h0qc:ic;295~N40k1vb9hk:182M5?j2we8kk50;3xL6>e3td?jk4?:0yK7=d51zJ0{I1;f>{i=981<7?tH2:a?xh28:0;66m;|l642<728qC?5l4}o735<6sA93n6sa51c94?7|@:2i7p`:0c83>4}O;1h0qc;?c;295~N40k1vb8>k:182M5?j2we9=k50;3xL6>e3td>51zJ0{I1;f>{i=881<7?tH2:a?xh29:0;66m;|l652<728qC?5l4}o725<6sA93n6sa50c94?7|@:2i7p`:1c83>4}O;1h0qc;>c;295~N40k1vb8?k:182M5?j2we9e3td>=k4?:0yK7=d51zJ0{I1;f>{i=;81<7?tH2:a?xh2::0;66m;|l662<728qC?5l4}o715<6sA93n6sa53c94?7|@:2i7p`:2c83>4}O;1h0qc;=c;295~N40k1vb8e3td>?=4?:0yK7=d51zJ0{I1;f>{i=:91<7?tH2:a?xh2;=0;66m;|l67=<728qC?5l4}o70=?6=9rB84o5rn41b>5<6sA93n6sa52`94?7|@:2i7p`:3b83>4}O;1h0qc;h50;3xL6>e3td>8=4?:0yK7=d51zJ096=4>{I1;f>{i==91<7?tH2:a?xh2<=0;66m;|l60=<728qC?5l4}o77=?6=9rB84o5rn46b>5<6sA93n6sa55`94?7|@:2i7p`:4b83>4}O;1h0qc;;d;295~N40k1vb8:j:182M5?j2we99h50;3xL6>e3td>9=4?:0yK7=d=7>51zJ0{I1;f>{i=<91<7?tH2:a?xh2==0;66m;|l61=<728qC?5l4}o76=?6=9rB84o5rn47b>5<6sA93n6sa54`94?7|@:2i7p`:5b83>4}O;1h0qc;:d;295~N40k1vb8;j:182M5?j2we98h50;3xL6>e3td>:=4?:0yK7=d51zJ0{I1;f>{i=?91<7?tH2:a?xh2>=0;66m;|l62=<728qC?5l4}o75=?6=9rB84o5rn44b>5<6sA93n6sa57`94?7|@:2i7p`:6b83>4}O;1h0qc;9d;295~N40k1vb88j:182M5?j2we9;h50;3xL6>e3td>;=4?:0yK7=d51zJ0{I1;f>{i=>91<7?tH2:a?xh2?=0;66m;|l63=<728qC?5l4}o74=?6=9rB84o5rn45a>5<6sA93n6sa56a94?7|@:2i7p`:7e83>4}O;1h0qc;8e;295~N40k1vb86?:182M5?j2we95?50;3xL6>e3td>4?4?:0yK7=d51zJ0{I1;f>{i=1=1<7?tH2:a?xh20h0;6d290:wE=7b:m1=b=83;pD>6m;|l65<6sA93n6sa58194?7|@:2i7p`:9583>4}O;1h0qc;69;295~N40k1vb87n:182M5?j2we94l50;3xL6>e3td>5n4?:0yK7=d51zJ0>h6=4>{I1;f>{i?=n1<7?tH2:a?xh06m;|l412<728qC?5l4}o565<6sA93n6sa74c94?7|@:2i7p`85c83>4}O;1h0qc9:c;295~N40k1vb:;k:182M5?j2we;;>50;3xL6>e3td<:<4?:0yK7=d7>51zJ0<86=4>{I1;f>{i??>1<7?tH2:a?xh0><0;63:1=vF<8c9~j200290:wE=7b:m33>=83;pD>6m;|l42<<728qC?5l4}o55f?6=9rB84o5rn64`>5<6sA93n6sa77f94?7|@:2i7p`86d83>4}O;1h0qc99f;295~N40k1vb:9?:182M5?j2we;:?50;3xL6>e3td<;?4?:0yK7=d51zJ0=?6=4>{I1;f>{i?>?1<7?tH2:a?xh0??0;66m;|l43d<728qC?5l4}o54f?6=9rB84o5rn65`>5<6sA93n6sa76f94?7|@:2i7p`87d83>4}O;1h0qc98f;295~N40k1vb:6?:182M5?j2we;5?50;3xL6>e3td<4?4?:0yK7=d51zJ02?6=4>{I1;f>{i?1<1<7?tH2:a?xh00>0;6>290:wE=7b:m3=g=83;pD>6m;|l45<6sA93n6sa79g94?7|@:2i7p`88g83>4}O;1h0qc960;295~N40k1vb:7>:182M5?j2we;4<50;3xL6>e3td<5>4?:0yK7=d51zJ03>6=4>{I1;f>{i?0<1<7?tH2:a?xh01>0;603:1=vF<8c9~j2?>290:wE=7b:m36m;|l4=g<728qC?5l4}o5:g?6=9rB84o5rn6;g>5<6sA93n6sa78g94?7|@:2i7p`89g83>4}O;1h0qc9n0;295~N40k1vb:o>:182M5?j2we;l<50;3xL6>e3td4?:0yK7=d51zJ0k>6=4>{I1;f>{i?h<1<7?tH2:a?xh0i>0;6290:wE=7b:m3dg=83;pD>6m;|l4eg<728qC?5l4}o5bg?6=9rB84o5rn6ce>5<6sA93n6sa7c294?7|@:2i7p`8b083>4}O;1h0qc9m3;295~N40k1vb:l;:182M5?j2we;o;50;3xL6>e3td3><4?:0yK7=d7>51zJ0{I1;f>{i0;?1<7?tH2:a?xh?:10;65i3:1=vF<8c9~j=4e290:wE=7b:m<7e=83;pD>6m;|l;6`<728qC?5l4}o:1b?6=9rB84o5rn913>5<6sA93n6sa82394?7|@:2i7p`73383>4}O;1h0qc6<3;295~N40k1vb5=;:182M5?j2we4>;50;3xL6>e3td3?;4?:0yK7=d51zJ0{I1;f>{i0:h1<7?tH2:a?xh?;m0;63:1=vF<8c9~jg40290:wE=7b:mf7>=83;pD>6m;|la6<<728qC?5l4}o`1f?6=9rB84o5rnc0`>5<6sA93n6sab3f94?7|@:2i7p`m2d83>4}O;1h0qcl=f;295~N40k1vbo==:182M5?j2wen>=50;3xL6>e3tdi?94?:0yK7=d51zJ0{I1;f>{ij:=1<7?tH2:a?xhe;10;66m;|la7f<728qC?5l4}o`0`?6=9rB84o5rnc1e>5<5sA93n6sab5294?7|@:2i7p`m4083>4}O;1h0qcl;2;295~N40k1vbo:<:182M5?j2wen9:50;3xL6>e3tdi884?:0yK7=d52zJ0<6=4>{I1;f>{ij=21<7?tH2:a?xhe<00;6?uG39`8ykd3i3:1=vF<8c9~jg2e290:wE=7b:mf1e=838pD>6m;|la0a<728qC?5l4}o`7a?6=9rB84o5rnc6e>5<5sA93n6sab4294?7|@:2i7p`m5083>4}O;1h0qcl:2;296~N40k1vbo;<:181M5?j2wen8:50;0xL6>e3tdi984?:0yK7=d:7>51zJ0{I1;f>{ij<21<7?tH2:a?xhe=00;66m;|la1a<728qC?5l4}o`6a?6=9rB84o5rnc7e>5<6sA93n6sab7294?7|@:2i7p`m6083>7}O;1h0qcl92;296~N40k1vbo8<:181M5?j2wen;:50;0xL6>e3tdi:84?:0yK7=d51zJ0{I1;f>{ij?21<7?tH2:a?xhe>00;66m;|la2a<728qC?5l4}o`5a?6=9rB84o5rnc53>5<6sA93n6sab6394?7|@:2i7p`m7383>4}O;1h0qcl83;295~N40k1vbo9;:182M5?j2wen:;50;3xL6>e3tdi;;4?:0yK7=d51zJ0{I1;f>{ij>31<7?tH2:a?xhe?h0;66m;|la3`<728qC?5l4}o`4b?6=9rB84o5rnc:3>5<6sA93n6sab9094?7|@:2i7p`m8283>4}O;1h0qcl74;295~N40k1vbo6::182M5?j2wen5850;3xL6>e3tdi4:4?:0yK7=d51zJ0{I1;f>{ij1k1<7?tH2:a?xhe0k0;6c290:wE=7b:mf=c=83;pD>6m;|la5<6sA93n6sab8694?7|@:2i7p`m9483>4}O;1h0qcl66;295~N40k1vbo78:182M5?j2wen4650;3xL6>e3tdi544?:0yK7=d51zJ0{I1;f>{ij0i1<7?tH2:a?xhe1m0;6m3:1=vF<8c9~jg?a290:wE=7b:mfd6=83;pD>6m;|lae4<728qC?5l4}o`b6?6=9rB84o5rncc0>5<6sA93n6sab`694?7|@:2i7p`ma483>4}O;1h0qcln6;295~N40k1vboo8:182M5?j2wenl650;3xL6>e3tdim44?:0yK7=d51zJ0{I1;f>{ijhi1<7?tH2:a?xheim0;66m;|laf4<728qC?5l4}o`a0?6=9rB84o5rnc`6>5<6sA93n6sabc494?7|@:2i7p`mb683>4}O;1h0qclm8;295~N40k1vbol6:182M5?j2wenoo50;3xL6>e3tdino4?:0yK7=d51zJ0{I1;f>{ijkl1<7?tH2:a?xhek90;66m;|lag<<728qC?5l4}o``e?6=9rB84o5rncaa>5<6sA93n6sabba94?7|@:2i7p`mce83>4}O;1h0qclle;295~N40k1vbomi:182M5?j2weni>50;3xL6>e3tdih<4?:0yK7=d51zJ06=4>{I1;f>{ijm=1<7?tH2:a?xhel10;66m;|la`f<728qC?5l4}o`g`?6=9rB84o5rncff>5<6sA93n6sabed94?7|@:2i7p`me183>4}O;1h0qclj1;295~N40k1vbok=:182M5?j2wenh=50;3xL6>e3tdii94?:0yK7=d51zJ0{I1;f>{ijl=1<7?tH2:a?xhb:10;66m;|lf6f<728qC?5l4}og1`?6=9rB84o5rnd13>5<6sA93n6sae2394?7|@:2i7p`j3383>4}O;1h0qck<3;295~N40k1vbh=;:182M5?j2wei>;50;3xL6>e3tdn?;4?:0yK7=d51zJ0{I1;f>{im:31<7?tH2:a?xhb;h0;66m;|lf7`<728qC?5l4}og0b?6=9rB84o5rnd63>5<6sA93n6sae5394?7|@:2i7p`j4383>4}O;1h0qck;3;295~N40k1vbh:;:182M5?j2wei9;50;3xL6>e3tdn8;4?:3yK7=d52zJ036=4={I1;f>{im=31<7vF<8c9~j`2d2909wE=7b:ma1b=838pD>6m;|lf0`<72;qC?5l4}og7b?6=:rB84o5rnd73>5<5sA93n6sae4394?4|@:2i7p`j5383>7}O;1h0qck:3;296~N40k1vbh;;:181M5?j2wei8;50;0xL6>e3tdn9;4?:3yK7=d;7>52zJ0{im<31<7vF<8c9~j`3d2909wE=7b:ma0b=838pD>6m;|lf1`<728qC?5l4}og6b?6=:rB84o5rnd43>5<5sA93n6sae7394?4|@:2i7p`j6383>7}O;1h0qck93;296~N40k1vbh8;:181M5?j2wei;;50;0xL6>e3tdn:;4?:3yK7=d52zJ0{im?31<7h0;6?uG39`8ykc1j3:1=vF<8c9~j`0c290:wE=7b:ma3c=83;pD>6m;|lf2c<728qC?5l4}og44?6=9rB84o5rnd52>5<6sA93n6sae6094?7|@:2i7p`j7283>4}O;1h0qck84;295~N40k1vbh9::182M5?j2wei:850;3xL6>e3tdn;:4?:0yK7=d52zJ0{I1;f>{im>k1<7?tH2:a?xhb?k0;6vF<8c9~j`1c290:wE=7b:ma2c=83;pD>6m;|lf3c<72;qC?5l4}og;4?6=9rB84o5rnd:2>5<6sA93n6sae9194?7|@:2i7p`j8583>4}O;1h0qck75;296~N40k1vbh69:181M5?j2wei5950;0xL6>e3tdn454?:3yK7=d52zJ0{I1;f>{im1i1<7?tH2:a?xhb0m0;6a290:wE=7b:ma<6=83;pD>6m;|lf=4<728qC?5l4}og:6?6=9rB84o5rnd;7>5<6sA93n6sr}|BCG~74<<0i8oj:63d~DED|8tJK\vsO@ \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngd b/cpld/XC95144XL/WarpSE.ngd index e7352c4..ad24632 100644 --- a/cpld/XC95144XL/WarpSE.ngd +++ b/cpld/XC95144XL/WarpSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5f:5=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857<4FNQWW>D:593;5=95=3;KMTPR=IVLXN1<<:0G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?k;@NF4Zkrp9:;>d:COA4YXg{:;<GIL;>0MCJ<4:CM@1286OAD768EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P73?1g9AAWTuzVddx=>?1018FP@682H^EAJPT@PDAQGUKA20NX]PIODL5>E33J;=A45L17O\MGSA>2I9<@KAb:A14HCIWFH^J;5L24OFJg=D:EHEDC_XHJ8;BPFEQCC:2IX?6M[L79@h`gu9o1H`ho}1^]bja6789l0Oaknr0]bja6789;:7Ik4DSA\WPEDMZYMYA94DUC@Ow`03M^JOF|}f:FWEFMuzVddx=>?1028@QGDC{xTbbz?01323>Bf|h6;2:5Kauc?5;0h5KotvLAZiu89:;?h5KotvLAZiu89:;8h5KotvLAZiu89:;9h5KotvLAZiu89:;:h5KotvLAZiu89:;;h5KotvLAZiu89:;4<5J5:GEeij13LLj`a?9;DDbhi423LY7<3;4ER>2:0=B[58596K\<2<6?@U;<7>0I^Q?d:GP[5YXign;<=>j;DQ\4ZYffm:;<=?j;DQ\4ZYffm:;<=CTW8UTmcj?0122a>CTW8UTmcj?01210>CTW;n0I^Q=_^cm`5678l1N_RCTW=UTmcj?012f?@UX?013f?@UX?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`>1`9FWZKflmUTmij?012\[dhc89::=<74ER]NeabXWhno<=>?_^cm`567:8k0I^QBaef\[dbc89:;SRoad12364b_np34565m2OXS\Q>_np34564m2OXS\Q>_np34563m2OXS\Q>_np34562m2OXS\Q>_np34561m2OXS\Q>_np34560m2OXS\Q>_np3456?m2OXS\Q>_np3456>m2OXSRokd1234979m2OXSRokd1234949m2OXSRokd1234959m2OXSRokd1234929=2O_MNEk;DVBGNYffm:;<=k4EUC@OZgil9:;<k4EUC@OZgil9:;<9k4EUC@OZgil9:;<8k4EUC@OZgil9:;<;64EYRBJACC981NT]OADDF\FFBXN@FN=95JXQCM@@BXKFDXX_OFNUQ25>C_XHDOIIQFSD]EMIC13LUBNXH=;Dp0?@t5=2Oy0=0:;Dp?5;25HNE38M7=N8;1B=?5F239J77=N<;1B9?5F639J31=NIJY>7DOLS078MDET:<1BMN]<4:KAQC4OIA]Y_MYK8;HLJPVRD02CEEY][C0g8MKOS[VLXARHId032?LHN\ZUM_@QIFe3.Mk753@DBX^QISL]EBa7*Ag;:>6GAIUQ\BVKXNOn:!D`=e:KMMQUXNZGTJKj=109JJLRTWOYFSKHk2,Km57=NF@^XSK]B_GDg6(Oi9880ECG[S^DPIZ@Al;'Bb??=;HLJPVYA[DUMJi<"Io126>OIA]YTJ^CPFGf1)Lh39;1BBDZ\_GQN[C@c:$Ce9h5FNHVP[CUJWF?k5FNHVP[CUJWF?<>0:KMMQUXkdzTi|>?0218MKPb3@DTBJJPAOF\FFB53@E>7DANCUf8MJGD\Vkeh=>?0d9JKDESWhdo<=>?1g9JKDESWhdo<=>?11g8MJGD\Vkeh=>?03g8MJGD\Vkeh=>?02g8MJGD\Vkeh=>?05g8MJGD\Vkeh=>?04g8MJGD\Vkeh=>?07g8MJGD\Vkeh=>?06g8MJGD\Vkeh=>?09g8MJGD\Vkeh=>?08f8MJGD\Vg~t=>?0d9JKDESWds<=>?1d9JKDESWds<=>?2d9JKDESWds<=>?3d9JKDESWds<=>?4d9JKDESWds<=>?5d9JKDESWds<=>?6d9JKDESWds<=>?779JKDESz?1BCOK]Rd9JKGCUZVg~t=>?0g9JKGCUZVg~t=>?0033?LIEM[XTaxv?0122446??;HMAAWTXe|r;<=>>4g9JKGCUZVg~t=>?03d8MJDBZ[Ufyu>?011e?LIEM[XTaxv?0127b>OHJLXYS`{w01231c=NGKOY^Rczx12343`7DALS048MJET99<0EBM\1048MJET9;?0EBM\249JKFU4=2CDO^::;HM@W03OHKZ2>7DALS868MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?559JKI7d3@EG=R``t1235a=NGE;Tbbz?01321>OHDMY=7DA_WBQ4?LIW_JY:;6G@PVAP60=NG[OZh6G@RDS\ip~789:n7DA]EP]nq}6789;m7DA]EP]nq}6789;;i6G@RDS\ip~789:9i6G@RDS\ip~789:8i6G@RDS\ip~789:?i6G@RDS\ip~789:>i6G@RDS\ip~789:=i6G@RDS\ip~789:OHZ^:o7DA]W1]nq}6789o0EB\X0^ov|56788o0EB\X0^ov|5678;o0EB\X0^ov|5678:o0EB\X0^ov|5678=n0EB\X0^llp5679l1BC_Y?_omw45669l1BC_Y?_omw4566:l1BC_Y?_omw4566;l1BC_Y?_omw4566l1BC_Y?_omw4566?<1BC_Y>d:KLVR7Xign;<=>j;HMQS4Yffm:;<=?j;HMQS4Yffm:;<=1:KLV`gcqVUjbi>?010e?LIUmhnrSRa}012355=NG[ojhtQPos23457682CD^hoky^]lv5678;;;7DA]e`fz[Ziu89:;?<>4INPfeaXWfx;<=>;119JKWcflpUTc>?01724>OHZlkouRQ`r12343eOH[VLXARHId0/Jj46??;HMP[CUJWOLo= Ga4b9JKVYA[DUMJi Ga119JKVYA[DUMJi<"Io325>OH[VLXARHId3/Jj46692CD_RH\M^DE`7+Nf8;:=6G@S^DPIZ@Al;'Bb<<>1:KLWZ@TEVLMh?#Fn0125>OH[VLXARHId3/Jj42692CD_RH\M^DE`7+Nf8?:<6G@S^DPIZ@Al;'Bb???;HMP[CUJWOLo> Ga3028MJUXNZGTJKj=-Hl755=NGZUM_@QIFe0.Mk3682CD_RH\M^DE`7+Nf?;;7DA\_GQN[C@c:$Ce;<>4INQ\BVKXNOn9!D`7119JKVYA[DUMJi<"Io;`?LITWOYFSKHk3g9JKVYA[DUMJi="Io33?LITWOYFSKHk3,Km546Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JNJ[KYU;5CO@FF@6=KGJ=0@BIFC@Nb?IVJWQEY>R?6;MVji`t;87k0@Ygbes>24;g1?c8HQojm{6:>374LUknaw:6601GXdcjr=0==>JSadoy0>06;MVji`t;<730@Ygbes>6:<=K\`gn~1819:NWmhcu4>427AZfmdp?<;?99OPlkbz]I:?6B[ilgqPFYdeyUn}=>?0068HQojm{^HSnc_ds345669=1GXdcjrUA\ghvXmx:;<=<>4:NWmhcu\JUha}Qjq12346733E^bah|[C^antZcv89:;8<:4LUknawRDWjg{Sh?0126<>JSadoyS=64LUknawY612F_e`k}_02:?IRnelxT=<74LUknawY6:11GXdcjr^0;?IRnelxT?55CThofvZ2?3E^bah|P599OPlkbzV<37AZfmdp\3==K\`gn~R67;MVji`tX1;>0@Ygbes]NeabXWhno<=>?_LzlvZTb{|f0<>1259OPlkbzVGjhiQPaef3456XEqeyS_k|umv?5485<2F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6:>3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=3=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;:7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1=1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?0;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz36?00?IRnelxTAljk_^cg`5678VGscQ]erwop919::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~743<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=;=63=K\`gn~RCnde]\eab789:T^h}zlu]3[kis89:;=d:NWmhcuWDkohRQnde2345YXign;<=<>e:NWmhcuWDkohRQnde2345YXign;<=<>1e9OPlkbzVGjhiQPaef3456XWhdo<=><1d9OPlkbzVGjhiQPaef3456XWhdo<=><10f8HQojm{UFmijP_`fg4567WVkeh=>?40g8HQojm{UFmijP_`fg4567WVkeh=>?403g?IRnelxTAljk_^cg`5678VUjbi>?043f?IRnelxTAljk_^cg`5678VUjbi>?0432`>JSadoyS@okd^]b`a6789UTmcj?0142a>JSadoyS@okd^]b`a6789UTmcj?01425a=K\`gn~RCnde]\eab789:TSl`k01245`=K\`gn~RCnde]\eab789:TSl`k012454b311<27>JSadoySRokd12349766890@Ygbes]\eab789:7=?0>2:NWmhcuWVkoh=>?0=3=57=K\`gn~RQnde2345:56880@Ygbes]\eab789:7?3?=;MVji`tXWhno<=>?<5<26>JSadoySRokd12349399;1GXdcjr^]b`a67896=2<<4LUknawYXimn;<=>37?31?IRnelxTSljk01238=86:2F_e`k}_^cg`567853596B`ae3f?Iifl8UTmcj?012`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`=;O20?K66:2D:;6@JTVMQO3=IGJFB\<5@4:MAQC35@ND08KW50Ccmjc:Mmg`YXg{:;<=j4Ooaf[Ziu89:;=i5@nbg\[jt789:9h6Aacd]\kw67899o7B`le^]lv5678=n0Ccmj_^mq4567=m1DbnkP_np34561l2EeohQPos23451c3FdhiRQ`r1234=b=?<1130[I53:R266=W9:90\<:<;Q367>V6>:1[=:=4P0:0?U7>:2Z9?6^=029S67590\?6<;Q0:6>V4;2Z8<>5_3318T6543Y9??6^<529S735V39:1[8?=4P510?U21;2Z><>5_5318T0543Y???6^:529S135V18:1[:<=4P710?U03;2Z=9>5_6718T3153Y=87]9?3:R466=W?:90\::<;Q557>V0?:1[;5=4P6;1?U>43Y2;?6^7129S<75>5_9218T<243Y3>?6^6629S=25>0:RP[CUJWOLo> Ga1033?UUXNZGTJKj=-Hl2646m7]]PFRO\BCb5%@d>j6^\_GQN[C@c:$Ce:k5_S^DPIZ@Al;'Bb:h4PR]EWHYANm8&Ec6i;QQ\BVKXNOn9!D`6b:RP[CUJWF7_O30?48VD:68720^L2>1;2=2>TF48;596\N<0<6?WG;:7?0^L2<>49QE929=2XJ080:;SC?2;3TF40487_OB5:PBIFU13[KFO^?9;SCNGV413[KFO^=:;SCNAKb=1g9QEHCIWds<=>?23d8VDKBFVg~t=>?031e?WGJMGUfyu>?0107a>TFELDTaxv?0120a>TFELDTaxv?0127a>TFELDTaxv?0126a>TFELDTaxv?0125a>TFELDTaxv?0124a>TFELDTaxv?012;a>TFELDTaxv?012:<>TFE[ojht??;SCNV`gcqVkeh=>?0038VDKUmhnrSl`k0123546>0008VDKUmhnrS`{w012354753[KF^hoky^ov|567888:=6\NMSgb`|Yj}q:;<=<>1:PBIWcflpUfyu>?01125>TFE[ojhtQbuy23452692XJA_kndx]nq}6789?:=6\NMSgb`|Yj}q:;<=8>1:PBIWcflpUfyu>?01525>TFE[ojhtQbuy2345>692XJA_kndx]nq}6789327_OB_Sgb`|gTF[LFTaxv?0122b>TF[LFTaxv?01224c=UIZOGS`{w012354`i;SCPAIYj}q:;<=<>e:PBW@JXe|r;<=>;e:PBW@JXe|r;<=>:e:PBW@JXe|r;<=>9e:PBW@JXe|r;<=>8e:PBW@JXe|r;<=>7e:PBW@JXe|r;<=>69:PB[5YHJ\Lh7_OP0^ov|5678m1YMR>Pmtz34566l2XJS=Qbuy23454c3[KTTFW8Ufyu>?011g?WGX9Vg~t=>?05;8VDY5WFH^Jn5]A^0\ip~789:o7_OP2^ov|56788n0^LQ=_lw{4567:m1YMR3[KT?RAMUGa8VDY4Wds<=>?d:PB[6Yj}q:;<=?k;SC\7Zkrp9:;Taxv?0122`>TFW=Ufyu>?010g?WGX?02f8VDY3Wds<=>?489QEZ3XGK_Mo6\N_4]nq}6789n0^LQ:_lw{45679m1YMR;Pmtz34565l2XJS8Qbuy23455c3[KT9Rczx12341?k;SC\2Zkrp9:;<i5]A^4\ip~789:8h6\N_7]nq}6789>27_OP7^MAQCeTFW>Ufyu>?013g?WGX?Vg~t=>?03f8VDY0Wds<=>?3e9QEZ1Xe|r;<=>;9:PB[=YHJ\Lh7_OP8^ov|5678m1YMR6Pmtz34566l2XJS5Qbuy23454c3[KT4Rczx12346bTFW1Ufyu>?014:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563k2XN\AOLE^MHE\55]SU`8VVYA[DUMJi?j;SQ\BVKXNOn:!D`i;SQ\BVKXNOn:!D`>f:PP[CUJWOLo= Ga2g9QWZ@TEVLMh<#Fn2`8VVYA[DUMJi119QWZ@TEVLMh?#Fn0224>TTWOYFSKHk2,Km54`>0:PP[CUJWOLo? Ga1033?WUXNZGTJKj<-Hl2646:<6\\_GQN[C@c;$Ce=8??;SQ\BVKXNOn8!D`>6028VVYA[DUMJi="Io3455=U[VLXARHId2/Jj4>682XXSK]B_GDg7(Oi90l0^^QISL]EBa5*Ag8:<6\\_GQN[C@c;$Ce>=??;SQ\BVKXNOn8!D`=1028VVYA[DUMJi="Io01b>TTWOYFSKHk3,Km7c=U[VLXARHId2/Jj1`4Rdcg}5tXWfx;<=>>119Qadb~8{UTc>?0105?Wcflp;<7_kndx3qb>Tbims:~RQ`r1234464RddGkkcXWfx;<=>>119QacBhflUTc>?01024>TbnMeeiRQ`r12346773[omHb`j_^mq4567<8:0^hhKoog\[jt789:>i6\jfGpliWU7Flrj6\jfGpliWU7Flr=<>4RddEvjkU[9Dnty??119Qac@ugdXXTbnOxda_]?Ndzw57773[omJabRR2Ma}r6;8:0^hhIrnoQW5Hbp};?j6\jfGpliWU7Flr>k5]egDqkhTT8Gosx>h4RddEvjkU[9Dnty:i;SgeBwijZZ:Eiuz:f:PfbCthe[Y;Bhv{6g9Qac@ugdXXAeyv:`>TbnOxda_]?Psgf?WcaN{ef^^>_rd3f?WcaN{ef^^>_rd0f?WcaN{ef^^>_rd1f?WcaN{ef^^>_rd6f?WcaN{ef^^>_rd7f?WcaN{ef^^>_rd4f?WcaN{ef^^>_rd5f?WcaN{ef^^>_rd:5?WcaZl{37_kiRdsJjd=UmoXn}^wacd9QacTbyVkeh=>?0g9QacTbyVkeh=>?00d8V``UmxUjbi>?010f?WcaZl{Tbbz?013e?WcaZl{Tbbz?0132`>Tbn[ozSb|?012f?WcaZl{Tc>?013f?WcaZl{Tc>?010f?WcaZl{Tc>?011f?WcaZl{Tc>?0165?Wca]{m37_kiUseJj`=Umo_ykRoad1234c=Umo_ykRoad12344`^KAQC1<[^686<0:;RU?7;g<[^682RGMUGc8WkbUIDIX[_?4T29WVL><\[CXXLZJ4:VQQ@2<\PZN46Zfmdp?4;><\`gn~1?18:Vji`t;:720Xdcjr=1=3>Rnelx_O<<4ThofvQEXkdzTi|>?0130?Qojm{^HSnc_ds345669:1_e`k}TB]`iuYby9:;:7:Vji`tX88:0Xdcjr^2\[dhc89:;=<5[ilgq[5YXign;<=>>109WmhcuW9UTmcj?012154=SadoyS=QPaof34564?2^bah|P1028PlkbzV;TSl`k012354=SadoyS=7:Vji`tX;8:0Xdcjr^1\[dhc89:;=<5[ilgq[6YXign;<=>>109WmhcuW:UTmcj?012167=SadoyS@okd^]b`a6789UFtb|PRdqvhq:66;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7>3<=;UknawYJimnTSljk0123[H~hzVXnxb{<2<2g>RnelxTAljk_^cg`5678VUjbi>?013g?Qojm{UFmijP_`fg4567WVkeh=>?003`?Qojm{UFmijP_`fg4567WVkeh=>?10f8PlkbzVGjhiQPaef3456XWhdo<=>>1038PlkbzVUjhi>?01>2:47<\`gn~RQnde2345:568;0Xdcjr^]b`a6789682i5[ilgq[dhc89:;i6Zfmdp\ekb789:::6[AURGGe>STM[UJ@DO\c:WPAWYQAZCI@H=4VBA:?SOB_V^R\H=4VQC`?SVFWVkeh=>?0e9UTDYXign;<=>>b:TSEZYhz9:;?0176?SVFzo?0Z]O}re9UTDtuWge<=>>e:TSEwtXff~;<=?>6:UbvuUB9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON;2RD^95WOS05?]USD@H<7U][_FLG3>^T\V\HO85W_NLF1>^X\[C=7UQUESM5?]beW@ni7Ujg_QpjiLhqk2RodR^}ilVzt``0VH\@3:amp7=d{:1mo:4in`ng>ohjdUecy>?01f8mjdjWge<=>?159jkgu>3gKOcxzCE1g8jDBh}}FN?389mEAir|EO:m6`NDnwwH@76m2dJHb{{LD3\KGSA;2dJ_:5aAR]EWGehF[VCDNi5aAR]JKGYHJ\LNm6`NS^KLFjss>2dJ_b{{e:lBWjssWge<=>>f:lBWjssWge<=>>1g9mEVir|Vddx=>?13d8jDUh}}Uecy>?001e?kGTg|~Tbbz?01373>hFg|~DI55aAnwwK@7d3gKdyyAJ_N@VB0=iJLXY56`MESP\BVDb3gHN^_QISC]LFP@692dII_\PFR@\[jt789::>6`MESP\BVDXWfx;<=>>139mF@TUWOYISRa}01236444nCP\MJDXe|r;<=>;119mFWYNGKUfyu>?01724>hEZVCDNRczx12343773gHYSDAM_lw{4567?=1eOL]k;oABWZEkcVCEZ<94nBCP[K6>3gIJ_RAMUGa8jFGTWge<=>>d:l@EVYig}:;<hC\HI@SDAMf:lGPDELW@EISDLZFd9m@QGDCVUd~=>?0g9m@QGDCVUd~=>?00d8jARFKBUTc>?0105?kBnfEOi7cJfnMG\KGSAl2dOecBJ_np3456b3gNbbAKPos2345713gNbbBK8;oFjjJC6?2dOecAJ269m@lhHM:h0bIgaOD]LFP@03gNdyyAJ8:lGkprHM820bIaztNG1<>hCg|~DI>m4nEmvpJCXGK_M96`FPM0b?kOWD;UBNXH9;oKSH7t33gFO_55aLEQ\BVDc3gFO_RH\B^KAQC>hKLZUd~=>?10a8jIBTWfx;<=?=c:lO@VYhz9:;=>m4nMFP[jt789;?o6`CDR]lv5679?0e9mHRCXign;<=>>d:lOS@Yffm:;<=j4nMUF[dhc89:;8>5aOD68jJC6<2dDI?64nNG\KGSA02dDzh|cax31?kIqm{fjuROlls]JJS7e3gE}ibny^L355=iGoy`lwPaof3456692dDzh|cax]bja6789;:=6`@vdpoe|Yffm:;<=<>1:lLr`tkipUjbi>?01125>hH~lxgmtQnne23452692dDzh|cax]bja6789?:=6`@vdpoe|Yffm:;<=88;oPBIIQBk2dYM@BXE^MAQC1hUMZUBCO[IEb9mV@UXff~;<=?k;oPFWZhh|9:;=<94nSGPkpr13gXDAN]8;oPLIFU6j2dYC@M\_N@VB3=iZFG\I:5aRNOTA4dhRLZUecy>?0037?kSPM<1eYZK>c:lVS@Yffm:;<=j4nTUF[dhc89:;=i5aUVG\ekb789:9h6`ZWD]bja67899o7c[XE^cm`5678=>0b[CN8:lUIDYNGKo0b[CN_HMA[JDRNLh0b[CN_HMAkprc3g\FMRQnne2345chQEHUTc>?013g?kPJIVUd~=>?03f8jSKFWVey<=>?3e9mRHGXWfx;<=>;d:lUIDYXg{:;<=;k;oTNEZYhz9:;<;j4nWOB[Ziu89:;;i5aVLC\[jt789:3h6`YM@]\kw67893h7cXBA^cm`5678m1eZ@OPaof34566l2d]ALQnne23454c3g\FMRoad12346bhQEHUjbi>?014g?kPJIVkeh=>?0668jSVF=2d]\L?:;oTSE7>h`lkb`i=4r`o;?}e>=9:s`099{mioip|d:46vcny]bqqiX8Vron"m|t/zaga{GHy;h9n5O@y636?@=<3;p_4>54019615=9:>i1;48 16d2=:87p]7f;627?43;3;88o>m6;3706g<[8n;69j<:28271d7j?0:89=j;R:e>1b42:0:?9l?b782015a3m>;>7>51;3xW<6=<891>9=5126a4g0=9=>;i6*70;6g1>N>?2|_in4?:082>6g7sZ3;69?<:360>453j9h=6<:;0d9'7c2=9m80Z9>i:3yv671=929>54?;|&1=5<3l?1/ik4?;%gg>1b?3-on6<<9;c636?6="4k>0?=3:17b6::188k1372900e9<9:188k1ed2900e9o>:188k1?c2900c5950;9l0<5=831b8>l50;9l0=`=831d89750;9l06`=831bm54?::ke=?6=3`>>>7>5;n618=7>5;h651?6=3f>2=7>5;h6`a?6=3f>j?7>5;n6;5?6=3`226=44i9194?=h<8i1<75`43094?=n=h:k21<7*=9381e`=i:0;1>65`2c594?"51;09mh5a28397>=h:k<1<7*=9381e`=i:0;1865`2c794?"51;09mh5a28391>=h:k>1<7*=9381e`=i:0;1:65`2c194?"51;09mh5a28393>=h:k81<7*=9381e`=i:0;1465`2c394?"51;09mh5a2839=>=h:k:1<7*=9381e`=i:0;1m65`2`a94?"51;09mh5a2839f>=n93:07d:6:18'6<4=4?51:9j0=<72-82>7:n;o0:5?4<3`><6=4+28090d=i:0;1?65f4483>!4>:3>j7c<61;68?l23290/>4<54`9m6<7==21b8>4?:%0:6?2f3g82=784;h61>5<#:0818l5a28393>=n<80;6)<62;6b?k4>93207d:?:18'6<4=4?59:9j7c<72-82>7:n;o0:5?g<3`9n6=4+28090d=i:0;1n65f3e83>!4>:3>j7c<61;a8?l5d290/>4<54`9m6<7=l21b9>4?:%0:6?2f3g82=7k4;h71>5<#:0818l5a2839b>=n=80;6)<62;6b?k4>93;;76g:0;29 7?52=k0b?7>:038?l2a290/>4<54`9m6<7=9;10e9k50;&1=7<3i2d95<4>3:9j0a<72-82>7:n;o0:5?7332c?o7>5$3;1>1g5<#:0818l5a283953=5<#:081?oh4n3;2>4=5<6290;w)=l7;336>N38?1C?k<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~f16?290:6=4?{%1`3?5a;2B?<;5G3g08 16b2;9:7)?lf;6g3>i5<;0;6):?e;002>=zj=;=6=4>a;07>6>|@:l97)=l7;;2?_>628q36p*>cd80g3=n0j0;66a>6d83>>i4k;0;66g83;29?l2>=3:17b?:6;29?l2c83:17b4<51c9m6<7=821b=l4?:%0:6?7e3g82=7?4;h3:>5<#:081=o5a28396>=n9>0;6)<62;3a?k4>93907d?::18'6<4=9k1e>4?54:9j51<72-82>7?m;o0:5?3<3`;86=4+28095g=i:0;1:65f1383>!4>:3;i7c<61;58?l76290/>4<51c9m6<7=021b==4?:%0:6?7e3g82=774;hd94?"51;0:n6`=908b?>ob290/>4<51c9m6<7=j21bh7>5$3;1>4d!4>:3;i7c<61;f8?l43290/>4<51c9m6<7=m21b>>4?:%0:6?7e3g82=7h4;c63h=;%63a?4492.:ok4;d69l614=83.?5<1;29 16b28;:76g7b;29 16b21h07d6l:18'05c=0j10e>ln:18'05c=;kk07d=:1;29 16b2:?:76a=3783>!27m388:65rb52a>5<6290;w):?e;620>i5;?0;6):?e;002>=z{;ki6=4={_0bf>;5ik09?;5rs8494?4|V0<01?om:032?xu?l3:1>vP7d:?1eg<4=81v5l50;0xZ=d<5;ki65l4}r:1>5<5sW2970t$2a4>4423A>;:6Fj:315?M27l21vn<9?:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb5:5>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=59;294~"4k>08:6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921b==850;&74`<69810e<>8:18'05c=98;07d??8;29 16b28;:76g>0883>!27m3;:=65f11c94?"38l0:=<54i02a>5<#<9o1=t$2a4>60<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?l77>3:1(9>j:032?>o68>0;6):?e;325>=n9921<7*;0d8254=5$52f>47632c:?k50;&74`<5;?10qo??f;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c0af?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a6gb=83>1<7>t$2a4>64<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?l77>3:1(9>j:032?>i5:l0;6):?e;002>=zj=226=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn96n:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg2?j3:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl;8b83>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e<1n1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi85k50;694?6|,:i<6><4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07d??6;29 16b28;:76a=2d83>!27m388:65rb26b>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`00f<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi?9j50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f62b29086=4?{%1`3?44;2B?<;5G3g08 16b2;997)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?1<729q/?n95339K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54i025>5<#<9o1=t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e99;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632c:<:4?:%63a?76921d>?k50;&74`<5;?10qo?:c;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c36`?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e97E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb07e>5<4290;w)=l7;007>N38?1C?k<4$52f>7553-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd6>90;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6383>6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`21=<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zj8?26=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn<;n:180>5<7s-9h;7<<3:J743=O;o80(9>j:311?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|q`>5<5sWi01<>k:025?xuc2909wSj4=02g>4603tyn6=4={_g8946c28:37p}i:180[`<58:o6<>6;<36`?77<2wx==4?:2y]55=:99n1==o4=07g>4623ty:=7>52z\25>;68m0:j:027?872j3;;86s|1283>6}Y9:16==k51178943e28:>7p}>4;297~X6<27:

079>50d=99<0q~?::180[7234;;i7??7:?21g<68>1v<950;7xZ41<58:n6<>7;<6;e?77;2788o4>029>50?=99>0q~?6:185[7>34;;i7??9:?7{t9h0;6:uQ1`9>55c=99k01?lm:020?82?13;;963<4d8241=:9<=1==;4=07;>4633ty:o7>56z\2g>;68l0:<;<17`?77;27:9k4>059~w75=838pR?=4=02g>4633ty987>52z\10>;68m0:<85rs6194?4|V>901966:027?xu?k3:1>vP7c:?7<0k:30f?877n3;;86s|11g94?4|58:n6?m7<=e:p501=838p1<;8:30f?872n3;;96s|14:94?4|58?36?2;8n70?:a;331>{t974b34;=>7??4:p50e=838p1<;l:30f?87193;;86s|14f94?4|58?o6?{t974b34;>m7??4:p536=838p1<8?:30f?87193;;96s|17394?4|58<:6?{t9?o1<7?l50;0x916?2;>970:75;;5?xu5jh0;69uQ2cc8941728:?70;6=j0:<85rs3`a>5<5s48in7<=e:?1fa<68=1v?ll:18184ek389i63=be8243=z{:>26=4={_17=>;4=909>h5rs26b>5<5s49?m7<=e:?00`<68<1v>:m:181853j389i63<518241=z{:>h6=4={<17g?45m2788k4>059~w62c2909w0=;d;01a>;45<5s49?i7<=e:?015<68<1v>:i:181853n389i63<518243=z{=2>6=4<{<6;1?4fj279ni4>049>71g=9990q~:77;296~;30<03h63;87816`=z{=236=4={<6;1?>e34>3i7<=e:p0=?=838p1966:30f?82?m3;;96s|49c94?4|5=2j6?e2;8n70:7d;331>{t<1i1<774b34>3i7??6:p0=b=838p196k:30f?82?m3;;86s|48794?4|V=3>70:76;337>{t5d8246=zuk>o87>5328`6*84?::m7=a<722e?5>4?::m70<<722c5;h1a0?6=3f8n47>5;n6;5?6=3`>=47>5;h1b3?6=3`>o<7>5;h6b4?6=3`8im7>5;n6:e?6=3`9?57>5;n64e?6=3f8jj7>5$3;1>7gb3g82=7>4;n0b`?6=,;396?oj;o0:5?7<3f8i47>5$3;1>7gb3g82=7<4;n0a3?6=,;396?oj;o0:5?5<3f8i:7>5$3;1>7gb3g82=7:4;n0a1?6=,;396?oj;o0:5?3<3f8i87>5$3;1>7gb3g82=784;n0a7?6=,;396?oj;o0:5?1<3f8i>7>5$3;1>7gb3g82=764;n0a5?6=,;396?oj;o0:5??<3f8i<7>5$3;1>7gb3g82=7o4;n0bg?6=,;396?oj;o0:5?d<3`;26=4+28095==i:0;1<65f1683>!4>:3;37c<61;38?l72290/>4<5199m6<7=:21b=94?:%0:6?7?3g82=7=4;h30>5<#:081=55a28390>=n9;0;6)<62;3;?k4>93?07d?>:18'6<4=911e>4?56:9j55<72-82>7?7;o0:5?1<3`l1<7*=9382<>h5180376gj:18'6<4=911e>4?59:9j`?6=,;396<64n3;2>d=93h07d<;:18'6<4=911e>4?5c:9j66<72-82>7?7;o0:5?b<3`896=4+28095==i:0;1i65f2083>!4>:3;37c<61;d8?l47290/>4<5199m6<7=9910e1:9j5`<72-82>7?7;o0:5?7532c:h7>5$3;1>4>5<#:081=55a283951=:183!5d?3;;>6F;079K7c4<,=:n69?;;%3`b?2c?2e:<<4?:%63a?44>21vn9>7:182>5<7s-9h;7=i3:J743=O;o80(9>j:312?!7dn3>o;6a=4383>!27m388:65rb2:4>5<22;0=w)=l7;d`?M27>2B8j?5+1bd90a1>o?:3:17d79:188k7ge2900n?om:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16e290:6=4?{%63a?26<2e9?;4?:%63a?44>21v?om:181[4fj279mo4=379~w<0=838pR484=3ca>4763ty3h7>52z\;`>;5ik089<5rs9`94?4|V1h01?om:9`8yv>52909wS6=;<0bf?>d3ty:6dd=;kk019>m:315?x{e;k91<7=52;6x 6e02oh0D9>9;I1e6>"6ko0?h:5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'05c=98;07d6m:18'05c=0k10e5m50;&74`;>:18'05c=;<;07b<<6;29 16b2;9=76sm41;94?7=83:p(9>j:312?j43:3:1(9>j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj53438yv4>;3:1>vP=929>ba<4jh1v?om:181[4fj27mh7<<6:p7c5=838p1kj58c9>05?=:=80qpl>:182>5<7s-9m=7:4$2a4>4653->;i7:>4:&2gc<3l>1d==?50;&74`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``99;I1e6>"6ko0?h:5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'05c=98;07d6m:18'05c=0k10e5m50;&74`;>:18'05c=;<;07b<<6;29 16b2;9=76sm41;94?7=83:p(9>j:312?j43:3:1(9>j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj53438yv4>;3:1>vP=929>ba<4jh1v?om:181[4fj27mh7<<6:p7c5=838p1kj58c9>05?=:=80qpl>:182>5<7s-9m=7:4$2a4>4653->;i7:>4:&2gc<3l>1d==?50;&74`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``99;I1e6>"6ko0?h:5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'05c=98;07d6m:18'05c=0k10e5m50;&74`;>:18'05c=;<;07b<<6;29 16b2;9=76sm41;94?7=83:p(9>j:312?j43:3:1(9>j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj53438yv4>;3:1>vP=929>ba<4jh1v?om:181[4fj27mh7<<6:p7c5=838p1kj58c9>05?=:=80qpl>:182>5<7s-9m=7:4$2a4>4653->;i7:>4:&2gc<3l>1d==?50;&74`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``99;I1e6>"6ko0?h:5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'05c=98;07d6m:18'05c=0k10e5m50;&74`;>:18'05c=;<;07b<<6;29 16b2;9=76sm41;94?7=83:p(9>j:312?j43:3:1(9>j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj53438yv4>;3:1>vP=929>ba<4jh1v?om:181[4fj27mh7<<6:p7c5=838p1kj58c9>05?=:=80qpl>:182>5<7s-9m=7:4$2a4>4653->;i7:>4:&2gc<3l>1d==?50;&74`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``94=:5y'7f1=nk1C8=84H2d1?!7dn3>o;6g72;29?l?12900c?om:188f7ge290>6?49{%1`3?`c3-;hj7:k7:m1eg<722c95>4?::k:2?6=3`2o6=44i9094?=enm0;6;4?:1y'05c=nl1b=d32c8nl4?:%63a?5ei21b?8?50;&74`<4=810c?=9:18'05c=::<07pl;0883>4<729q/8=k52238k725290/8=k52248?xu>>3:1>vP66:?e`?7692wx4?4?:3y]<7=:nm03o6s|8e83>7}Y0m16ji4<509~w7?42909wS<63:?e`?5ei2wx>ll50;0xZ7ge34lo6?=9;|q0b6<72;q6ji47b:?74<<5<;1vqo?50;394?6|,:l:695+3b59554<,=:n69?;;%3`b?2c?2e:<<4?:%63a?44>21v?om:181[4fj279mo4=ac9~w<0=838pR484=3ca><0;628::7)=i1;78yxd5jl0;6>4=:5y'7f1=nk1C8=84H2d1?!76;3<0(52900e4850;9l6dd=831i>ll50;796?0|,:i<6kj4$0ae>1b03f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<5;81d>9<50;&74`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5292wx>4=50;0xZ7?434lo6>ln;|q1eg<72;qU>ll4=gf966052z?e`?>e34>;57<;2:~f4<7280;6=u+3g390>"4k>0:5<5$52f>47632c3n7>5$52f>=d<3`2h6=4+41g9=7>5$52f>63632e9?;4?:%63a?44>21vn9>6:182>5<7s->;i7<<1:m107<72->;i7<<6:9~w<0=838pR484=gf9547c34lo6>;>;|q1=6<72;qU>4=4=gf97gg52z\1eg=:nm09?;5rs2d0>5<5s4lo65l4=52:>7253twi=7>51;294~"4n80?7)=l7;336>"38l0?=95+1bd90a1{t0;0;6?uQ839>6dd=0;1v?4?:2y>6dd=:0901?om:9f894<6881/?k?55:~f7ce29086?4;{%1`3?`e3A>;:6F2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi89750;196?2|,:i<6kl4H525?M5a:2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi?8<50;196?2|,:i<6kl4H525?M5a:2.:=>49;%3`b?2c?2c3>7>5;h;5>5<5<22;0=w)=l7;dg?!7dn3>o;6a=ac83>>o51:0;66g66;29?l>c2900e5<50;9aba<72?0;6=u+41g9b`=n98;1<7*;0d8254==n0j0;6):?e;:`?>o4jh0;6):?e;1ae>=n;<;1<7*;0d8014=5}#<9o1>>?4o361>5<#<9o1>>84;|q:2?6=:rT2:63id;325>{t0;0;6?uQ839>ba5<5sW82?63id;1ae>{t:hh1<77}:nm03n63;088107=zuk;1<7?50;2x 6`62=1/?n951108 16b2=;?7)?lf;6g3>i6880;6):?e;002>=z{;ki6=4={_0bf>;5ik09mo5rs8494?4|V0<01?om:848yv>52909wS6=;<0bf?>53ty96=4<{<0bf?4>;279mo47d:?2>4663-9m=7;4}|`012<72:0969u+3b59bg=O<9<0D>h=;%327?0<,8im69j8;h:1>5<56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63=?6=93:1{t:091<77}Y:hh01kj52248yv5a;3:1>v3id;:a?827138?>6srb083>4<729q/?k?54:&0g2<68;1/8=k54068 4ea2=n<7b??1;29 16b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=1?1v5<50;0xZ=4<5;ki65<4}r094?5|5;ki6?7<;<0bf?>c34;1==?4$2d2>0=zuk9=87>53;090~"4k>0mn6F;079K7c4<,8;86;5+1bd90a1>i5ik0;66l=ac83>0<52?q/?n95fe9'5f`=7>5;cdg>5<1290;w):?e;df?l7693:1(9>j:032?>o?j3:1(9>j:9`8?l>d290/8=k58b98m6df290/8=k53cc8?l5293:1(9>j:272?>i5;?0;6):?e;002>=zj=:26=4>:183!27m388=6a=4383>!27m388:65rs8494?4|V0<01kj51038yv>52909wS6=;=e7}Y:0901kj53cc8yv4fj3:1>vP=ac9>ba<5;?1v>h<:1818`c21h019>6:361?x{e93:1=7>50z&0b4<33-9h;7??2:&74`<39=1/=nh54e58k466290/8=k52248?xu5ik0;6?uQ2``897ge2;ki7p}66;296~X>>279mo466:p<7<72;qU4?522``9<7=z{;0;6>u22``96<5<5;ki65j4=08244=#;o;196srb3g:>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm4``94?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj==i6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a7dd=8391<7>t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e;k<1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb2`4>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f12b29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo:;a;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd31l0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn96=:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg2?;3:1?7>50z&0g2<5;:1C8=84H2d1?!27m388>6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi8lj50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f1gb29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo:nf;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd3j90;684?:1y'7f1=;:1C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5$52f>47632e9>h4?:%63a?44>21vn99k:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg20m3:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl;7g83>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e<1:1<7;50;2x 6e02:90D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632c:<:4?:%63a?76921d>?k50;&74`<5;?10qoj:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65f11494?"38l0:=<54o30f>5<#<9o1>>84;|`041<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd48<0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>7543A>;:6Fj:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo=?d;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd48l0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb231>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f67429096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo=>4;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c121?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e;821<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi?<750;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f67f29086=4?{%1`3?563A>;:6Fj:032?>i5:l0;6):?e;002>=zj:;i6=4<:183!5d?388?6F;079K7c4<,=:n6?==;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`05c<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi??>50;194?6|,:i<6>?4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm33394?5=83:p(>m8:310?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`063<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd4:>0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb20a>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo==d;297?6=8r.8o:4=329K050<@:l97):?e;006>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e;:;1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb211>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f65429086=4?{%1`3?44;2B?<;5G3g08 16b2;997)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e;=:1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi?9?50;194?6|,:i<6?=<;I632>N4n;1/8=k52208 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c152?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a723=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn>99:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb254>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`03<<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi?:o50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f61e29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo=97;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c15N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=54;294~"4k>08>6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921b==850;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`02f<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zj:6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`02c<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zj:=;6=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>9=:180>5<7s-9h;7<<3:J743=O;o80(9>j:311?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`036<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd4?=0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>;6:180>5<7s-9h;7<<3:J743=O;o80(9>j:311?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`01f<72=0;6=u+3b5977=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098m461290/8=k51038?j45m3:1(9>j:315?>{e;><4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo=:e;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd4=o0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj:<:6=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>8=:185>5<7s-9h;7=;;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76g>0783>!27m3;:=65f11594?"38l0:=<54i02;>5<#<9o1=t$2a4>7543A>;:6Fj:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=m7>53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo=:b;297?6=8r.8o:4=329K050<@:l97):?e;006>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>4423A>;:6Fj:315?M27l21vn>;::180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb275>5<4290;w)=l7;007>N38?1C?k<4$52f>7553-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd4;?0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj:936=4;:183!5d?3997E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632c:<;4?:%63a?76921d>?k50;&74`<5;?10qo=<9;297?6=8r.8o:4=329K050<@:l97):?e;006>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e;:h1<7=50;2x 6e02;987E:?6:J0b7=#<9o1>><4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo=6e;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c1:b?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a7d7=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn>o=:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg5f;3:1?7>50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=54;294~"4k>08>6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921b==850;&74`<69810c?1<729q/?n95339K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54i025>5<#<9o1=t$2a4>4423A>;:6Fj:315?M27l21vn>7::180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb2;5>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`0==<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi?4750;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f6?f29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo=6b;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c1:g?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?83:1?7>50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm38094?5=83:p(>m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>7543A>;:6Fj:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=54;294~"4k>08>6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921b==850;&74`<69810c?6<729q/?n954178L1613A9m>6*;0d8741=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f6>f29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo=7b;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd40j0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb3f`>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f7c729096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd5m;0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj;o?6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a6`1=8391<7>t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e:mn1<7850;2x 6e02:>0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632c:<:4?:%63a?76921b==650;&74`<69810c?6<729q/?n952218L1613A9m>6*;0d8177=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f7ba29086=4?{%1`3?563A>;:6Fj:032?>i5:l0;6):?e;002>=zj;oo6=4<:183!5d?388?6F;079K7c4<,=:n6?==;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`1bg<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi>km50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f7`c29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd5no0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>4423A>;:6Fj:315?M27l21vn?kj:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg4bn3:187>50z&0g2<4:2B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=n99<1<7*;0d8254=5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb3d2>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f7`529086=4?{%1`3?44;2B?<;5G3g08 16b2;997)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=4?:283>5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`1b0<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd5n?0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn?h8:180>5<7s-9h;7<<3:J743=O;o80(9>j:311?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`1b<<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd5nh0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn?m?:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg4dj3:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl=d083>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e:m81<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb3f0>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f7b329096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd5l?0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj;i:6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?4?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a6f2=8391<7>t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e:j?1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi>n850;194?6|,:i<6>?4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm2b594?3=83:p(>m8:218L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=;:k242<72->;i7?>1:9l67c=83.?50z&0g2<5;:1C8=84H2d1?!27m388>6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi>n750;194?6|,:i<6?=<;I632>N4n;1/8=k52208 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c0`e?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e:ji1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi>nj50;694?6|,:i<6><4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07d??6;29 16b28;:76a=2d83>!27m388:65rb3af>5<4290;w)=l7;007>N38?1C?k<4$52f>7553-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd5ko0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rsb83>7}Yk278<;4>059~wa<72;qUh63<0d8241=z{l0;6?uQe:?051<68=1vk4?:3y]b>;49h0:<95rs0294?4|V8:01>vP>1:?063<68=1v<<50;0xZ44<5:8h6<>;;|q27?6=:rT:?63<338241=z{8>1<76;296~X6>278<94>049~w41=838pR<94=21f>4623ty:h7>52z\2`>;48j0:<85rs0g94?4|V8o01>?=:026?xu6n3:1>vP>f:?05=<68<1v?>50;0xZ76<5:;n6<>:;|q15?6=:rT9=63<258240=z{;81<77p}=3;296~X5;278?=4>049~w72=838pR?:4=21;>4633ty5dz\47>;0>33=70;4?80:<>5237g9552<5:?j6<>;;<1:a?77<2784k4>029>7<3=99?01?hk:020?85793;;?63=f48241=::j91===4}r55>5<3s4==6?om;<14029>6ce=9990q~6l:18f[>d3493;76=;<1a7?>5349j:76=;<1a5349j476=;<55>=4<5;hn65<4=3f;>=4<5;oi65<4=271>=4<5:?<65<4=247>=4<5=>j6<><;|q16<<72;q68=95113896>021n0q~vP>9:\1ea=z{;km6=4<{_36?[4fn2788=4>059~w7d72909wS:181[4e9278=84=2d9~w7d52909wS<4=2d9~w7d32909wSi4=2d9~w7d12909wS029>6ag=99?01>99:027?850?3;;?63<788246=:;?81==;4=215>464349847??5:?0=`<68<16?495111897`?28:?70;5nl0:<>5rs3`f>5<>s48ii75116897bf28:=70=86;331>;4=m0:<95238d9555<5;l36<>:;<0`b?77<2wx>oh50;0x97db20<01?j?:30f?xu5k90;6?u22b2967c<5;i?6<>:;|q1g4<72;q6>n?523g897e028:<7p}=c383>7}::j81>?k4=3ag>4633ty9o>4?:3y>6f5=:;o01?mk:025?xu5k=0;6?u22b6967c<5;i36<>:;|q1g0<72;q6>n;523g897e>28:>7p}=c783>7}::j<1>?k4=3ab>4633ty9o:4?:3y>6f1=:;o01?mn:026?xu5k10;6?u22b:967c<5;in6<>;;|q1g<<72;q6>n7523g897ed28:?7p}=c`83>7}::jk1>?k4=3ag>4623ty9oo4?:3y>6fd=:;o01?m::027?xu5kj0;6?u22ba967c<5;in6<>:;|q1ga<72;q6>nj523g897b728:>7p}=cd83>7}::jo1>?k4=3ae>4623ty9ok4?:3y>6f`=:;o01?j?:027?xu5l80;6?u22e3967c<5;i>6<>:;|q1`7<72;q6>i<523g897ed28:>7p}=d283>7}::m91>?k4=3a5>4633ty9h94?:3y>6a2=:;o01?m9:026?xu5l<0;6?u22e7967c<5;i<6<>;;|q1`3<72;q6>i8523g897e028:>7p}=d683>7}::m=1>?k4=3a4>4613ty9h54?:3y>6a>=:hh01?kn:027?xu5l00;6?u22ec967c<5;o96<><;|q1`g<72;q6>i65979>6a`=:;o0q~h522d5955252z?1`a<5:l16>ik51178yv4cm3:1>v3=dd816`=::ml1==:4}r0f4?6=:r79i=4=2d9>6`1=99?0q~h522ef95537>52z?1a7<5:l16>ij51168yv4b;3:1>v3=e2816`=::mn1==84}r0f0?6=:r79i94=2d9>6ab=99=0q~h522ef955>52z?1a3<5:l16>ih51178yv4b?3:1>v3=e6816`=::mo1==:4}r0f52z?1a<<5:l16>ho51178yv4bj3:1=;u22d`96dd<5=>n6<><;<130?77<278<84>029>75e=99>01>>k:020?856:3;;863<128246=:;821==:4=23:>464349:i7??4:?05c<68:16??:51168964228:870==a;330>;4:k0:<>523229552<5:9:6<><;<10a?77<278?k4>029>761=99901>=7:025?xu5mj0;6?u22d`9=3=::ok1>?k4}r0f`?6=:r79ii4=2d9>6c1=99>0q~h522g3955352z?1ac<5:l16>k<51178yv4a83:1>v3=f1816`=::o91==;4}r0e5?6=:r79j<4=2d9>6c2=99?0q~h522g7955352z?1b6<5:l16>k851178yv4a<3:1>v3=f5816`=::ok1==;4}r0e1?6=:r79j84=2d9>6c0=99>0q~h522g5955352z?1b2<5:l16>k751168yv4a03:1>v3=f9816`=::o81==:4}r0e=?6=:r79j44=2d9>6cg=99>0q~h522dd955252z?1bf<5:l16>hh51148yv4al3:1>v3=fe816`=::o:1==:4}r0ea?6=:r79jh4=2d9>6c6=99?0q~h522g;955352z?045<5:l16>k:51168yv5793:1>v3<00816`=::o;1==:4}r137?6=:r78<94=2d9>751=99>0q~=?4;296~;48<09>h52314955352z?043<5:l16?=951178yv57j3:1>v3<0b816`=:;9l1==:4}r13g?6=:r7875c=99?0q~=?d;296~;48l09>h5231d955352z?057<5:l16?<;51168yv56:3:1>v3<12816`=:;8>1==;4}r127?6=:r78=94=2d9>743=99?0q~=>7;296~;49109>h5230`955252z?05<<5:l16?v3<1`816`=:;8h1==;4}r12`?6=:r78=h4=2d9>777=99>0q~=>e;296~;49o09>h52332955352z?065<5:l16???51178yv55;3:1>v3<25816`=:;;=1==:4}r110?6=:r78>84=2d9>770=99?0q~==5;296~;4:?09>h52335955352z?06d<5:l16??j51168yv55i3:1>v3<2c816`=:;;i1==;4}r11f?6=:r78>n4=2d9>77b=99?0q~==f;296~;4;909>h52321955252z?074<5:l16?><51178yv5493:1>v3<33816`=:;:91==;4}r101?6=:r78?;4=2d9>76?=99>0q~=<6;296~;4;>09>h5232;955352z?07=<5:l16?>l51178yv5403:1>v3<38816`=:;:k1==;4}r10=?6=:r78?l4=2d9>76d=99>0q~=h52353955252z?07c<5:l16?9>51178yv54n3:1>v3<41816`=:;=;1==;4}r17=?6=:rT8845248`9555>7>513y>704=:hh01>89:020?850i3;;?63<688241=:;<31==:4=27`>462349>i7??3:?010<68=16?l<5111896??28:870=79;331>;5lj0:<9522d69555<5;lm6<><;<0g6?77;279h>4>059>6a1=99901?m;:027?xu4=:0;6?u23409=3=:;<<1>?k4}r160?6=:r78994=2d9>703=99?0q~=:5;296~;4=<09>h523449553;7>513y>701=:hh01>9::020?851?3;;863<588240=:;464349>87??3:?0e5<68:16?475111896>f28:870;5m<0:<>522df9552<5::;6<>:;<0`4?77;279h>4>049>6f7=99901?m6:027?xu4=10;6?u23459=3=:;

?k4}r16=?6=:r78944=2d9>737=99?0q~=:a;296~;4=h09>h5234`9553o7>52z?01f<5:l16?;=51168yv52l3:1>v3<5e816`=:;?81==:4}r16a?6=:r789h4=2d9>734=99<0q~=:f;296~;4=o09>h52370955152z?025<5:l16?;<511:8yv5193:1>v3<60816`=:;735=99?0q~=93;296~;4>:09>h5234c95535dz?021<5ik16?:l51118960728:870=91;330>;4=?0:<9523`39555<5:3j6<><;<1;=?77<279i;4>029>6`b=99?01?kj:020?84d:3;;?63=c98241=z{:<>6=4={<150??1349<87<=e:p730=838p1>89:30f?851?3;;96s|37594?4|5:<<6?{t;?31<774b349=o7??5:p73g=838p1>8n:30f?851l3;;96s|37`94?4|5:{t;?n1<774b349=i7??5:p73c=838p1>8j:30f?851n3;;86s|37d94?4|5:50;0x96172;8n70=82;331>{t;>;1<774b349=47??5:p724=838p1>9=:30f?850;3;;86s|36194?4|5:=86?{t;><1<774b349=h7??4:p721=838p1>98:30f?851i3;;86s|36:94?4|5:=36?2wx?:750;0x961>2;8n70=9b;331>{t;>k1<774b349<<7??5:p72d=838p1>9m:30f?850;3;;96s|39594?5|5:2<6?om;<1be?77;278n;4>029~w6>?2909w0=77;:a?85?l389i6s|39;94?4|5:226?f2;8n70=7c;331>{t;1h1<774b3493h7??5:p7=e=838p1>6l:30f?85?l3;;86s|39g94?2|5:3?6?029>6a3=9990q~=7f;296~;40o09>h5238`955352z?0=5<5:l16?4:51148yv5>93:1>v3<90816`=:;081==:4}r1:6?6=:r785?4=2d9>7<5=99?0q~=63;296~;41:09>h52386955352z?0=0<5:l16?4m51168yv5>>3:1>v3<97816`=:;0i1==;4}r1:3?6=:r785:4=2d9>7<4=99?0q~=68;296~;41109>h52386955252z?0=<<5:l16?4>51168yv5>i3:1>v3<9`816`=:;0:1==;4}r1:f?6=:r785o4=2d9>7<5=99>0q~=6c;296~;41j09>h52383955354z?0e0<5:l16>h=5111897b628:870{t;0o1<774b349j97??5:p7<`=838p1>7i:30f?85f;3;;96s|3`294?4|5:k;6?{t;h81<774b349j87??6:p7d5=838p1>o<:30f?85f=3;;86s|3`694?4|5:k?6?2wx?l850;6x96g12;ki70=9a;331>;41<0:<9522dd955352z\0e2=:;h215;5rs2c;>5<3s493;779;<1b059>7=d=9990q~=n9;296~;4i?02:63049~w6d4290hw0=m3;0bf>;4>10:<95237`9552<5:?h6<>;;<16`?77=278m>4>059>701>7>:027?84b83;;?63<018241=::o91==:4=3f7>4643ty8n94?:3y]7g2<5:h36484}r1a1?6=:r78n>466:?0f2<5:l1v>l9:18185e>389i63059~w142290>wS:=5:?7=`<68<1685=5116891gc28:870:8d;337>{t<=31<7265<4=56b>74b3ty?8i4?:3y>01?=1?1689k523g8yv2103:1>vP;699>02`=9990q~:8a;296~X3?h168:l523g8yv20k3:1>v3;7c8246=:<1:1>?k4}r64`?6=:r7?;i4=2d9>0=6=99?0q~:8e;296~;3?l09>h52492955052z?73c<5:l1685>51158yv2?93:1>vP;809>0=5=:;o0q~:72;296~;30;09>h5249195532?7>52z\7=6=:<921>9<4}r6:e?6=:rT?5l5248`967c2h7>52z\7=a=:<0o1>?k4}r6b4?6=:rT?m=524`d9555jm7>52z\7ed=:?k4}r6bg?6=:r7?mo4>029>0g6=:;o0q~:nd;296~;3im09>h524c29553ji7>52z?7e`<5:l168o>51148yv2fn3:1>v3;ag816`=:<;<6ba?77;27?;h4>029~yg26j3:1=>497;52M5a:2.8o:4>4d9'5fc=;j<0e5m50;9j56b=831b=;k50;9j57>=831b8?;50;9j36<722e:;<4?::k72=<722c:9;4?::m23`<722c?h=4?::k7e5<722e::>4?::m20c<722e?9o4?::m2g7<722e997>5;n0g>5<6=44b524>5<6290;w)=l7;336>N38?1C?k<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~f152290>6?49{%1`3?`d3A>;:6F7>5;h;5>5<5<1290;w):?e;df?l7693:1(9>j:032?>o?j3:1(9>j:9`8?l>d290/8=k58b98m6df290/8=k53cc8?l5293:1(9>j:272?>i5;?0;6):?e;002>=zj=:i6=4>:183!27m3>:86a=3783>!27m388:65rs3ca>5<5sW8jn63=ac8173=z{0<1<7=d0383>7}::hh1?oo4=52a>7513twi>>950;796?0|,:i<6km4H525?M5a:2.:=>49;%3`b?2c?2c3n7>5;h:g>5<>i5ik0;66l=ac83>3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?0c?=9:18'05c=::<07p}=ac83>7}Y:hh01?om:315?xu>>3:1>vP66:?1eg<6981v5j50;0xZ=b<5;ki6>;>;|q;f?6=:rT3n63=ac8;f>{t0;0;6?uQ839>6dd=0j1v<>=:18184fj39im63;0c8173=zuk8o6=4::385!5d?3lh7E:?6:J0b7=#9jl18i94i9`94?=n0m0;66g72;29?l?12900c?om:188f7ge290=6=4?{%63a?`b3`;:=7>5$52f>47632c3n7>5$52f>=d<3`2h6=4+41g9=7>5$52f>63632e9?;4?:%63a?44>21vn9>m:182>5<7s->;i7:>4:m173<72->;i7<<6:9~w7ge2909wS;|q;`?6=:rT3h63=ac8014=z{1h1<75348jn76l;|q247<72;q6>ll53cc8916e2;9=7psm9283>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpl=2483>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpl>9583>0<52?q/?n95fb9K050<@:l97)?lf;6g3>o?j3:17d6k:188m=4=831b5;4?::m1eg<722h9mo4?:783>5}#<9o1jh5f10394?"38l0:=<54i9`94?"38l03n65f8b83>!27m32h76g!27m39im65f34394?"38l089<54o315>5<#<9o1>>84;|`74g<7280;6=u+41g90425;c0bf?6=>3:1o6980;6):?e;325>=n0k0;6):?e;:a?>o?k3:1(9>j:9a8?l5ei3:1(9>j:2`b?>o4=80;6):?e;165>=h::<1<7*;0d8173=;n7>51;294~"38l0?=95`22494?"38l09?;54}r0bf?6=:rT9mo522``96607}Y0m16>ll53438yv>e2909wS6m;<0bf?>e3ty3>7>52z\;6>;5ik03o6s|11094?4|5;ki6>ln;<63f?44>2wvn<8<:186>7<1s-9h;7hl;I632>N4n;1/=nh54e58m=d=831b4i4?::k;6?6=3`3=6=44o3ca>5<1;29 16b28;:76g7b;29 16b21h07d6l:18'05c=0j10e>ln:18'05c=;kk07d=:1;29 16b2:?:76a=3783>!27m388:65rb52a>5<6290;w):?e;620>i5;?0;6):?e;002>=z{;ki6=4={_0bf>;5ik09?;5rs8494?4|V0<01?om:032?xu?l3:1>vP7d:?1eg<4=81v5l50;0xZ=d<5;ki65l4}r:1>5<5sW297078t$2a4>ce<@=:=7E=i2:&2gc<3l>1b4o4?::k;`?6=3`296=44i8494?=h:hh1<75m2``94?0=83:p(9>j:gg8m476290/8=k51038?l>e290/8=k58c98m=e=83.?3:1(9>j:315?>{e<9h1<7?50;2x 16b2=;?7b<<6;29 16b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=98;0q~6k:181[>c348jn7=:1:p3}#;j=1jn5G4148L6`53-;hj7:k7:k;f?6=3`2o6=44i9094?=n1?0;66a=ac83>>d5ik0;6;4?:1y'05c=nl1b=d32c8nl4?:%63a?5ei21b?8?50;&74`<4=810c?=9:18'05c=::<07pl;0c83>4<729q/8=k54068k751290/8=k52248?xu5ik0;6?uQ2``897ge2;9=7p}66;296~X>>279mo4>109~w=b=838pR5j4=3ca>6363ty3n7>52z\;f>;5ik03n6s|8383>7}Y0;16>ll58b9~w4652909w0;38k09?;5r}c35e?6==381:v*N38?1C?k<4$0ae>1b03`2i6=44i9f94?=n0;0;66g66;29?j4fj3:17o;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<39=1d>>850;&74`<5;?10q~ll52248yv?12909wS79;<0bf?7692wx4i4?:3y]5<5sW2i70vP72:?1eg{zj82h6=4::385!5d?3lh7E:?6:J0b7=#9jl18i94i9`94?=n0m0;66g72;29?l?12900c?om:188f7ge290=6=4?{%63a?`b3`;:=7>5$52f>47632c3n7>5$52f>=d<3`2h6=4+41g9=7>5$52f>63632e9?;4?:%63a?44>21vn9>m:182>5<7s->;i7:>4:m173<72->;i7<<6:9~w7ge2909wS;|q;`?6=:rT3h63=ac8014=z{1h1<75348jn76l;|q247<72;q6>ll53cc8916e2;9=7psm2483>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpl>7083>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpl>3b83>6<52=q/?n95fc9K050<@:l97)?>3;48 4ea2=n<7d6=:188m<0=831d>ll50;9a6dd=83?1>78t$2a4>cb<,8im69j8;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&74`e32c3o7>5$52f>=e<3`9im7>5$52f>6df32c89<4?:%63a?52921d>>850;&74`<5;?10qo:?9;295?6=8r.?4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:1:p6<5=838pR?7<;6df3ty9mo4?:3y]6dd<5on1>>84}r1e7?6=:r7mh76m;<63=?43:2wvn<4?:083>5}#;o;186*1b03f;;=7>5$52f>75132wx>ll50;0xZ7ge348jn7h>:49~yg4><3:1?7<54z&0g23}#;j=1ji5+1bd90a15<>o?:3:17ohk:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16>290:6=4?{%63a?4492e98?4?:%63a?44>21v4850;0xZ<0<5on1=5<5sW2970hk:9a8yv>c2909wS6k;6363ty95>4?:3y]6<5<5on1?oo4}r0bf?6=:rT9mo52fe8173=z{:l86=4={=d<5=:26?:=;|a5?6=93:16*;0d8751=#9jl18i94o022>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7ge3ty2:7>52z\:2>;5ik02:6s|8383>7}Y0;16>ll5839~w7<72:q6>ll5281897ge21n01<4>009'7c7==2wvn?77:180>7<3s-9h;7hm;I632>N4n;1/=<=56:&2gc<3l>1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?h:5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$52f>cc=n;kk1<7*;0d80fd=5$52f>75132wi8=750;394?6|,=:n6?=>;n076?6=,=:n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80fd=z{;ki6=4={_0bf>;al388:6s|3g194?4|5on14o5241;9614:183!5a93>0(>m8:021?!27m3>:86*>cg87`2=h99;1<7*;0d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.8j<4:;|a=0<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd6>j0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj=936=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb3d94?5=83:p(>m8:310?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?>3:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl>9683>6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`1e=<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi>l750;194?6|,:i<6>?4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm44a94?5=83:p(>m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>4423A>;:6Fj:315?M27l21vn9;j:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb0:3>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f4>629096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo?72;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c3;7?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e9??1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi=;850;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f40029096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo?98;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c35=?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e9j>1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi=n;50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f4e129096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo?l7;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c3`h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e:0k1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb3;e>5<4290;w)=l7;007>N38?1C?k<4$52f>7553-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd5i90;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a6d4=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn?o<:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb3c7>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qoj:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c0:f?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?k3:1?7>50z&0g2<5;:1C8=84H2d1?!27m388>6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi>4j50;194?6|,:i<6>?4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm28g94?2=83:p(>m8:317?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9j550=83.?

1098k74b290/8=k52248?xd51?0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb073>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a==7>52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`217<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd6=:0;694?:1y'7f1=::>0D9>9;I1e6>"38l09??5+1bd90a15$52f>47632c:<;4?:%63a?76921d>?k50;&74`<5;?10qo?83;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd6?<0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn<99:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb054>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`23<<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd6?h0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb05`>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f41329086=4?{%1`3?44;2B?<;5G3g08 16b2;997)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?8g83>6<729q/?n952218L1613A9m>6*;0d8177=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f4?729096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo?61;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd61;0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=4?:583>5}#;j=1??5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810e<>9:18'05c=98;07b<=e;29 16b2;9=76sm19794?5=83:p(>m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>4423A>;:6Fj:315?M27l21vn<68:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg7?03:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl>8883>6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`2j:032?>i5:l0;6):?e;002>=zj82i6=4;:183!5d?3997E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632c:<;4?:%63a?76921d>?k50;&74`<5;?10qo<<9;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd5;h0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj;9h6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm25294?5=83:p(>m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>7543A>;:6Fj:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`2=d<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi=4l50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f4?d29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo?6d;291?6=8r.8o:4<3:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65f11494?"38l0:=<54i024>5<#<9o1=t$2a4>7543A>;:6Fj:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=6=4={_06?8422;ki7p}=d;2955}Y:m16>i4=ac9>=0<68<16=5>5116894>628:870?95;330>;6>?0:<>521b69552<58i>6<><;<0:b?77=27:9=4>029>523=99?01<7?:020?87?i3;;863=388246=:90h1===4}r0f>5<5s48o65l4=3d967c;61>0:<9522`29553<58==6<>:;<3;a?77<27:484>049>66e=99901<7l:020?xu?k3:1=?uQ8b9>063=0;16>>95839>6a534;2876=;<3`6?>534;=?76=;<34a?>534;h576=;<35e?>534;3o76=;<06>=4<58=:65<4=01`>=4<5;3?65<4=3;;>=47ge348m6<>;;|q:0?6=:r72?779;<;6>74b3ty:>54?:3y]57><589h6484}r30g?6=>r7:?n4=ac9>6>3;;963>728246=:90k1===4}r30`?6=;rT:?i5242:9555<583=6<><;|q20c<72;qU=9h4=070>74b3ty:9=4?:3y>506=:;o01<;=:026?xu6=80;6?u2143967c<58?86<>9;|q217<72;q6=8<523g8943428:?7p}>5783>=}Y9<<019;l:027?84f83;;863>508246=:9><1==:4=0:f>46234;397??4:?105<68=1v<8<:181[71;27::>4=ac9~w4032909w0?93;;5?8711389i6s|17794?4|58<>6?{t9?=1<774b34;=47??5:p53>=838p1<87:30f?87113;;96s|17c94?4|58l0;6>uQ17g8943528:?70<{t9>;1<774b3ty:;>4?:3y>525=:;o01<96:026?xu6?<0;6?u2167967c<58=i6<>;;|q233<72;q6=:8523g8941f28:?7p}>7683>7}:9>=1>?k4=05b>4623ty:;54?:3y>52>=:;o01<9l:026?xu6?00;6?u216;967c<58=?6<>;;|q23d<72;q6=:o523g8941e28:>7p}>7c83>7}:9>h1>?k4=05`>4633ty:;n4?:3y>52e=:;o01<9;:026?xu6?l0;6?uQ16g8941b2;ki7p}>7g83>7}:9>o15;52191967c52z?2<5<5:l16=5=51168yv7?93:1>v3>80816`=:9181==;4}r3;6?6=:r7:4?4=2d9>5=5=99?0q~?74;296~;6?l03n63>8c816`=z{82>6=4={<3;1?45m27:444>059~w4>12909w0?76;01a>;6000:<85rs0:4>5<5s4;3;7<=e:?28c8243=z{8226=4={<3;=?45m27:4l4>049~w4>f2909w0?7a;01a>;60k0:<85rs0:`>5<5s4;3o7928240=z{82n6=4={<3;b?45m27:5?4>059~w4>a2909w0?60;01a>;61;0:<85rs0;3>5<5s4;2=7<=e:?2=6<68?1v<7>:18187>:389i63>928241=z{83?6=4={<3:0?4fj27:9>4>049~w4?22909w0?64;;5?87>?389i6s|18494?4|583=6?9883>7}:9031>?k4=0;g>4623ty:5l4?:3y>58;|q2=f<72;q6=4m523g894?b28:>7p}>9e83>7}:90n1>?k4=0;f>4633ty:o?4?:3y]5f4<58i96?om;|q2g6<72;q6=n<5979>5f>=:;o0q~?l4;296~;6k=09>h521b:955252z?2g0<5:l16=n951168yv7d>3:1>v3>c7816`=:9j=1==;4}r3`3?6=:r7:o:4=2d9>5f>=99?0q~?l9;296~;6k009mo521b6955352z?2g<<>>27:oo4=2d9~w742290>w0u22379=3=:91i14o52181967c5bz?742<688168>;58e9>661=0m16>i47d:?2=1>950;4x97502;ki70;6?>0:<>521839555<582=6<><;<3:`?77<2wx>>650;0x975021h01?:>:30f?xu5;00;6?u222;967c<5;9o6<>:;|q17d<72;q6>>o523g8975b28:?7p}=3c83>7}:::h1>?k4=31f>4623ty9?n4?:3y>66e=:;o01?:>:026?xu5;m0;6?u222f967c<5;9m6<>;;|q17`<72;q6>>k523g8975a28:>7p}=3g83>7}:::l1>?k4=363>4623ty98=4?:3y>616=:;o01?:>:027?xu51=0;64u228696dd<5;k36<><;<0b6?77;279m>4>059>6<0=99>01<97:020?87?n3;;863>898246=:::k1===4}r0:1?6=:r7959466:?1=2<5:l1v?79:18184>>389i63=968240=z{;336=4l{<0:059>6<`=99>01?o;:020?84f=3;;863=968241=:9>?1==:4=05:>46334;3j7??5:?2<2<68:16>>l5111894?>28:87p}=9883>7}::0215;5228g967c52z?1=d<5:l16>l;51178yv4>j3:1>v3=9c816`=::0o1==84}r0:g?6=:r795n4=2d9>60q~<6d;296~;51m09>h5228g955252z?1=c<5:l16>4m51168yv4f83:1>v3=a1816`=::h<1==:4}r0b5?6=:r79m<4=2d9>6d0=99?0q~h5228f955352z?1e6<5:l16>4l51168yv4f<3:1>v3=a5816`=::0h1==;4}r0b1?6=:r79m84=2d9>6h5228a955352z?1e=<5:l16>l751178yv4fi3:19v3>c38;f>;6>:03n63=5;;5?8?228:?70{t<;?1<770:<5;0bf>{t<:=1<7<0<5=9j6?6523g8915f28:?7p};3883>7}:<:31>?k4=51b>4623ty?9o4?:3y]00d<5=?n6?7}:<?k4=57f>4623ty?:54?:2y]03><58<;<353?77;2wx8l>50;1xZ1g734;hn7??3:?2g3<68:1v9j?:187[2c827:4n466:?71f<68<16=5<51168yxd39>0;644>8;3gM5a:2.8o:4>019'5fc=;j<0c9m6:188k12a2900e9<::188k25=831bj44?::k03f<722c84<4?::k0<3<722c:o;6g7b;29?l>c2900e5<50;9j=3<722e9mo4?::`1eg<72?0;6=u+41g9b`=n98;1<7*;0d8254==n0j0;6):?e;:`?>o4jh0;6):?e;1ae>=n;<;1<7*;0d8014=5}#<9o18<:4o315>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7513ty2:7>52z\:2>;5ik0:=<5rs9f94?4|V1n01?om:272?xu?j3:1>vP7b:?1eg5<5s48jn7=ma:?74g<5;?1vqo=8d;291?4=>r.8o:4ic:J743=O;o80(e2900e5j50;9j<7<722c2:7>5;n0bf?6=3k8jn7>56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63f?6=93:152z\1eg=::hh1>>84}r;5>5<5sW3=70{t0m0;6?uQ8e9>6dd=;<;0q~6m:181[>e348jn76m;|q;6?6=:rT3>63=ac8;g>{t9981<76df34>;n7<<6:~f6>5290>6?49{%1`3?`d3A>;:6F7>5;h;5>5<5<1290;w):?e;df?l7693:1(9>j:032?>o?j3:1(9>j:9`8?l>d290/8=k58b98m6df290/8=k53cc8?l5293:1(9>j:272?>i5;?0;6):?e;002>=zj=:i6=4>:183!27m3>:86a=3783>!27m388:65rs3ca>5<5sW8jn63=ac8173=z{0<1<7=d0383>7}::hh1?oo4=52a>7513twi?k;50;796?0|,:i<6km4H525?M5a:2.:ok4;d69j5;h:1>5<:18'05c=98;07d6m:18'05c=0k10e5m50;&74`;>:18'05c=;<;07b<<6;29 16b2;9=76sm41`94?7=83:p(9>j:537?j44>3:1(9>j:315?>{t:hh1<77}Y1?16>ll51038yv>c2909wS6k;<0bf?5292wx4o4?:3y]v3=ac80fd=:<9h1>>84}|`03?6=;3818v*N38?1C?k<4$030>3=#9jl18i94i9094?=n1?0;66a=ac83>>d5ik0;684=:7y'7f1=nm1/=nh54e58k7ge2900e?7<:188m<0=831b4i4?::k;6?6=3klo6=49:183!27m3ln7d?>1;29 16b28;:76g7b;29 16b21h07d6l:18'05c=0j10e>ln:18'05c=;kk07d=:1;29 16b2:?:76a=3783>!27m388:65rb52:>5<6290;w):?e;005>i5<;0;6):?e;002>=z{0<1<7534lo65m4}r:g>5<5sW2o70hk:272?xu51:0;6?uQ28189cb=;kk0q~2;>97psm1;295?6=8r.8j<4;;%1`3?77:2.?>:18'05c=::<07p}=ac83>7}Y:hh01?om:3ca?xu>>3:1>vP66:?1eg<>>2wx4?4?:3y]<7=::hh14?5rs383>6}::hh1>4=4=3ca>=b<580:<<5+3g391>{zj>>1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb6794?5=83:p(>m8:310?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?l0(j:032?>i5:l0;6):?e;002>N38m10qo::5;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd3=?0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>h8:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb2:7>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm36d94?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj:2;6=4<:183!5d?388?6F;079K7c4<,=:n6?==;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd3kh0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:310?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<5;:1C8=84H2d1?!27m388>6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi?ko50;194?6|,:i<6?=<;I632>N4n;1/8=k52208 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c1ef?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n952218L1613A9m>6*;0d8177=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~w61=838p1>952``8923=99>0q~=6:18185021801>6523g8yv5f2909w0=8:84896d=:;o0q~9<:18:[143493>779;<14`??134=>6?059>7=2=99901>9i:020?85aj3;;?6s|7583>7}:?=09>h52748240=z{o31<78t^g;8912a21801>9k:90896>521801>h::90896>=9990q~??c;297~X68j168885116896`028:>7p}=2883>0}:<9=1==?4=56e>=b<5:=o65j4=2:1>=b<5:l>65j4}r14g?6=;rT8;n523929552<5:lj6<>;;|q03a<72;q6?:j52``896`f28:>7p}<7d83>7}:;>n14o52392967c52z?03c<5:l16?5>51178yv5?93:1?vP<809>7=3=99>01>h6:027?xu40;0;6?u239096dd<5:l26<>:;|q0<6<72;q6?5<58c9>7=3=:;o0q~=74;296~;40=09>h52397955352z?0b0<5ik168no51118yv5a>3:1>v3;4n>09>h5rs2d;>5<4s49m976m;<67b?>e349mh7<=e:p7c?=838p1>h6:30f?85ak3;;86s|3gc94?4|5:lj6?{t;oi1<774b349mh7??4:p073=83>pR9<:;<57>464349i6<><;<6`f?77<2wx89h50;0xZ12a34>?j77}:<?k4=575>4623ty?o44?:3y]0f?<5=ii6?7psm40:94?722k21o9uG3g08 6e028>o7)?le;1`2>i6;m0;66g;c883>>i3:k0;66g;4g83>>o6km0;66a>2983>>of03:17d:65;29?l7093:17d66:188m=5=831d8;650;9j53b=831b:o4?::m7e5<722c:on4?::k771<722e?9:4?::m725<722e997>5;n6a5?6=3k>;;7>51;294~"4k>0:;i7:>4:&2gc<3l>1d==?50;&74`<5;?10qo:m1;291?4=>r.8o:4ic:J743=O;o80(e2900e5j50;9j<7<722c2:7>5;n0bf?6=3k8jn7>56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63f?6=93:152z\1eg=::hh1>>84}r;5>5<5sW3=70{t0m0;6?uQ8e9>6dd=;<;0q~6m:181[>e348jn76m;|q;6?6=:rT3>63=ac8;g>{t9981<76df34>;n7<<6:~fg7=8391>7:t$2a4>cd<@=:=7E=i2:&2gc<3l>1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?h:5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$52f>cc=n;kk1<7*;0d80fd=5$52f>75132wi8=750;394?6|,=:n6?=>;n076?6=,=:n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80fd=z{;ki6=4={_0bf>;al388:6s|3g194?4|5on14o5241;9614:183!5a93>0(>m8:021?!27m3>:86*>cg87`2=h99;1<7*;0d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.8j<4:;|ag0<72:0969u+3b59bg=O<9<0D>h=;%3`b?2c?2c3>7>5;h;5>5<5<22;0=w)=l7;dg?!7dn3>o;6a=ac83>>o51:0;66g66;29?l>c2900e5<50;9aba<72?0;6=u+41g9b`=n98;1<7*;0d8254==n0j0;6):?e;:`?>o4jh0;6):?e;1ae>=n;<;1<7*;0d8014=5}#<9o1>>?4o361>5<#<9o1>>84;|q:2?6=:rT2:63id;325>{t0;0;6?uQ839>ba5<5sW82?63id;1ae>{t:hh1<77}:nm03n63;088107=zuk;1<7?50;2x 6`62=1/?n951108 16b2=;?7)?lf;6g3>i6880;6):?e;002>=z{;ki6=4={_0bf>;5ik09mo5rs8494?4|V0<01?om:848yv>52909wS6=;<0bf?>53ty96=4<{<0bf?4>;279mo47d:?2>4663-9m=7;4}|``5?6=;3818v*N38?1C?k<4$0ae>1b03`296=44i8494?=h:hh1<75m2``94?3=:3m8:gf8 4ea2=n<7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):?e;325>=n0k0;6):?e;:a?>o?k3:1(9>j:9a8?l5ei3:1(9>j:2`b?>o4=80;6):?e;165>=h::<1<7*;0d8173=;57>51;294~"38l09?<5`25094?"38l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>=6s|28194?4|V;3870hk:2`b?xu5ik0;6?uQ2``89cb=::<0q~=i3;296~;al32i70:?9;076>{zj80;6<4?:1y'7c7=<2.8o:4>039'05c=<8>0(j:315?>{t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,:l:685r}c`g>5<42;0?w)=l7;da?M27>2B8j?5+1bd90a1>i5ik0;66l=ac83>0<52?q/?n95fe9'5f`=7>5;cdg>5<1290;w):?e;df?l7693:1(9>j:032?>o?j3:1(9>j:9`8?l>d290/8=k58b98m6df290/8=k53cc8?l5293:1(9>j:272?>i5;?0;6):?e;002>=zj=:26=4>:183!27m388=6a=4383>!27m388:65rs8494?4|V0<01kj51038yv>52909wS6=;=e7}Y:0901kj53cc8yv4fj3:1>vP=ac9>ba<5;?1v>h<:1818`c21h019>6:361?x{e93:1=7>50z&0b4<33-9h;7??2:&74`<39=1/=nh54e58k466290/8=k52248?xu5ik0;6?uQ2``897ge2;ki7p}66;296~X>>279mo466:p<7<72;qU4?522``9<7=z{;0;6>u22``96<5<5;ki65j4=08244=#;o;196srbc;94?5=:3>p(>m8:g`8L1613A9m>6*>cg87`2=n0;0;66g66;29?j4fj3:17or.8o:4id:&2gc<3l>1d>ll50;9j6<5=831b5;4?::k;`?6=3`296=44bgf94?0=83:p(9>j:gg8m476290/8=k51038?l>e290/8=k58c98m=e=83.?3:1(9>j:315?>{e<931<7?50;2x 16b2;9:7b<;2;29 16b2;9=76s|9783>7}Y1?16ji4>109~w=4=838pR5<4=gf92wx?k=50;0x9cb=0k168=752508yxd6290:6=4?{%1e5?2<,:i<6<>=;%63a?26<2.:ok4;d69l557=83.?vP=ac9>6dd=:hh0q~79:181[?1348jn779;|q;6?6=:rT3>63=ac8;6>{t:3:1?v3=ac81=6=::hh14i521;335>"4n80>7psm12f94?5=:3>p(>m8:g`8L1613A9m>6*>cg87`2=n0;0;66g66;29?j4fj3:17or.8o:4id:&2gc<3l>1d>ll50;9j6<5=831b5;4?::k;`?6=3`296=44bgf94?0=83:p(9>j:gg8m476290/8=k51038?l>e290/8=k58c98m=e=83.?3:1(9>j:315?>{e<931<7?50;2x 16b2;9:7b<;2;29 16b2;9=76s|9783>7}Y1?16ji4>109~w=4=838pR5<4=gf92wx?k=50;0x9cb=0k168=752508yxd6290:6=4?{%1e5?2<,:i<6<>=;%63a?26<2.:ok4;d69l557=83.?vP=ac9>6dd=:hh0q~79:181[?1348jn779;|q;6?6=:rT3>63=ac8;6>{t:3:1?v3=ac81=6=::hh14i521;335>"4n80>7psm2483>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpl>2983>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpli2;297?4=52900e4850;9l6dd=831i>ll50;796?0|,:i<6kj4$0ae>1b03f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<5;81d>9<50;&74`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5292wx>4=50;0xZ7?434lo6>ln;|q1eg<72;qU>ll4=gf966052z?e`?>e34>;57<;2:~f4<7280;6=u+3g390>"4k>0:52900e4850;9l6dd=831i>ll50;796?0|,:i<6kj4$0ae>1b03f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<5;81d>9<50;&74`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5292wx>4=50;0xZ7?434lo6>ln;|q1eg<72;qU>ll4=gf966052z?e`?>e34>;57<;2:~f4<7280;6=u+3g390>"4k>0:7<3s-9h;7hm;I632>N4n;1/=nh54e58m=4=831b5;4?::m1eg<722h9mo4?:481>3}#;j=1ji5+1bd90a15<>o?:3:17ohk:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16>290:6=4?{%63a?4492e98?4?:%63a?44>21v4850;0xZ<0<5on1=5<5sW2970hk:9a8yv>c2909wS6k;6363ty95>4?:3y]6<5<5on1?oo4}r0bf?6=:rT9mo52fe8173=z{:l86=4={=d<5=:26?:=;|a5?6=93:16*;0d8751=#9jl18i94o022>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7ge3ty2:7>52z\:2>;5ik02:6s|8383>7}Y0;16>ll5839~w7<72:q6>ll5281897ge21n01<4>009'7c7==2wvn>hi:180>7<3s-9h;7hm;I632>N4n;1/=nh54e58m=4=831b5;4?::m1eg<722h9mo4?:481>3}#;j=1ji5+1bd90a15<>o?:3:17ohk:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16>290:6=4?{%63a?4492e98?4?:%63a?44>21v4850;0xZ<0<5on1=5<5sW2970hk:9a8yv>c2909wS6k;6363ty95>4?:3y]6<5<5on1?oo4}r0bf?6=:rT9mo52fe8173=z{:l86=4={=d<5=:26?:=;|a5?6=93:16*;0d8751=#9jl18i94o022>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7ge3ty2:7>52z\:2>;5ik02:6s|8383>7}Y0;16>ll5839~w7<72:q6>ll5281897ge21n01<4>009'7c7==2wvn4750;196?2|,:i<6kl4H525?M5a:2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi8l>50;196?2|,:i<6kl4H525?M5a:2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi8;650;196?2|,:i<6kl4H525?M5a:2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi8?l50;196?2|,:i<6kl4H525?M5a:2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi88950;196?2|,:i<6kl4H525?M5a:2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twij<4?:281>1}#;j=1jo5G4148L6`53-;hj7:k7:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<9o1jh5f10394?"38l0:=<54i9`94?"38l03n65f8b83>!27m32h76g!27m39im65f34394?"38l089<54o315>5<#<9o1>>84;|`74<<7280;6=u+41g966796=4+41g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39im6s|2``94?4|V;ki70hk:315?xu4n:0;6?u2fe8;f>;380098?5r}c394?7=83:p(>h>:59'7f1=9980(9>j:537?!7dn3>o;6a>0083>!27m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%1e5?31}#;j=1jo5G4148L6`53-;hj7:k7:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<9o1jh5f10394?"38l0:=<54i9`94?"38l03n65f8b83>!27m32h76g!27m39im65f34394?"38l089<54o315>5<#<9o1>>84;|`74<<7280;6=u+41g966796=4+41g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39im6s|2``94?4|V;ki70hk:315?xu4n:0;6?u2fe8;f>;380098?5r}c394?7=83:p(>h>:59'7f1=9980(9>j:537?!7dn3>o;6a>0083>!27m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%1e5?353;090~"4k>0mn6F;079K7c4<,8im69j8;h:1>5<56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63=?6=93:1{t:091<77}Y:hh01kj52248yv5a;3:1>v3id;:a?827138?>6srb083>4<729q/?k?54:&0g2<68;1/8=k54068 4ea2=n<7b??1;29 16b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=1?1v5<50;0xZ=4<5;ki65<4}r094?5|5;ki6?7<;<0bf?>c34;1==?4$2d2>0=zuk9mi7>53;090~"4k>0mn6F;079K7c4<,8im69j8;h:1>5<56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63=?6=93:1{t:091<77}Y:hh01kj52248yv5a;3:1>v3id;:a?827138?>6srb083>4<729q/?k?54:&0g2<68;1/8=k54068 4ea2=n<7b??1;29 16b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=1?1v5<50;0xZ=4<5;ki65<4}r094?5|5;ki6?7<;<0bf?>c34;1==?4$2d2>0=zuk336=4<:387!5d?3li7E:?6:J0b7=#9jl18i94i9094?=n1?0;66a=ac83>>d5ik0;684=:7y'7f1=nm1/=nh54e58k7ge2900e?7<:188m<0=831b4i4?::k;6?6=3klo6=49:183!27m3ln7d?>1;29 16b28;:76g7b;29 16b21h07d6l:18'05c=0j10e>ln:18'05c=;kk07d=:1;29 16b2:?:76a=3783>!27m388:65rb52:>5<6290;w):?e;005>i5<;0;6):?e;002>=z{0<1<7534lo65m4}r:g>5<5sW2o70hk:272?xu51:0;6?uQ28189cb=;kk0q~2;>97psm1;295?6=8r.8j<4;;%1`3?77:2.?>:18'05c=::<07p}=ac83>7}Y:hh01?om:3ca?xu>>3:1>vP66:?1eg<>>2wx4?4?:3y]<7=::hh14?5rs383>6}::hh1>4=4=3ca>=b<580:<<5+3g391>{zj8=o6=4<:387!5d?3li7E:?6:J0b7=#9891:6*>cg87`2=n0;0;66g66;29?j4fj3:17or.8o:4id:&2gc<3l>1d>ll50;9j6<5=831b5;4?::k;`?6=3`296=44bgf94?0=83:p(9>j:gg8m476290/8=k51038?l>e290/8=k58c98m=e=83.?3:1(9>j:315?>{e<931<7?50;2x 16b2;9:7b<;2;29 16b2;9=76s|9783>7}Y1?16ji4>109~w=4=838pR5<4=gf92wx?k=50;0x9cb=0k168=752508yxd6290:6=4?{%1e5?2<,:i<6<>=;%63a?26<2.:ok4;d69l557=83.?vP=ac9>6dd=:hh0q~79:181[?1348jn779;|q;6?6=:rT3>63=ac8;6>{t:3:1?v3=ac81=6=::hh14i521;335>"4n80>7psme583>6<52=q/?n95fc9K050<@:l97)?>3;48 4ea2=n<7d6=:188m<0=831d>ll50;9a6dd=83?1>78t$2a4>cb<,8im69j8;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&74`e32c3o7>5$52f>=e<3`9im7>5$52f>6df32c89<4?:%63a?52921d>>850;&74`<5;?10qo:?9;295?6=8r.?4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:1:p6<5=838pR?7<;6df3ty9mo4?:3y]6dd<5on1>>84}r1e7?6=:r7mh76m;<63=?43:2wvn<4?:083>5}#;o;186*1b03f;;=7>5$52f>75132wx>ll50;0xZ7ge348jn7h>:49~yg?b29086?4;{%1`3?`e3A>;:6F2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi=4h50;196?2|,:i<6kl4H525?M5a:2.:=>49;%3`b?2c?2c3>7>5;h;5>5<5<22;0=w)=l7;dg?!7dn3>o;6a=ac83>>o51:0;66g66;29?l>c2900e5<50;9aba<72?0;6=u+41g9b`=n98;1<7*;0d8254==n0j0;6):?e;:`?>o4jh0;6):?e;1ae>=n;<;1<7*;0d8014=5}#<9o1>>?4o361>5<#<9o1>>84;|q:2?6=:rT2:63id;325>{t0;0;6?uQ839>ba5<5sW82?63id;1ae>{t:hh1<77}:nm03n63;088107=zuk;1<7?50;2x 6`62=1/?n951108 16b2=;?7)?lf;6g3>i6880;6):?e;002>=z{;ki6=4={_0bf>;5ik09mo5rs8494?4|V0<01?om:848yv>52909wS6=;<0bf?>53ty96=4<{<0bf?4>;279mo47d:?2>4663-9m=7;4}|`2e0<72:0969u+3b59bg=O<9<0D>h=;%327?0<,8im69j8;h:1>5<56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63=?6=93:1{t:091<77}Y:hh01kj52248yv5a;3:1>v3id;:a?827138?>6srb083>4<729q/?k?54:&0g2<68;1/8=k54068 4ea2=n<7b??1;29 16b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=1?1v5<50;0xZ=4<5;ki65<4}r094?5|5;ki6?7<;<0bf?>c34;1==?4$2d2>0=zuk;i:7>53;090~"4k>0mn6F;079K7c4<,8;86;5+1bd90a1>i5ik0;66l=ac83>0<52?q/?n95fe9'5f`=7>5;cdg>5<1290;w):?e;df?l7693:1(9>j:032?>o?j3:1(9>j:9`8?l>d290/8=k58b98m6df290/8=k53cc8?l5293:1(9>j:272?>i5;?0;6):?e;002>=zj=:26=4>:183!27m388=6a=4383>!27m388:65rs8494?4|V0<01kj51038yv>52909wS6=;=e7}Y:0901kj53cc8yv4fj3:1>vP=ac9>ba<5;?1v>h<:1818`c21h019>6:361?x{e93:1=7>50z&0b4<33-9h;7??2:&74`<39=1/=nh54e58k466290/8=k52248?xu5ik0;6?uQ2``897ge2;ki7p}66;296~X>>279mo466:p<7<72;qU4?522``9<7=z{;0;6>u22``96<5<5;ki65j4=08244=#;o;196srbba94?5=939pD>h=;%1`3?7c;2c2o7>5;h;g>5<5<4290;w)=l7;631>N38?1C?k<4$52f>1633-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xu>k3:1>vP6c:?757<68<1v4j50;0xZ;;|q0f<<72;qU?o74=531>74b3twioo4?:282>6}O;o80(>m8:0f0?l?d2900e4j50;9l7g?=831i8<<50;194?6|,:i<69>:;I632>N4n;1/8=k54168 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}r;`>5<5sW3h70:>2;331>{t1m0;6?uQ9e9>044=99>0q~=m9;296~X4j0168<<523g8yxddi3:1?7?53zJ0b7=#;j=1=i=4i8a94?=n1m0;66a>d39;0;6>4?:1y'7f1=<9?0D9>9;I1e6>"38l0?<95+1bd90a15$52f>47632e9>h4?:%63a?44>21v4m50;0xZ:;|q:`?6=:rT2h63;138241=z{:h26=4={_1a=>;39;09>h5r}ca:>5<42808wE=i2:&0g2<6l:1b5n4?::k:`?6=3f9i57>5;c626?6=;3:1h=;%63a?27<2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{t1j0;6?uQ9b9>044=99?0q~7k:181[?c34>:>7??4:p7g?=838pR>l6;<626?45m2wvn>:;:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb6:94?5=83:p(>m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi5o4?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a057=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn:k50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f62?29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo:81;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd3i00;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zjj=1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rbb:94?5=83:p(>m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=1098k74b290/8=k52248L16c32wio94?:283>5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xdd83:1?7>50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~ffc=8391<7>t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{el90;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=7>53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qoh8:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg1e29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo:91;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd3>;0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:310?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo?m9;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c3ae?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a5ge=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb0`f>5<4290;w)=l7;007>N38?1C?k<4$52f>7553-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd6jo0;694?:1y'7f1=;;1C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5$52f>75132wi=n>50;194?6|,:i<6?=<;I632>N4n;1/8=k52208 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c3b5?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl>a283>6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`2e1<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zjl<1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rbd594?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zjl21<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rbd;94?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zjlk1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rbd`94?1=83:p(>m8:278L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=;:k242<72->;i7?>1:9j55>=83.?

1098m46>290/8=k51038?j45m3:1(9>j:315?>{e9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632c:<:4?:%63a?76921d>?k50;&74`<5;?10qo:l3;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c6`0?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a0f0=8391<7>t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi8n650;194?6|,:i<6>?4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm1`594?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj8kn6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a5g7=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg7e;3:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl>b583>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e9k?1<7=50;2x 6e02;987E:?6:J0b7=#<9o1>><4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo?n8;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c3b=?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<4:2B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=n99<1<7*;0d8254=5}#;j=1>>:4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76g>0783>!27m3;:=65`23g94?"38l09?;54}c3bg?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<5;:1C8=84H2d1?!27m388>6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi>44?:283>5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb3c94?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj;h1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi>n4?:283>5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb50g>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=9i7>52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`76c<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zj=9;6=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn<5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg75k3:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl>2e83>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e9;o1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb00e>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`274<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zj8996=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn<=<:187>5<7s-9h;7==;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76g>0783>!27m3;:=65`23g94?"38l09?;54}c31f?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632c:<:4?:%63a?76921b==650;&74`<69810e<>6:18'05c=98;07b<=e;29 16b2;9=76sm4c;94?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj=hj6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a0gb=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn9lj:187>5<7s-9h;7==;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76g>0783>!27m3;:=65`23g94?"38l09?;54}c6ab?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632e9>h4?:%63a?44>21vnl>50;194?6|,:i<6?=<;I632>N4n;1/8=k52208 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}cc2>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~fd0=83<1<7>t$2a4>62<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?l77>3:1(9>j:032?>o68>0;6):?e;325>=n9921<7*;0d8254=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76smd583>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{el10;694?:1y'7f1=;;1C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5$52f>75132wih44?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a`d<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wiho4?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a`f<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wihi4?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a``<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xdcn3:187>50z&0g2<4:2B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=n99<1<7*;0d8254=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76smd783>1<729q/?n95339K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54i025>5<#<9o1=j:032?>i5:l0;6):?e;002>=zjk91<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rbc694?3=83:p(>m8:316?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9j550=83.?

1098m460290/8=k51038?j45m3:1(9>j:315?>{ej<0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`a3?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?o68=0;6):?e;325>=n99?1<7*;0d8254=4?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a022=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn99::181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg20>3:1?7>50z&0g2<5;:1C8=84H2d1?!27m388>6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi8:950;694?6|,:i<6><4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07d??6;29 16b28;:76a=2d83>!27m388:65rb55;>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f11>29086=4?{%1`3?44;2B?<;5G3g08 16b2;997)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a560=8391<7>t$2a4>7543A>;:6Fj:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`27=<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zj8926=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn<=n:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb01a>5<4290;w)=l7;007>N38?1C?k<4$52f>7553-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd6;o0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj8>=6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl>4883>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e9=k1<7=50;2x 6e02;987E:?6:J0b7=#<9o1>><4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo?;b;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c37g?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=54;294~"4k>08>6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921b==850;&74`<69810c?4383>1<729q/?n952268L1613A9m>6*;0d8177=#9jl18i94i027>5<#<9o1=;:k243<72->;i7?>1:9l67c=83.?50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76s|2483>7}Y:<16>84=ac9~w7>=838p1?;5979>6f<5:l1v?750;0x97?=:;o01?l51168yv4f2909w07p}=b;296~;5j389i63=c;331>{t>k0;69uQ6c9>034=999017p}87;297~;00389i63>ag8246=:9;n1===4}r5:>5<5s4=26?om;<5;>4623ty5az?4=?>534>j<76=;<65534>>;76=;<61f?>5349mi76=;<171?>534;=4<5>h1>?k4}r5`>5<5s4=h6?om;<5;>4633ty53z?4g??134=26484=6g967c5z\;7>;3j803>63m1;:1?8e221801n?5839>fa5348>65<4=00;>=4<5o814?523549<7=:?j03>63;>132970k;:90895d3=0;16=o85839>3g<68:1v5750;7xZ=?<5o=1===4=0`b>46434;i?7??3:?275<68:1v4650;1x9<>=:hh016}:1009mo521`g9555<588h6<><;|q:e?6=;r725779;<;;><0<50h1>?k4}r;f>5<5s43n6?om;<655?77;2wx5k4?:3y>=`<>>27j;7<=e:pe5<72;q6m=4=2d9>e0<68<1vl?50;0x9d7=:;o01l851168yvg52909w0o=:30f?8g128:=7p}n3;296~;f;389i63n6;333>{ti=0;6?u2a5816`=:i?0:<55rs`794?4|5h?1>?k4=`5955274b34k<6<>:;|qb;d133h70mj:026?8c128:870:l2;333>;3j10:<9524cc9555<5m21==:4=ec9555<5k?1===4}r`1>5<5s4h:6484=c:967c74b34h=6<>:;|qa0?6=:r7i87<=e:?a3?77<2wxn84?:3y>f0<5:l16n:4>049~wg0=838p1o8523g89g>=99>0q~l8:1818d02;8n70l7:026?xufl3:1mv3m9;0bf>;d133o70mj:027?8c?28:870:l3;330>;3j10:<8524c;9555<5m21==;4=e;9555<5k>1==:4}r`b>5<5s4h26484=ca967c74b34hh6<>:;|qba?6=1r7ih7;;46434>h?7??5:?7f=<68?168ol511189ab=99901o:51178yvdb2909w0lk:8489f6=:;o0q~li:1818da2;8n70m?:026?xufn3:14v3l1;0bf>;dj33o70j=:027?8c>28:870:l4;337>;3jm0:<>52db8246=:j=0:<;5rsb094?4|5j;15;52c5816`=z{j91<71==;4}r`3>56?om;46434>io7??3:?g27on7??3:?a0?77?2wxo;4?:3y>g0<>>27h47<=e:pg2<72;q6o:4=2d9>g=<68<1vnj50;1x9fg=1j16oh4=2d9>`5<68<1vnh50;1x9fd=1j16h=4=2d9>`7<68<1vi?50;0x9fe=1j16h?4=2d9~wa5=83?p1n9511189f5=99901oh511189gd=99901i9523g8yvb32909w0j;:30f?8bb28:>7p}k5;296~;c=389i63k6;331>{tl?0;6?u2d7816`=:l>0:<85rse:94?4|5m21>?k4=e7955274b34nm6<>:;|qge?6=:r7om7<=e:?gb?77<2wxho4?:3y>`g<5:l16hk4>079~wae=838p1im523g89a0=99>0q~jk:1818bc2;8n70j9:025?xucm3:1>v3ke;01a>;c?3;;86s|dg83>7}:lo09>h52d48240=z{l:1<75<5s4ij6>l6;4633tyn>7>52z?`f?5e127h87??4:pa6<72;q6on4g=<68=1vh:50;1x9`2=:hh017}:m=02:63jb;01a>{tm?0;6?u2e7816`=:mk0:<95rsd594?4|5l=1>?k4=d`955074b34oi6<>7;|qf=?6=:r7n57<=e:?ff?77?2wxil4?:3y>ad<5:l16io4>089~wc7=83>p1k<5979>b4<5ik16h94>029>f6<68:1vk<50;1x9c4=:hh01ik511689g0=99>0q~h7:1818`621801k9523g8yv7503:18vP>299>57>=:hh019m=:027?82e03;;;6s|13;94?2|588i6?029>51g=99>0q~?=a;296~;6:h09>h52123955252z?26f<5:l16=>?51178yv75l3:1>v3>2e816`=:9:91==:4}r31a?6=:r7:>h4=2d9>564=99>0q~?=f;296~;6:o09>h52120955352z?275<5:l16=?l51178yv7493:1>v3>30816`=:9:91==;4}r306?6=:r7:??4=2d9>565=99<0q~?<3;296~;6;:09>h5213`955252z?26=<>>27:?o4=2d9~w4522909w0?<5;01a>;6;10:<85rs015>5<5s4;8:7<=e:?27<<68=1v<=8:181874?389i63>388240=z{8936=4={<30049~w45>2909w0?<9;01a>;6;k0:<85rs01b>5<5s4;8m7<=e:?27g<68=1v<=k:180[74l27:?i4=ac9>515=99>0q~?45816`=z{89m6=4={<30b?45m27:8l4>049~w4272909w0?;0;01a>;6<;0:<85rs062>5<5s4;?=7<=e:?201<68<1v<:=:181873:389i63>428240=z{8>86=4={<377?45m27:894>059~w4222909w0?;5;01a>;65<5s4;?:7<=e:?207<68?1v<:8:181873?389i63>408240=z{8>36=4={<37049~w42>2909w0?;9;01a>;6<80:<;5rs06b>5<5s4;?m7<=e:?205<68<1v<:m:181873j389i63>438241=z{8>h6=4={<37g?45m27:8<4>059~w40c2909wS?9d:?72c<68=1v<9>:181[70927:;i466:p52b=83?p1<9k:3ca?87e13;;863=9;330>;f93;;?63>378241=z{83m6=4i{<3:b?4fj27?9l4>049>5g>=99>01b58246=::h0:<>5243d9552<5h81===4=557>46434><:7??4:?272<68:16=9;51118942028:?70?;0;330>{t9h:1<7<0<58k?6?a383>7}:9h81>?k4=0c0>4623ty:m>4?:3y>5d5=:;o01;;<3a029>5d5=99>01;f83;;863n3;337>;3?10:<95212c9552<589m6<><;<373?77=27:8o4>059~w4g12909w0?n5;;5?87fl389i6s|1`594?4|58k<6?{t9h31<774b34;jh7??5:p5dg=838p1{t9ho1<774b34;i97??5:p5d`=838p1{t9k81<774b34;jn7??4:p5g5=838p1{t9k<1<7??{<3a2?4fj27:nh4>059>5d7=99>01a88241=::00:<85243f9555<5h:1==;4=`69555<5==86<><;<643?77=27:?84>029>560=99?01<:9:020?873k3;;86s|1c594?4|58h=6484=0a3>74b3ty:n54?:3y>5g>=:;o01;;|q2fd<72;q6=oo523g894dc28:>7p}>bc83>7}:9kh1>?k4=0`e>4633ty:nn4?:3y>5ge=:;o01:;|q2f`<72;q6=ok523g894da28:>7p}>bg83>7}:9kl1>?k4=0a3>4623ty:on4?:3y]5fe<5=k<6<>;;|q2ga<72:qU=nj4=`79552<5==>6<><;|q16<<72;q68=95113891d621n0q~=;3;297~;4<=09>h521c39555<588m6<><;|q000<72;q6?9;52``8962328:>7p}<4783>7}:;=<1>ll4=267>4633ty88:4?:2y>710=1?16?9;5979>71>=:;o0q~=ie;297~;4nl09mo524b09550<5=h36<>7;|q0bc<72:q6?kh52``891e528:>70:m8;33=>{t<9:1<7=t=2de><0<5:ln6484=522>74b3ty?>o4?:3y]07d<5=8i6?om;|q76f<72;q68?l5979>066=:;o0q~:=d;296~;3:m09>h5243d95539i7>52z?76`<5:l168>>51178yv25n3:1>v3;2g816`=:<::1==:4}r600?6=;rT??9527d8246=:9=31===4}r67b?6=:rT?8k529c8246=z{=?<6=4={_663>;3=>09mo5rs57:>5<5s4>>;779;<66e?45m2wx8;>50;0xZ10734>=?7<=e:p037=838p198>:30f?821;3;;86s|47094?4|5=<96?=4774b3ty?;?4?:2y>03`=99?019o8:026?8201389i6s|46194?4|5==86?{t<>?1<774b34><;7??6:p020=838p1999:30f?82003;;96s|46594?4|5==<6?{t<0?1<7{t74b34>j57??3:p0d>=838p19o?:84891g>2;8n7p};b083>6}Y:3ca?8c028:87p};b483>7}:i;7>52z?7f4;3jo0:<95rs5`:>5<5s4>i57<=e:?7f`<68<1v9ln:18182ei389i63;bd8241=z{=hi6=4={<6af?45m27?nh4>079~w1dd2909w0:mc;01a>;3k90:<95rs5`g>5<5s4>ih7<=e:?7g5<68?1v9lj:18182em389i63;bg8240=z{=hm6=4={<6ab?45m27?o=4>049~w1e62909w0:m6;337>;3k109>h5rs5a1>5<5s4>h>7<=e:?7g=<68=1v9m<:18182d;389i63;c68241=z{=i?6=4={<6`0?45m27?o;4>059~w1e22909w0:l5;01a>;3k?0:<85rs5a5>5<5s4>h:7<=e:?7g2<68<1v9m8:18182d?389i63;c98240=z{=i26=4={_6`=>;3880:<>5r}c621?6=03i4j:0;66g7c;29?j5f>3:17b:2900c;l50;9a051=83;1<7>t$2a4>4653A>;:6F0(j:315?>{e;l:1<7;52;4x 6e02oi0D9>9;I1e6>"69:0=7)?lf;6g3>o?j3:17d6k:188m=4=831b5;4?::m1eg<722h9mo4?:783>5}#<9o1jh5f10394?"38l0:=<54i9`94?"38l03n65f8b83>!27m32h76g!27m39im65f34394?"38l089<54o315>5<#<9o1>>84;|`74g<7280;6=u+41g90425<1;29 16b28;:76g7b;29 16b21h07d6l:18'05c=0j10e>ln:18'05c=;kk07d=:1;29 16b2:?:76a=3783>!27m388:65rb52a>5<6290;w):?e;620>i5;?0;6):?e;002>=z{;ki6=4={_0bf>;5ik09?;5rs8494?4|V0<01?om:032?xu?l3:1>vP7d:?1eg<4=81v5l50;0xZ=d<5;ki65l4}r:1>5<5sW2970=83?1>78t$2a4>ce<@=:=7E=i2:&256<13-;hj7:k7:k;f?6=3`2o6=44i9094?=n1?0;66a=ac83>>d5ik0;6;4?:1y'05c=nl1b=d32c8nl4?:%63a?5ei21b?8?50;&74`<4=810c?=9:18'05c=::<07pl;0c83>4<729q/8=k54068k751290/8=k52248?xu5ik0;6?uQ2``897ge2;9=7p}66;296~X>>279mo4>109~w=b=838pR5j4=3ca>6363ty3n7>52z\;f>;5ik03n6s|8383>7}Y0;16>ll58b9~w4652909w0;38k09?;5r}c1g7?6==381:v*N38?1C?k<4$030>3=#9jl18i94i9`94?=n0m0;66g72;29?l?12900c?om:188f7ge290=6=4?{%63a?`b3`;:=7>5$52f>47632c3n7>5$52f>=d<3`2h6=4+41g9=7>5$52f>63632e9?;4?:%63a?44>21vn9>m:182>5<7s->;i7:>4:m173<72->;i7<<6:9~w7ge2909wS;|q;`?6=:rT3h63=ac8014=z{1h1<75348jn76l;|q247<72;q6>ll53cc8916e2;9=7psm1d:94?3=:3m8:ga8L1613A9m>6*>cg87`2=n0k0;66g7d;29?l>52900e4850;9l6dd=831i>ll50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05d=83;1<7>t$52f>1733f88:7>5$52f>75132wx>ll50;0xZ7ge348jn7<<6:p=3<72;qU5;522``9547=6s|8c83>7}Y0k16>ll58c9~w=4=838pR5<4=3ca>=e7>52z?1eg<4jh168=l52248yxd6m00;684=:7y'7f1=nj1C8=84H2d1?!7dn3>o;6g7b;29?l>c2900e5<50;9j=3<722e9mo4?::`1eg<72?0;6=u+41g9b`=n98;1<7*;0d8254==n0j0;6):?e;:`?>o4jh0;6):?e;1ae>=n;<;1<7*;0d8014=5}#<9o18<:4o315>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7513ty2:7>52z\:2>;5ik0:=<5rs9f94?4|V1n01?om:272?xu?j3:1>vP7b:?1eg5<5s48jn7=ma:?74g<5;?1vqo?jd;291?4=>r.8o:4ic:J743=O;o80(e2900e5j50;9j<7<722c2:7>5;n0bf?6=3k8jn7>56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63f?6=93:152z\1eg=::hh1>>84}r;5>5<5sW3=70{t0m0;6?uQ8e9>6dd=;<;0q~6m:181[>e348jn76m;|q;6?6=:rT3>63=ac8;g>{t9981<76df34>;n7<<6:~f4cb290>6?49{%1`3?`d3A>;:6F7>5;h;5>5<5<1290;w):?e;df?l7693:1(9>j:032?>o?j3:1(9>j:9`8?l>d290/8=k58b98m6df290/8=k53cc8?l5293:1(9>j:272?>i5;?0;6):?e;002>=zj=:i6=4>:183!27m3>:86a=3783>!27m388:65rs3ca>5<5sW8jn63=ac8173=z{0<1<7=d0383>7}::hh1?oo4=52a>7513twi=hh50;796?0|,:i<6km4H525?M5a:2.:ok4;d69j5;h:1>5<:18'05c=98;07d6m:18'05c=0k10e5m50;&74`;>:18'05c=;<;07b<<6;29 16b2;9=76sm41`94?7=83:p(9>j:537?j44>3:1(9>j:315?>{t:hh1<77}Y1?16>ll51038yv>c2909wS6k;<0bf?5292wx4o4?:3y]v3=ac80fd=:<9h1>>84}|`2b5<72<096;u+3b59bf=O<9<0D>h=;%3`b?2c?2c3n7>5;h:g>5<>i5ik0;66l=ac83>3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?0c?=9:18'05c=::<07p}=ac83>7}Y:hh01?om:315?xu>>3:1>vP66:?1eg<6981v5j50;0xZ=b<5;ki6>;>;|q;f?6=:rT3n63=ac8;f>{t0;0;6?uQ839>6dd=0j1v<>=:18184fj39im63;0c8173=zuk;m=7>55;092~"4k>0mo6F;079K7c4<,8im69j8;h:a>5<>o>>3:17b50z&74`e32c3o7>5$52f>=e<3`9im7>5$52f>6df32c89<4?:%63a?52921d>>850;&74`<5;?10qo:?b;295?6=8r.?vP=ac9>6dd=::<0q~79:181[?1348jn7?>1:p5<22;0=w)=l7;d`?M27>2B8j?5+1bd90a1>o?:3:17d79:188k7ge2900n?om:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16e290:6=4?{%63a?26<2e9?;4?:%63a?44>21v?om:181[4fj279mo4=379~w<0=838pR484=3ca>4763ty3h7>52z\;`>;5ik089<5rs9`94?4|V1h01?om:9`8yv>52909wS6=;<0bf?>d3ty:6dd=;kk019>m:315?x{e9o91<7;52;4x 6e02oi0D9>9;I1e6>"6ko0?h:5f8c83>>o?l3:17d6=:188m<0=831d>ll50;9a6dd=83<1<7>t$52f>cc=n;kk1<7*;0d80fd=5$52f>75132wi8=l50;394?6|,=:n69?;;n002?6=,=:n6?=9;:p6dd=838pR?om;<0bf?44>2wx5;4?:3y]=3=::hh1=5<5sW2o70{t0k0;6?uQ8c9>6dd=0k1v5<50;0xZ=4<5;ki65m4}r336?6=:r79mo405d=::<0qpl>f583>0<52?q/?n95fb9K050<@:l97)?lf;6g3>o?j3:17d6k:188m=4=831b5;4?::m1eg<722h9mo4?:783>5}#<9o1jh5f10394?"38l0:=<54i9`94?"38l03n65f8b83>!27m32h76g!27m39im65f34394?"38l089<54o315>5<#<9o1>>84;|`74g<7280;6=u+41g90425;c0bf?6=>3:1o6980;6):?e;325>=n0k0;6):?e;:a?>o?k3:1(9>j:9a8?l5ei3:1(9>j:2`b?>o4=80;6):?e;165>=h::<1<7*;0d8173=;n7>51;294~"38l0?=95`22494?"38l09?;54}r0bf?6=:rT9mo522``96607}Y0m16>ll53438yv>e2909wS6m;<0bf?>e3ty3>7>52z\;6>;5ik03o6s|11094?4|5;ki6>ln;<63f?44>2wvn7<1s-9h;7hl;I632>N4n;1/=nh54e58m=d=831b4i4?::k;6?6=3`3=6=44o3ca>5<1;29 16b28;:76g7b;29 16b21h07d6l:18'05c=0j10e>ln:18'05c=;kk07d=:1;29 16b2:?:76a=3783>!27m388:65rb52a>5<6290;w):?e;620>i5;?0;6):?e;002>=z{;ki6=4={_0bf>;5ik09?;5rs8494?4|V0<01?om:032?xu?l3:1>vP7d:?1eg<4=81v5l50;0xZ=d<5;ki65l4}r:1>5<5sW297078t$2a4>ce<@=:=7E=i2:&2gc<3l>1b4o4?::k;`?6=3`296=44i8494?=h:hh1<75m2``94?0=83:p(9>j:gg8m476290/8=k51038?l>e290/8=k58c98m=e=83.?3:1(9>j:315?>{e<9h1<7?50;2x 16b2=;?7b<<6;29 16b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=98;0q~6k:181[>c348jn7=:1:p3}#;j=1jn5G4148L6`53-;hj7:k7:k;f?6=3`2o6=44i9094?=n1?0;66a=ac83>>d5ik0;6;4?:1y'05c=nl1b=d32c8nl4?:%63a?5ei21b?8?50;&74`<4=810c?=9:18'05c=::<07pl;0c83>4<729q/8=k54068k751290/8=k52248?xu5ik0;6?uQ2``897ge2;9=7p}66;296~X>>279mo4>109~w=b=838pR5j4=3ca>6363ty3n7>52z\;f>;5ik03n6s|8383>7}Y0;16>ll58b9~w4652909w0;38k09?;5r}c1`g?6==381:v*N38?1C?k<4$0ae>1b03`2i6=44i9f94?=n0;0;66g66;29?j4fj3:17o;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<39=1d>>850;&74`<5;?10q~ll52248yv?12909wS79;<0bf?7692wx4i4?:3y]5<5sW2i70vP72:?1eg{zj:k=6=4::385!5d?3lh7E:?6:J0b7=#9jl18i94i9`94?=n0m0;66g72;29?l?12900c?om:188f7ge290=6=4?{%63a?`b3`;:=7>5$52f>47632c3n7>5$52f>=d<3`2h6=4+41g9=7>5$52f>63632e9?;4?:%63a?44>21vn9>m:182>5<7s->;i7:>4:m173<72->;i7<<6:9~w7ge2909wS;|q;`?6=:rT3h63=ac8014=z{1h1<75348jn76l;|q247<72;q6>ll53cc8916e2;9=7psmf783>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpli5;297?4=52900e4850;9l6dd=831i>ll50;796?0|,:i<6kj4$0ae>1b03f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<5;81d>9<50;&74`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5292wx>4=50;0xZ7?434lo6>ln;|q1eg<72;qU>ll4=gf966052z?e`?>e34>;57<;2:~f4<7280;6=u+3g390>"4k>0:52900e4850;9l6dd=831i>ll50;796?0|,:i<6kj4$0ae>1b03f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<5;81d>9<50;&74`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5292wx>4=50;0xZ7?434lo6>ln;|q1eg<72;qU>ll4=gf966052z?e`?>e34>;57<;2:~f4<7280;6=u+3g390>"4k>0:52900e4850;9l6dd=831i>ll50;796?0|,:i<6kj4$0ae>1b03f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<5;81d>9<50;&74`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5292wx>4=50;0xZ7?434lo6>ln;|q1eg<72;qU>ll4=gf966052z?e`?>e34>;57<;2:~f4<7280;6=u+3g390>"4k>0:7<3s-9h;7hm;I632>N4n;1/=nh54e58m=4=831b5;4?::m1eg<722h9mo4?:481>3}#;j=1ji5+1bd90a15<>o?:3:17ohk:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16>290:6=4?{%63a?4492e98?4?:%63a?44>21v4850;0xZ<0<5on1=5<5sW2970hk:9a8yv>c2909wS6k;6363ty95>4?:3y]6<5<5on1?oo4}r0bf?6=:rT9mo52fe8173=z{:l86=4={=d<5=:26?:=;|a5?6=93:16*;0d8751=#9jl18i94o022>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7ge3ty2:7>52z\:2>;5ik02:6s|8383>7}Y0;16>ll5839~w7<72:q6>ll5281897ge21n01<4>009'7c7==2wvn988:180>7<3s-9h;7hm;I632>N4n;1/=nh54e58m=4=831b5;4?::m1eg<722h9mo4?:481>3}#;j=1ji5+1bd90a15<>o?:3:17ohk:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16>290:6=4?{%63a?4492e98?4?:%63a?44>21v4850;0xZ<0<5on1=5<5sW2970hk:9a8yv>c2909wS6k;6363ty95>4?:3y]6<5<5on1?oo4}r0bf?6=:rT9mo52fe8173=z{:l86=4={=d<5=:26?:=;|a5?6=93:16*;0d8751=#9jl18i94o022>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7ge3ty2:7>52z\:2>;5ik02:6s|8383>7}Y0;16>ll5839~w7<72:q6>ll5281897ge21n01<4>009'7c7==2wvn7<3s-9h;7hm;I632>N4n;1/=<=56:&2gc<3l>1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?h:5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$52f>cc=n;kk1<7*;0d80fd=5$52f>75132wi8=750;394?6|,=:n6?=>;n076?6=,=:n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80fd=z{;ki6=4={_0bf>;al388:6s|3g194?4|5on14o5241;9614:183!5a93>0(>m8:021?!27m3>:86*>cg87`2=h99;1<7*;0d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.8j<4:;|a54g=8391>7:t$2a4>cd<@=:=7E=i2:&256<13-;hj7:k7:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<9o1jh5f10394?"38l0:=<54i9`94?"38l03n65f8b83>!27m32h76g!27m39im65f34394?"38l089<54o315>5<#<9o1>>84;|`74<<7280;6=u+41g966796=4+41g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39im6s|2``94?4|V;ki70hk:315?xu4n:0;6?u2fe8;f>;380098?5r}c394?7=83:p(>h>:59'7f1=9980(9>j:537?!7dn3>o;6a>0083>!27m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%1e5?36}O;o80(>m8:0f0?l?d2900e4j50;9l7g?=831i8<<50;194?6|,:i<69>:;I632>N4n;1/8=k54168 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}r;`>5<5sW3h70:>2;331>{t1m0;6?uQ9e9>044=99>0q~=m9;296~X4j0168<<523g8yxd4m<0;6>4>:2yK7c4<,:i<65<7E:?6:J0b7=#<9o18=:4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10q~7l:181[?d34>:>7??5:p=a<72;qU5i52400955252z\0f<=:<881>?k4}|`0a1<72:0:6>uG3g08 6e028n87d7l:188mt$2a4>1623A>;:6F0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=7}Y1m168<<51168yv5e13:1>vP044=:;o0qpl>f683>6<62:qC?k<4$2a4>4b43`3h6=44i8f94?=h;k31<75m40094?5=83:p(>m8:526?M27>2B8j?5+41g9052<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?6}O;o80(>m8:0f0?l?d2900e4j50;9l7g?=831i8<<50;194?6|,:i<69>:;I632>N4n;1/8=k54168 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}r;`>5<5sW3h70:>2;331>{t1m0;6?uQ9e9>044=99>0q~=m9;296~X4j0168<<523g8yxd6n<0;6>4>:2yK7c4<,:i<65<7E:?6:J0b7=#<9o18=:4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10q~7l:181[?d34>:>7??5:p=a<72;qU5i52400955252z\0f<=:<881>?k4}|`145<72:0:6>uG3g08 6e028n87d7l:188mt$2a4>1623A>;:6F0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=7}Y1m168<<51168yv5e13:1>vP044=:;o0qpl>fg83>6<62:qC?k<4$2a4>4b43`3h6=44i8f94?=h;k31<75m40094?5=83:p(>m8:526?M27>2B8j?5+41g9052<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?6}O;o80(>m8:0f0?l?d2900e4j50;9l7g?=831i8<<50;194?6|,:i<69>:;I632>N4n;1/8=k54168 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}r;`>5<5sW3h70:>2;331>{t1m0;6?uQ9e9>044=99>0q~=m9;296~X4j0168<<523g8yxd6nm0;6>4>:2yK7c4<,:i<65<7E:?6:J0b7=#<9o18=:4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10q~7l:181[?d34>:>7??5:p=a<72;qU5i52400955252z\0f<=:<881>?k4}|`2bf<72:0:6>uG3g08 6e028n87d7l:188mt$2a4>1623A>;:6F0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=7}Y1m168<<51168yv5e13:1>vP044=:;o0qpl>fc83>6<62:qC?k<4$2a4>4b43`3h6=44i8f94?=h;k31<75m40094?5=83:p(>m8:526?M27>2B8j?5+41g9052<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?6}O;o80(>m8:0f0?l?d2900e4j50;9l7g?=831i8<<50;194?6|,:i<69>:;I632>N4n;1/8=k54168 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}r;`>5<5sW3h70:>2;331>{t1m0;6?uQ9e9>044=99>0q~=m9;296~X4j0168<<523g8yxd6n00;6>4>:2yK7c4<,:i<65<7E:?6:J0b7=#<9o18=:4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10q~7l:181[?d34>:>7??5:p=a<72;qU5i52400955252z\0f<=:<881>?k4}|`2b=<72:0:6>uG3g08 6e028n87d7l:188mt$2a4>1623A>;:6F0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=7}Y1m168<<51168yv5e13:1>vP044=:;o0qplna;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xdfj3:1?7>50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo=nd;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd58:0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`14<<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd58k0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`154<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd59:0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`144<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi?h<50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f6c429086=4?{%1`3?563A>;:6Fj:032?>i5:l0;6):?e;002>=zj:nn6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`0`0<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi?i850;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f6b029086=4?{%1`3?563A>;:6Fj:032?>i5:l0;6):?e;002>=zj:o36=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>kn:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb001>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo?>5;290?6=8r.8o:4<2:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65f11494?"38l0:=<54o30f>5<#<9o1>>84;|`0g`<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd4ko0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj:n:6=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>j=:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb0g0>5<>290;w)=l7;15?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:k243<72->;i7?>1:9j551=83.?

1098m46?290/8=k51038?l7713:1(9>j:032?>o68h0;6):?e;325>=n99h1<7*;0d8254=5}#;j=1?>5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810e<>9:18'05c=98;07d??7;29 16b28;:76a=2d83>!27m388:65rb0g6>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo?j7;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c32g?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a54c=8391<7>t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e98l1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi=?>50;194?6|,:i<6?=<;I632>N4n;1/8=k52208 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c32N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9j550=83.?

1098k74b290/8=k52248?xd6900;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>oi:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg5e83:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e;k81<7:50;2x 6e02:80D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632e9>h4?:%63a?44>21vn;j50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f3c=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn;h50;194?6|,:i<6>?4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm7183>6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`45?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e?;0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e<=>1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb566>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f12129086=4?{%1`3?44;2B?<;5G3g08 16b2;997)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n952218L1613A9m>6*;0d8177=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~w3d=839pR;l4=7`96dd<5?l1==:4}r4`>5<5s474b34:;|q5a?6=:r7=i7<=e:?44?77=2wx:k4?:3y>2c<5:l16;<4>059~w26=838p1:>523g8927=99?0q~9>:1818162;8n709=:026?xu?k3:1=iuQ8b9>7`6=0;16?im5839>7a>=0;16?i=5839>5`>=0;16=h75839>5`b=0;16=hk5839>5``=0;16=k>5839>5c7=0;16=k<5839>5c5=0;16=k:5839>5`g=0;16=hl5839>5`e=0;16=h?5839>7fe=0;16?l85839>b3534>2576=;<4a>=4<5=<<65<4=035>=4<58;j65<4}rc;>5<5sWk370h::848yvg>2902w0=lc;:a?85f>32i70=k3;:a?85b832i70=kc;:a?85c032i70om:30f?85bn3;;963>148241=z{hk1<75<4s4l=6484=g796dd<5hk1===4}rd7>5<5s4l=6?om;4633ty:=94?:5y>543=:;o01198241=z{8;=6=48{<322?4fj27:>>4>059>54e=99901;3<:0:<>5rs034>5<5s4;::779;<32=?45m2wx=<650;0x947?2;8n70?>9;331>{t98k1<79t=03b>7ge34;9>7??3:?25c<68=16=<65114893b=99901:>51168912028:?7p}>1c83>7}:98k15;52132967c52z?25f<5:l16=v3>1e816`=:98l1==;4}r32a?6=:r7:=h4=2d9>576=99>0q~?>f;296~;69o09>h52132955352z?267<5:l16=?=51178yv75<3:1>v3;988:2>;6::09>h5rs0g2>5<5s4;n=7{t9l91<774b34;n;7??4:p5`2=838p13;;86s|1d794?4|58o>6?{t9m>1<7;t=0g;>7ge34;m477l;<037?77=279<<4>029>5`3=9990q~?k8;290~;6m009mo521g:9=a=::991==:4=0g0>4633ty:h84?:5y>5`g=:hh01{t9m<1<7:t=0ga>7ge34;m:77k;<023?77<27:i94>049~w4b02908w0?jc;0bf>;6n>02h63>e58242=z{8n26=4;{<3f`?4fj27:j446d:?140<68=16=h=51178yv7ci3:18v3>ed81eg=:9ok15i522159552<58o86<>9;|q2`g<72=q6=hh52``894`e20n01?>6:027?87b;3;;46s|1ea94?2|58l;6?om;<3eg??c348;n7??4:?2a6<68>1vfe8:`>;58m0:<9521d1955?54z?2b7<5ik16=kk59e9>65`=99>0146334;n?7??a:p5`6=83>p13;330>;6m=0:<95rs321>5<4s4;m577l;<037?45m279<84>049~w7632908w0?ia;;`?847=389i63=068240=z{;:=6=4<{<3ef??d348;;7<=e:?14<<68<1v?>7:18087ak33h70;58k0:<85rs32b>5<4s4;mh77l;<03f?45m279049~w76d2908w0?ie;;`?847l389i63=0g8240=z{;:n6=4<{<3eb??d348;j7<=e:?154<68<1v???:180847833h70<>1;01a>;59:0:<85rs331>5<4s4;m977l;<027?45m279=84>049~w7732908w0?i6;;`?846=389i63=168240=z{;;=6=4={<3e3??d348:;7<=e:p64>=838p17}:9lk15;521g797g?52z?2ag<>>27:j;46d>3ty9=i4?:3y>5`b=1?16=k753c;8yv46m3:1>v3>ed8:2>;6nh08n45rs33e>5<5s4;nj779;<3ef?5e12wx>?>50;0x94`720<01{t:;>1<7<0<5;:;6>l6;|q16<<728?p19>8:022?85b832o70=kc;:g?85c032o70=k3;:g?87b032o70?j9;:g?87bl32o70?je;:g?87bn32o70?i0;:g?87a932o70?i2;:g?87a;32o70?i4;:g?87bi32o70?jb;:g?87bk32o70?j1;:g?85dk32o70=n6;:g?xu4i?0;6?uQ3`4896g12;ki7p}7}:;h<15;523`f967c52z?0ea<68:16?o<523g8yv5fn3:1>v37g4=99>0q~=m1;296~;4j809>h523c0955053z?0gf<5ik16?hh51168947228:>7p}7}:;ji15;523e0967c52z?0g`<5:l16?i<51168yv5dn3:1>v37a7=99?0q~=k1;296~;4l809>h523e0955355z?0`6<5ik16?h:59b9>7a0=99901>k7:026?85dm3;;86s|3e694?4|5:n86484=2f4>74b3ty8h84?:3y>7a3=:;o01>j8:027?xu4l?0;6?u23e4967c<5:n<6<>:;|q0g<<72k7:027?85dn3;;?63jn:30f?85cj3;;96s|3bc94?3|5:nh6?om;<1f1??c349nm7??4:?0`5<68:16?lh51118yv5cl3:1>v3;4lo09>h5rs2ff>5<5s49oi7<=e:?0`c<68<1v>mm:186[5e;278i=4=ac9>7`0=1m16?nk5117896d628:87p}7}:;l:15;523d1967c7>52z?0a7<5:l16?h=51178yv5b?3:1?v3;4m109>h523dc955352z?0a3<>k278il4=2d9~w6ce2909w0=j4;1a=>;4lk0:<95rs2g`>5<5s49n97=m9:?0`c<68=1v>kk:18185b>39i5633z?2a=;4l<0:<>5rs51e>5<2sW>8j63;3g81eg=:>l0:<>524509553<5=>=6<>;;|q704<72;q68>h5979>01>=:;o0q~:;2;296~;3<;09>h5245:9552??7>52z?706<5:l1689;51168yv23<3:1>v3;45816`=:<=?1==;4}r671?6=:r7?884=2d9>010=99?0q~:;6;296~;3h524559553?;7>52z?702<5:l1689651178yv21=3:1>vP;649>031=1?1v988:180821?38jn63>198240=:<=>1===4}r6:=?6=:rT?545248;96dd>4?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a064=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn9?i:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg2583:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl;2083>6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`75d<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi84650;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f14029096=4?{%1`3?7682B?<;5G3g08 16b2>l0D<;:;%3`5?7692.:ok4;d69j555=83.?

1098k74b290/8=k52248?xd3i;0;6?4?:1y'7f1=98:0D9>9;I1e6>"38l0549'5f7=98;0(j:032?>i5:l0;6):?e;002>=zj=9h6=4=:183!5d?3;:<6F;079K7c4<,=:n6:h4H076?!7d93;:=6*>cg87`2=n9991<7*;0d8254=52;294~"4k>0:==5G4148L6`53->;i79i;I361>"6k80:=<5+1bd90a15$52f>75132wijl4?:383>5}#;j=1=<>4H525?M5a:2.?1b03`;;?7>5$52f>47632e9>h4?:%63a?44>21vn9;<:181>5<7s-9h;7?>0:J743=O;o80(9>j:6d8L4323-;h=7?>1:&2gc<3l>1b===50;&74`<69810c?7<729q/?n951028L1613A9m>6*;0d84b>N6=<1/=n?51038 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:65rb5ae>5<5290;w)=l7;324>N38?1C?k<4$52f>2`<@8?>7)?l1;325>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84;|`;e?6=:3:1h=;%63a?1a3A;>96*>c08254=#9jl18i94i020>5<#<9o1=;:m16`<72->;i7<<6:9~f10f29096=4?{%1`3?7682B?<;5G3g08 16b2>l0D<;:;%3`5?7692.:ok4;d69j555=83.?

1098k74b290/8=k52248?xd3l;0;6?4?:1y'7f1=98:0D9>9;I1e6>"38l0549'5f7=98;0(j:032?>i5:l0;6):?e;002>=zj?81<7<50;2x 6e028;;7E:?6:J0b7=#<9o1;k5G1478 4e628;:7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=cg87`2=n9991<7*;0d8254=52;294~"4k>0:==5G4148L6`53->;i79i;I361>"6k80:=<5+1bd90a15$52f>75132wi9k4?:383>5}#;j=1=<>4H525?M5a:2.?1b03`;;?7>5$52f>47632e9>h4?:%63a?44>21vn8j50;094?6|,:i<6N4n;1/8=k57g9K503<,8i:6;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo;l:181>5<7s-9h;7?>0:J743=O;o80(9>j:6d8L4323-;h=7?>1:&2gc<3l>1b===50;&74`<69810c?119K050<@:l97):?e;5e?M72=2.:o<4>109'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm5`83>7<729q/?n951028L1613A9m>6*;0d84b>N6=<1/=n?51038 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:65rb4;94?4=83:p(>m8:033?M27>2B8j?5+41g93c=O9:032?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;54}c7;>5<5290;w)=l7;324>N38?1C?k<4$52f>2`<@8?>7)?l1;325>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84;|`63?6=:3:1h=;%63a?1a3A;>96*>c08254=#9jl18i94i020>5<#<9o1=;:m16`<72->;i7<<6:9~f03=8381<7>t$2a4>4773A>;:6F4763-;hj7:k7:k246<72->;i7?>1:9l67c=83.?l0D<;:;%3`5?7692.:ok4;d69j555=83.?

1098k74b290/8=k52248?xd1i3:1>7>50z&0g2<6991C8=84H2d1?!27m3=m7E?:5:&2g4<6981/=nh54e58m464290/8=k51038?j45m3:1(9>j:315?>{e>00;6?4?:1y'7f1=98:0D9>9;I1e6>"38l0549'5f7=98;0(j:032?>i5:l0;6):?e;002>=zj?21<7<50;2x 6e028;;7E:?6:J0b7=#<9o1;k5G1478 4e628;:7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=cg87`2=n9991<7*;0d8254=52;294~"4k>0:==5G4148L6`53->;i79i;I361>"6k80:=<5+1bd90a15$52f>75132wi:84?:383>5}#;j=1=<>4H525?M5a:2.?1b03`;;?7>5$52f>47632e9>h4?:%63a?44>21vn;:50;094?6|,:i<6N4n;1/8=k57g9K503<,8i:6;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo8<:181>5<7s-9h;7?>0:J743=O;o80(9>j:6d8L4323-;h=7?>1:&2gc<3l>1b===50;&74`<69810c?119K050<@:l97):?e;5e?M72=2.:o<4>109'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm48494?2=:3>p(>m8:077?M27>2B8j?5+1bd90a1109'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm22294?5=939p(>m8:313?M72=2.:o<4=379'5f`=<:188md>=831d>?k50;9a05g=8391<7>t$52f>6e33`;;?7>5$52f>47632c8o>4?:%63a??532e9>h4?:%63a?44>2B8o854}r337?6=:rT:<>5241c9555{z{;8n6=4={_01a>;69909>h5rs004>5<4sW;9;63>118246=::::1>?k4}r337?6=:rT:<>5222295557<729q/?n9523d8L1613A9m>6*;0d84b>N6=<1/=n?52248 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:65rb572>5<5290;w)=l7;01b>N38?1C?k<4$52f>2`<@8?>7)?l1;002>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84;|`7ga<72;0;6=u+3b5967`<@=:=7E=i2:&74`<0n2B:985+1b39660<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:9~f1?a29096=4?{%1`3?45n2B?<;5G3g08 16b2>l0D<;:;%3`5?44>2.:ok4;d69j555=83.?

1098k74b290/8=k52248?xd?03:1>7>50z&0g2<5:o1C8=84H2d1?!27m3=m7E?:5:&2g4<5;?1/=nh54e58m464290/8=k51038?j45m3:1(9>j:315?>{e<0>1<7<50;2x 6e02;8m7E:?6:J0b7=#<9o1;k5G1478 4e62;9=7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=2<7>52;294~"4k>09>k5G4148L6`53->;i79i;I361>"6k809?;5+1bd90a15$52f>75132wi89m50;094?6|,:i<6?N4n;1/8=k57g9K503<,8i:6?=9;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo:;0;296?6=8r.8o:4=2g9K050<@:l97):?e;5e?M72=2.:o<4=379'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm43;94?5=939p(>m8:313?M27>2B8j?5G1478 4e62;9=7)?lf;6g3>o68:0;66gn8;29?j45m3:17o:?a;297?6=8r.?1098m6e4290/8=k59398k74b290/8=k52248L6e232wx===50;0xZ46434>;m7??3:pe=<72;qUm55241c97f552z\16`=:<9k1>?k4}|`776<72;0;6=u+3b5967`<@=:=7E=i2:&74`<0n2B:985+1b39660<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:9~f1?529096=4?{%1`3?45n2B?<;5G3g08 16b2>l0D<;:;%3`5?44>2.:ok4;d69j555=83.?

1098k74b290/8=k52248?xd3i=0;6>4>:2y'7f1=:::0D9>9;I1e6>N6=<1/=n?52248 4ea2=n<7d??3;29?lg?2900c?4?:%63a?76921b?n=50;&74`<>:21d>?k50;&74`<5;?1C?n;4;|q246<72;qU===4=52b>4643tyj47>52z\b<>;38h08o>5rs30f>5<5sW89i63;0`816`=zuk>387>52;294~"4k>09>k5G4148L6`53->;i79i;I361>"6k809?;5+1bd90a15$52f>75132wi8N4n;1/8=k57g9K503<,8i:6?=9;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo:=4;296?6=8r.8o:4=2g9K050<@:l97):?e;5e?M72=2.:o<4=379'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm48a94?4=83:p(>m8:30e?M27>2B8j?5+41g93c=O9:315?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;54}c66h=;%63a?1a3A;>96*>c08173=#9jl18i94i020>5<#<9o1=7573A>;:6F4?::kb5;c63e?6=;3:176s|11194?4|V8:870:?a;337>{ti10;6?uQa99>05g=;j90q~<=e;296~X5:l168=o523g8yxd3j:0;6>4>:2y'7f1=:::0D9>9;I1e6>N6=<1/=n?52248 4ea2=n<7d??3;29?lg?2900c?4?:%63a?76921b?n=50;&74`<>:21d>?k50;&74`<5;?1C?n;4;|q246<72;qU===4=52b>4643tyj47>52z\b<>;38h08o>5rs30f>5<5sW89i63;0`816`=zuk>>j7>52;294~"4k>09>k5G4148L6`53->;i79i;I361>"6k809?;5+1bd90a15$52f>75132wi8;:50;094?6|,:i<6?N4n;1/8=k57g9K503<,8i:6?=9;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo:<6;296?6=8r.8o:4=2g9K050<@:l97):?e;5e?M72=2.:o<4=379'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm31;94?4=83:p(>m8:30e?M27>2B8j?5+41g93c=O9:315?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;54}c13h=;%63a?1a3A;>96*>c08173=#9jl18i94i020>5<#<9o1=t$2a4>74a3A>;:6F7513-;hj7:k7:k246<72->;i7?>1:9l67c=83.?7>50z&0g2<5:o1C8=84H2d1?!27m3=m7E?:5:&2g4<5;?1/=nh54e58m464290/8=k51038?j45m3:1(9>j:315?>{e;;o1<7<50;2x 6e02;8m7E:?6:J0b7=#<9o1;k5G1478 4e62;9=7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=52;294~"4k>09>k5G4148L6`53->;i79i;I361>"6k809?;5+1bd90a15$52f>75132wi??<50;094?6|,:i<6?N4n;1/8=k57g9K503<,8i:6?=9;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo=>c;296?6=8r.8o:4=2g9K050<@:l97):?e;5e?M72=2.:o<4=379'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm30494?4=83:p(>m8:30e?M27>2B8j?5+41g93c=O9:315?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;54}c124?6=:3:1h=;%63a?1a3A;>96*>c08173=#9jl18i94i020>5<#<9o1=t$2a4>74a3A>;:6F7513-;hj7:k7:k246<72->;i7?>1:9l67c=83.?7>50z&0g2<5:o1C8=84H2d1?!27m3=m7E?:5:&2g4<5;?1/=nh54e58m464290/8=k51038?j45m3:1(9>j:315?>{e;j0;6<4?:1y'05c=:1l0D>h=;I326>"6k80:=<5+1e3962c51;294~"38l094k5G3g08L4753-;h=7?>1:&2`4<50?1b>5h50;&74`<50o10qo=j:182>5<7s->;i7<7f:J0b7=O9880(:032?!7c938?86g=8g83>!27m383j65rb2d94?7=83:p(9>j:3:e?M5a:2B:=?5+1b39547<,8n:6?::;h0;b?6=,=:n6?6i;:a05<7280;6=u+41g96=`<@:l97E?>2:&2g4<6981/=i?52548m7>a290/8=k529d8?xd393:1=7>50z&74`<50o1C?k<4H031?!7d93;:=6*>d08102=n:1l1<7*;0d8196=4>:183!27m383j6F;%3g5?4302c94k4?:%63a?4?n21vn9=50;394?6|,=:n6?6i;I1e6>N69;1/=n?51038 4b62;>27d<7f;29 16b2;2m76sm4583>4<729q/8=k529d8L6`53A;:>6*>c08254=#9m;1>9o4i3:e>5<#<9o1>5h4;|`71?6=93:1o50o0;6):?e;0;b>=zj==1<7?50;2x 16b2;2m7E=i2:J257=#9j;1=72d3`83j7>5$52f>7>a32wi854?:083>5}#<9o1>5h4H2d1?M76:2.:o<4>109'5a7=:=n0e?6i:18'05c=:1l07pl;9;295?6=8r.?"6l8098k5f29d94?"38l094k54}c6a>5<6290;w):?e;0;b>N4n;1C=<<4$0a2>4763-;o=7<:2:k1;i7<7f:9~f1e=83;1<7>t$52f>7>a3A9m>6F>139'5f7=98;0(:3:`?l4?n3:1(9>j:3:e?>{eh=;I326>"6k80:=<5+1e396=b51;294~"38l094k5G3g08L4753-;h=7?>1:&2`4<50l1b>5h50;&74`<50o10qo:i:182>5<7s->;i7<7f:J0b7=O9880(:032?!7c938?i6g=8g83>!27m383j65rb4294?7=83:p(9>j:3:e?M5a:2B:=?5+1b39547<,8n:6?;8;h0;b?6=,=:n6?6i;:a14<7280;6=u+41g96=`<@:l97E?>2:&2g4<6981/=i?524d8m7>a290/8=k529d8?xd2:3:1=7>50z&74`<50o1C?k<4H031?!7d93;:=6*>d0812a=n:1l1<7*;0d81:183!27m383j6F;%3g5?40=2c94k4?:%63a?4?n21vn5=50;394?6|,=:n6?6i;I1e6>N69;1/=n?51038 4b62;?;7d<7f;29 16b2;2m76sm8483>4<729q/8=k529d8L6`53A;:>6*>c08173=#9m;1>;m4o3:e>5<#<9o1>5h4;|`;3?6=93:12.:h<4=6c9l6=`=83.?>290:6=4?{%63a?4?n2B8j?5G1008 4e628;:7)?k1;065>o50o0;6):?e;0;b>=zjh21<7?50;2x 16b2;2m7E=i2:J257=#9j;1=7343`83j7>5$52f>7>a32wij44?:083>5}#<9o1>5h4H2d1?M76:2.:o<4>109'5a7=:<>0e?6i:18'05c=:1l07pl=ab83>4<729q/8=k529d8L6`53A;:>6*>c08173=#9m;1>;94o3:e>5<#<9o1>5h4;|`1ea<7280;6=u+41g96=`<@:l97E?>2:&2g4<5;?1/=i?527;8k7>a290/8=k529d8?xd5io0;6<4?:1y'05c=:1l0D>h=;I326>"6k809?;5+1e396265}#<9o1>5h4H2d1?M76:2.:o<4=379'5a7=:??0c?6i:18'05c=:1l07pl=b083>4<729q/8=k529d8L6`53A;:>6*>c08173=#9m;1>;=4o3:e>5<#<9o1>5h4;|`1f7<7280;6=u+41g96=`<@:l97E?>2:&2g4<5;?1/=i?52738k7>a290/8=k529d8?xd5j:0;6<4?:1y'05c=:1l0D>h=;I326>"6k809?;5+1e396365}#<9o1>5h4H2d1?M76:2.:o<4=379'5a7=:?80c?6i:18'05c=:1l07pl=b483>4<729q/8=k529d8L6`53A;:>6*>c08173=#9m;1>;:4o3:e>5<#<9o1>5h4;|`1f3<7280;6=u+41g96=`<@:l97E?>2:&2g4<5;?1/=i?52748k7>a290/8=k529d8?xd5j>0;6<4?:1y'05c=:1l0D>h=;I326>"6k809?;5+1e3963>5}#<9o1>5h4H2d1?M76:2.:o<4=379'5a7=:?k0c?6i:18'05c=:1l07pl;1b83>4<729q/8=k529d8L6`53A;:>6*>c08173=#9m;1>5<4o3:e>5<#<9o1>5h4;|`767<7280;6=u+41g96=`<@:l97E?>2:&2g4<5;?1/=i?52938k7>a290/8=k529d8?xd3:?0;6<4?:1y'05c=:1l0D>h=;I326>"6k80:=<5+1e3960?54?:083>5}#<9o1>5h4H2d1?M76:2.:o<4=379'5a7=:1:0c?6i:18'05c=:1l0D>m:;:a067=83;1<7>t$52f>7>a3A9m>6F>139'5f7=::<0(:3:7?j4?n3:1(9>j:3:e?>{e<:?1<7?50;2x 16b2;2m7E=i2:J257=#9j;1>>84$0f2>7113f83j7>5$52f>7>a32wi8>l50;394?6|,=:n6?6i;I1e6>N69;1/=n?51038 4b62;=j7d<7f;29 16b2;2m76sm42d94?7=83:p(9>j:3:e?M5a:2B:=?5+1b39660<,8n:6?98;n0;b?6=,=:n6?6i;:a01?=83;1<7>t$52f>7>a3A9m>6F>139'5f7=::<0(:37g?j4?n3:1(9>j:3:e?>{e<<:1<7?50;2x 16b2;2m7E=i2:J257=#9j;1>>84$0f2>7323f83j7>5$52f>7>a32wi88<50;394?6|,=:n6?6i;I1e6>N69;1/=n?51038 4b62;=h7d<7f;29 16b2;2m76sm44594?7=83:p(9>j:3:e?M5a:2B:=?5+1b39660<,8n:6?6<;n0;b?6=,=:n6?6i;:a00d=83;1<7>t$52f>7>a3A9m>6F>139'5f7=::<0(:3:4?j4?n3:1(9>j:3:e?>{e>84$0f2>7>23f83j7>5$52f>7>a32wi8;;50;394?6|,=:n6?6i;I1e6>N69;1/=n?51038 4b62;227d<7f;29 16b2;2m76sm47;94?7=83:p(9>j:3:e?M5a:2B:=?5+1b39547<,8n:6?;7;h0;b?6=,=:n6?6i;:a03d=83;1<7>t$52f>7>a3A9m>6F>139'5f7=::<0(:35g?j4?n3:1(9>j:3:e?M5d=21vn96>:182>5<7s->;i7<7f:J0b7=O9880(:315?!7c938>i6a=8g83>!27m383j65rb5:e>5<6290;w):?e;0;b>N4n;1C=<<4$0a2>7513-;o=7<82:m1;i7<7f:9~f1?6290:6=4?{%63a?4?n2B8j?5G1008 4e62;9=7)?k1;047>i50o0;6):?e;0;b>=zj=386=4>:183!27m383j6F5<7s->;i7<7f:J0b7=O9880(:3:;?.4?n3:1(9>j:3:e?>{e<0k1<7?50;2x 16b2;2m7E=i2:J257=#9j;1>>84$0f2>73d3f83j7>5$52f>7>a32wi84j50;394?6|,=:n6?6i;I1e6>N69;1/=n?52248 4b62;?i7b<7f;29 16b2;2m76sm4`394?7=83:p(9>j:3:e?M5a:2B:=?5+1b39547<,8n:6?;n;h0;b?6=,=:n6?6i;:a0d5=83;1<7>t$52f>7>a3A9m>6F>139'5f7=::<0(:35e?j4?n3:1(9>j:3:e?M5d=21vn9l=:182>5<7s->;i7<7f:J0b7=O9880(:315?!7c938<56a=8g83>!27m383j6F50z&74`<50o1C?k<4H031?!7d9388:6*>d081hi7>51;294~"38l094k5G3g08L4753-;h=7?>1:&2`4<5?k1b>5h50;&74`<50o10qo:k1;295?6=8r.?"6l8099;5f29d94?"38l094k54}c60`?6=93:12.:o<4>109'5a7=:>20e?6i:18'05c=:1l07pl;3d83>7<729q/8=k57g9'7f1=98:0(:032?!5a839h:6*<4380g3=O;o80D<;:;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo=l0;295?6=8r.?"6k80:=<5+1e396225}#<9o1;k5+3b59546<,8i:6;%1e4?5d>2.88?47)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=51;294~"38l094k5G3g08L4753-9m<7=l6:&2g4<6981/=i?527g8m7>a290/8=k529d8?xd4jl0;6?4?:1y'05c=?o1/?n951028 4e628;:7)=i0;1`2>"4<;08o;5G3g08L4323-;hj7:k7:k246<72->;i7?>1:9l67c=83.?50z&74`<50o1C?k<4H031?!5a839h:6*>c08254=#9m;1>;h4i3:e>5<#<9o1>5h4;|`0ff<72;0;6=u+41g93c=#;j=1=<>4$0a2>4763-9m<7=l6:&007<4k?1C?k<4H076?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;54}r05>5<5s4>:47<:;<62b?77;2wx>:4?:3y>04d=:<168?>51118yv4c2909w0:>b;0g?825;3;;?6s|3b83>6}Y;j16994>029>7f<50o1v>j50;1xZ6b<5<;<61>7>a3ty??7>53z\77>;2j3;;?63;3;0;b>{t<=0;6>uQ459>1f<68:16894=8g9~w13=839pR9;4=4f9555<5=?1>5h4}r65>5<4sW>=70;j:020?8212;2m7p};7;297~X3?27>j7??3:?73?4?n2wx854?:2y]0==:>90:<>52498146434>i6?6i;|q7g?6=;rT?o6393;337>;3k383j6s|4e83>6}Y029>0a<50o1v9k50;1xZ1c<5??1===4=5g96=`m6=4<{_6e?80128:870:i:3:e?xu283:1?vP:0:?53?77;27><7<7f:p14<72:qU9<52698246=:=8094k5rs4094?5|V<801;751118904=:1l0q~;<:180[3434<;<70>7>a3ty>87>53z?753o87m4=46967c6=4<{<622?b<5=n?6i5254816`=z{<<1<7=t=535>`=:1i63:6;01a>{t=>0;6>u24049b>;3l=0m70;8:30f?xu203:1?v3;17824>;3l=0:<63:8;01a>{t=00;6>u2404954=:1=<5258816`=z{44<5=n?6<<4=4c967co87?<;<7a>74b3ty>o7>53z?753<6<27?h94>4:?6g?45m2wx9i4?:2y>040=9<168i:5149>1a<5:l1v8k50;0x91b328<018k523g8yv3a2908w0:>6;34?82c<3;<70;i:30f?xu183:1?v3;1782=>;3l=0:56390;01a>{t>80;6?u240495d=:>809>h5rs7094?4|5=;=6e:?50?45m2wx:84?:3y>0a2=9o16:84=2d9~w30=838p19j;:328930=:;o0q~88:18182c<38:7088:30f?xu103:1>v3;d5816>;10389i6s|6883>6}:<8<1>>524e6966=:>009>h5rs7c94?5|5=;=6?:4=5f7>72<5?k1>?k4}r4a>5<0s4>:478m;<621?0e34>8>7??3:?76<4n8:p36<72=q68<85729>0a2=?:168041=?:1v5=50;1xZ=5<51>1===4=9196=`4342?6?;?=383j6s|8683>6}Y0>16454=2d9><2<50o1v5650;6x916?2;>970:>7;1;2>;?>3;;?6378;337>{t000;6>uQ889><;7>a3tym<7>53z?75=52f881=e<5=n?65m4=53a>=e<5=;<6k74=536>=e<5ok1>?k4}r33g?6=:r7?=;4>0b9>041=99i0q~?=8;296~;39k0:>55240:957>52z?75g<6;m168<6512f8yv73n3:1>v3;1c820c=:<8=1?5?4}r362?6=:r7?=;4>579>04d=9<<0q~?93;296~;39k0::>5240:953b52z?753<6>l168v3;1c8234=:<821=:?4}r34a?6=:r7?=o4>7d9>04g=9990q~?l2;296~;39k0:o?5240:95fe52z?742<6881684851118yv45i3:1?v3;97816`=:<8<184;4=53;>1?23ty9mn4?:2y]6de<5::96?lj50;1xZ7gc349;47<=e:?1ea<50o1v?oi:180[4fn278<44=2d9>6d`=:1l0q~6}Y:k;01>??:30f?84e9383j6s|2c094?5|V;h970=>6;01a>;5j;094k5rs3`0>5<4sW8i?63<1b816`=::k91>5h4}r0a0?6=;rT9n952330967c<5;h?6?6i;|q1f0<72:qU>o;4=20;>74b348i97<7f:p6g0=839pR?l9;<11a?45m279n;4=8g9~w7d02908wSo9529d8yv4e03:1?vP=b99>76e=:;o01?l7:3:e?xu5jh0;6?u240496gg<5=n?6?ln;|q1a=<72;q68i:52d:891702:=h7p}<0383>7}:1>lm4=221>4643ty8<54?:3y>0a2=:hn01>>7:020?xu4800;6?u24e696d`<5::26<><;|q04d<72;q68i:52c28966f28:87p}<1183>7}:1>o?4=233>4643ty8=;4?:3y>0a2=:k801>?9:020?xu49j0;6?u24e696g5<5:;h6<><;|q067<72;q68i:52c68964528:87p}<2983>7}:1>o;4=20;>4643ty8>h4?:3y>0a2=:k<01><;|q07f<72;q68i:52c:8965d28:87p}<4883>7}:<8<1?974=5f7>62>3ty8m;4?:3y>0a2=;h=019?::2c5?xu4j:0;6?u24e697g2<5=;>6>l<;|q75<<72;q68<651bf8917f2;8n7p};1b83>6}Y<8i019?k:30f?826k383j6s|40f94?4|5=8:6?{t<8l1<774b34>9=7??5:p074=839pR9<=;<610?45m27?>?4=8g9~w1432909w0:=3;01a>;3:=0:<>5rs505>5<4sW>9:63;268246=:<;<1>5h4}r613?6=04d=<;?019?8:506?825?389i6s|43:94?5|V=8370:=9;01a>;3:1094k5rs50b>5<5s4>:47:=b:?76<<68:1v9=>:180[24927??>4=2d9>067=:1l0q~:<3;296~;3;;09>h524219555897>53z\770=:<:<1>?k4=516>7>a3ty??;4?:3y>04d=<:?019=9:020?xu3;k0;6>uQ42`8915d28:870:{t<:i1<715334>8o7<=e:p06`=839pR9=i;<674?45m27??k4=8g9~w1272909w0:>5;60b>;3<90:<>5rs56:>5<4sW>?563;4b816`=:<=31>5h4}r67g?6=:r7?h94;489>01e=9990q~::0;297~X3=91688?523g891372;2m7p};5083>7}:<8=189h4=572>4643ty?9?4?:2y]004<5=?86<><;<666?4?n2wx88=50;0x917?2=>m70::3;01a>{t<<=1<7=t^574?8220389i63;5681029~w13e2908wS::b:?71c<5:l1688l529d8yv22n3:1>v3;1c871g=:<6}Y698:;<652?45m2wx8;750;1xZ10>34>=m7??3:?72<<50o1v98n:18082c<3>=463;1c872==:?k4}r65f?6=;rT?:o5247a967c<5=6}Y<1;0196;:30f?82?9383j6s|49694?4|5=n?696>;<6;0?77;2wx85h50;1xZ1>a34>2<7<=e:?7;31;09>h5248396=`2>7>52z?7`1<3ih1684<51118yv2>;3:1?vP;929>0<2=:;o0197<:3:e?xu31=0;6?u24e690<5<5=3?6<><;|q7=0<72:qU84;4=5;5>44034>297<7f:p0<1=838p1977:30f?82>>3k37p};9883>7}:<8?18474=5;;>4643ty?5l4?:2y]0{t<0n1<7=t^5;g?82>n389i63;9e81l27?5k4>029~w1g62908wS:n1:?7e7<68:168l?529d8yv2f:3:1?v3;d587e5=:<8h18l>4=5c1>74b3ty?m>4?:2y]0d5<5=k?6?{t4>029~w1ed2908wS:lc:?7ga<5:l168nm529d8yv2dl3:1>v3;1687g<=:6}Y0a4=:;o0q~:j529d8915b28:87p}6}Y;j:01>m?:3:e?85d93;;?6s|3cf94?5|V:ho70=md;0;b>;4jl0:<>5rs2`a>5<4sW9in63{t;ko1<7?t=2`f>74b3ty8nn4?:0y>7ge=:;o0qp`>81f94?2|@:l97p`>81g94?2|@:l97p`>81d94?5|@:l97p`>80294?7|@:l97D<7f;3x5?{]no0:w:|m5=76290:wE=i2:K17>51zJ0b7=N:1l1=v?5}[de>4}6l80vqc?71283>4}O;o80E?6i:0y2>x\an3;p=i?5}|l2<42=83;pD>h=;H0;b?7|93wQjk4>{0f2>x{i91;>6=4>{I1e6>O50o0:w<4rZgd95~7c93wvb<6>6;295~N4n;1B>5h51z39y_`a28q:h<4r}o3;52<728qC?k<4I3:e>4}62tPmj7?t1e39yxh60821<7?tH2d1?L4?n3;p=7sUfg824b62twe=5?6:182M5a:2C94k4>{08~^c`=9r;o=7srn0:2e?6=9rB8j?5F29d95~7=uSlm6d08~yk7?9k0;6a28q:6pTif;3x5a7=utd:45<6sA9m>6G=8g8246m3:1=vF:|Xeb?7|9m;1qp`>83294?7|@:l97D<7f;3x5?{]no0:w:|m5=46290:wE=i2:K17>51zJ0b7=N:1l1=v?5}[de>4}6l80vqc?72283>4}O;o80E?6i:0y2>x\an3;p=i?5}|l2<72=83;pD>h=;H0;b?7|93wQjk4>{0f2>x{i918>6=4>{I1e6>O50o0:w<4rZgd95~7c93wvb<6=6;295~N4n;1B>5h51z39y_`a28q:h<4r}o3;62<72:qC?k<4}o3;6=<72:qC?k<4}o3;6<<72:qC?k<4}o3;6d<72:qC?k<4}o3;6g<72:qC?k<4}o3;6f<72:qC?k<4}o3;6a<72:qC?k<4}o3;6`<72:qC?k<4}o3;6c<72:qC?k<4}o3;75<72=qC?k<4}o3;74<72;qC?k<4}o3;77<72:qC?k<4}o3;76<72=qC?k<4}o3;71<72;qC?k<4}o3;70<72;qC?k<4}o3;73<72;qC?k<4}o3;72<72;qC?k<4}o3;7=<72;qC?k<4}o3;7<<72;qC?k<4}o3;7d<72;qC?k<4}o3;7g<72;qC?k<4}o3;7f<72:qC?k<4}o3;7a<72:qC?k<4}o3;7`<720qC?k<4}o3;7c<72hqC?k<4}o3;05<728qC?k<4I3:e>4}62tPmj7?t1e39yxh60=;1<7<8{I1e6>{i91>96=4>{I1e6>O50o0:w?4rZgd95~7c93wvb<6;3;295~N4n;1B>5h51z09y_`a28q:h<4r}o3;01<728qC?k<4}o3;00<728qC?k<4I3:e>4}62tPmj7?t1e39yxh60=<1<7{08~^c`=9r;o=7srn0:7e?6==lqC?k<4}o3;0g<72:qC?k<4}o3;0f<72>qC?k<4}o3;0a<72qC?k<4}o3;16<72:qC?k<4}o3;11<72=qC?k<4}o3;10<72:qC?k<4}o3;13<72=qC?k<4}o3;12<728qC?k<4I3:e>4}52tPmj7?t1e39yxh60<21<7?tH2d1?L4?n3;p>7sUfg824b62twe=5;6:182M5a:2C94k4>{38~^c`=9r;o=7srn0:6e?6=9rB8j?5F29d95~4=uSlm6d08~yk7?=k0;6a28q96pTif;3x5a7=utd:48m50;3xL6`53@83j7?t2;Ybc<6s8n:6psa197g>5<6sA9m>6G=8g8272m3:1=vF87294?7|@:l97D<7f;3x6?{]no0:w:|m5=06290:wE=i2:K17>51zJ0b7=N:1l1=v<5}[de>4}6l80vqc?76283>6}O;o80qc?76583>6}O;o80qc?76483>7}O;o80qc?76983>7}O;o80qc?76883>7}O;o80qc?76`83>7}O;o80qc?76c83>7}O;o80qc?76b83>7}O;o80qc?76e83>7}O;o80qc?76d83>7}O;o80qc?76g83>7}O;o80qc?77183>7}O;o80qc?77083>6}O;o80qc?77383>3}O;o80qc?77283>2}O;o80qc?77583>7}O;o80qc?77483>4}O;o80E?6i:0y1>x\an3;p=i?5}|l2<20=83;pD>h=;|l2<21=83;pD>h=;|l2<2>=83;pD>h=;|l2<2?=83;pD>h=;H0;b?7|:3wQjk4>{0f2>x{i91=j6=4>{I1e6>{i91=i6=4>{I1e6>O50o0:w<4rZgd95~7c93wvb<68c;290~N4n;1vb<68d;296~N4n;1B>5h51z19y_`a28q:h<4r}o3;3`<724}52tPmj7?t1e39yxh601:1<7?tH2d1?xh601;1<7?tH2d1?L4?n3;p>7sUfg824b62twe=56=:180M5a:2we=56<:182M5a:2C94k4>{08~^c`=9r;o=7srn0:;0?6=:rB8j?5rn0:;1?6=9rB8j?5F29d95~4=uSlm6d08~yk7?0?0;69uG3g08yk7?0>0;6a28q96pTif;3x5a7=utd:45650;6xL6`53td:45750;3xL6`53@83j7?t2;Ybc<6s8n:6psa19:b>5<4sA9m>6sa19:a>5<6sA9m>6G=8g824?k3:1>vF?l3:1=vF88294?4|@:l97p`>88394?7|@:l97D<7f;3x6?{]no0:w:|m5=?52909wE=i2:m5=?4290:wE=i2:K154zJ0b7=zf82297>51zJ0b7=N:1l1=v?5}[de>4}6l80vqc?79783>6}O;o80qc?79683>7}O;o80E?6i:0y0>x\an3;p=i?5}|l2<<>=83?pD>h=;|l2<h=;H0;b?7|:3wQjk4>{0f2>x{i913j6=4={I1e6>{i913i6=4>{I1e6>O50o0:w?4rZgd95~7c93wvb<66c;296~N4n;1vb<66d;295~N4n;1B>5h51z09y_`a28q:h<4r}o3;=`<72;qC?k<4}o3;=c<728qC?k<4I3:e>4}52tPmj7?t1e39yxh60h:1<75<4sA9m>6sa19c0>5<3sA9m>6sa19c7>5<6sA9m>6G=8g827f=3:1>vFf>3:1=vF:|Xeb?7|9m;1qp`>8`;94?5|@:l97p`>8`c94?4|@:l97D<7f;3x7?{]no0:w:|m5=ge290>wE=i2:m5=gd2909wE=i2:K154zJ0b7=zf82ji7>51zJ0b7=N:1l1=v<5}[de>4}6l80vqc?7ag83>7}O;o80qc?7b183>4}O;o80E?6i:0y2>x\an3;p=i?5}|l2h=;|l2h=;H0;b?7|93wQjk4>{0f2>x{i91h86=4:{I1e6>{i9;i1<7?tH2d1?xh6:m0;6h=;|l277<728qC?k<4}o307?6=9rB8j?5rn017>5<6sA9m>6sa12794?7|@:l97p`>3783>4}O;o80qc?<7;295~N4n;1vb<=7:182M5a:2we=>750;3xL6`53td:?o4?:0yK7c452zJ0b7=zf89n6=4>{I1e6>{i9:l1<7?tH2d1?xh6<80;6h=;|l200<728qC?k<4}o372?6=9rB8j?5rn064>5<5sA9m>6sa15:94?4|@:l97p`>4883>7}O;o80qc?;a;295~N4n;1vb<:m:182M5a:2we=9m50;3xL6`53td:8i4?:0yK7c451zJ0b7=zf{i=m<1<7uG3g08yk3b=3:1?vFh=;|l6a=<728qC?k<4}o7f=?6=9rB8j?5rn4gb>5<6sA9m>6sa5d`94?7|@:l97p`:eb83>4}O;o80qc;jd;295~N4n;1vb8kj:182M5a:2we9hh50;3xL6`53td>j=4?:0yK7c451zJ0b7=zf{I1e6>{i=o91<7?tH2d1?xh2n=0;6h=;|l6b=<728qC?k<4}o7e=?6=9rB8j?5rn4db>5<6sA9m>6sa5g`94?7|@:l97p`:fb83>4}O;o80qc;id;297~N4n;1vb8hj:182M5a:2we9kh50;1xL6`53td=<=4?:0yK7c451zJ0b7=zf?:96=4>{I1e6>{i>991<7?tH2d1?xh18=0;6h=;|l54=<728qC?k<4}o43=?6=9rB8j?5rn72b>5<6sA9m>6sa61a94?7|@:l97p`90e83>6}O;o80qc8?e;297~N4n;1vb;>i:182M5a:2we:<>50;3xL6`53td==<4?:0yK7c47>51zJ0b7=zf?;86=4>{I1e6>{i>8>1<7?tH2d1?xh19<0;63:1=vF=83;pD>h=;|l55<<728qC?k<4}o42e?6=9rB8j?5rn73a>5<6sA9m>6sa60a94?7|@:l97p`91e83>4}O;o80qc8>e;295~N4n;1vb;?i:182M5a:2we:?>50;3xL6`53td=><4?:0yK7c47>51zJ0b7=zf?886=4>{I1e6>{i>;>1<7?tH2d1?xh1:<0;63:1=vF=83;pD>h=;|l56<<728qC?k<4}o41e?6=9rB8j?5rn70a>5<6sA9m>6sa63a94?7|@:l97p`92e83>4}O;o80qc8=e;295~N4n;1vb;>50;3xL6`53td=?<4?:0yK7c47>51zJ0b7=zf?986=4>{I1e6>{i>:>1<7?tH2d1?xh1;<0;63:1=vF=83;pD>h=;|l57<<728qC?k<4}o40e?6=9rB8j?5rn71a>5<6sA9m>6sa62a94?7|@:l97p`93e83>4}O;o80qc850;3xL6`53td=8<4?:0yK7c47>51zJ0b7=zf?>?6=4<{I1e6>{i>=?1<7=tH2d1?xh1h=;|l50d<728qC?k<4}o47f?6=9rB8j?5rn76`>5<6sA9m>6sa65f94?7|@:l97p`94d83>4}O;o80qc8;f;295~N4n;1vb;;?:182M5a:2we:8?50;3xL6`53td=9?4?:0yK7c4?7>51zJ0b7=zf???6=4<{I1e6>{i>h=;|l51d<728qC?k<4}o46f?6=9rB8j?5rn77`>5<6sA9m>6sa64f94?7|@:l97p`95d83>4}O;o80qc8:f;295~N4n;1vb;8?:182M5a:2we:;?50;3xL6`53td=:?4?:0yK7c451zJ0b7=zf?{I1e6>{i>??1<7?tH2d1?xh1>?0;6h=;|l52d<728qC?k<4}o45f?6=:rB8j?5rn74`>5<5sA9m>6sa67f94?7|@:l97p`96d83>4}O;o80qc89f;295~N4n;1vb;9?:182M5a:2we::?50;3xL6`53td=;?4?:0yK7c451zJ0b7=zf?=?6=4>{I1e6>{i>>?1<7?tH2d1?xh1??0;6h=;|l53d<728qC?k<4}o44f?6=9rB8j?5rn75`>5<6sA9m>6sa66f94?7|@:l97p`97d83>4}O;o80qc88f;295~N4n;1vb;6?:182M5a:2we:5?50;3xL6`53td=4?4?:0yK7c451zJ0b7=zf?2?6=4>{I1e6>{i>1?1<7?tH2d1?xh10?0;6>290?wE=i2:m2=g=839pD>h=;|l55<4sA9m>6sa69d94?7|@:l97p`99183>6}O;o80qc863;297~N4n;1vb;7;:180M5a:2we:4950;3xL6`53td=554?:0yK7c451zJ0b7=zf?3j6=4>{I1e6>{i>0i1<7?tH2d1?xh1i;0;6h=;|l:e7<72;qC?k<4}o;b1?6=;rB8j?5rn8c5>5<4sA9m>6sa9`:94?5|@:l97p`6ac83>4}O;o80qc7nc;295~N4n;1vb4ok:182M5a:2we5o>50;0xL6`53td2n<4?:0yK7c47>51zJ0b7=zf0h86=4>{I1e6>{i1k>1<7?tH2d1?xh>j<0;6?uG3g08yk?e>3:1>vF2908wE=i2:m=gg=83;pD>h=;|l:fg<728qC?k<4}o;ag?6=9rB8j?5rn8`g>5<6sA9m>6sa9cg94?7|@:l97p`6bg83>4}O;o80qc7l0;295~N4n;1vb4m>:182M5a:2we5n<50;3xL6`53td2o94?:3yK7c451zJ0b7=zf0i=6=4>{I1e6>{i1j=1<7?tH2d1?xh>k10;6?uG3g08yk?d13:1=vFh=;|l:gf<728qC?k<4}o;``?6=9rB8j?5rn8af>5<6sA9m>6sa9bd94?4|@:l97p`6d183>4}O;o80qc7k1;295~N4n;1vb4j=:182M5a:2we5i=50;3xL6`53td2h94?:0yK7c452zJ0b7=zf0n=6=4={I1e6>{i1m=1<7?tH2d1?xh>l10;6>uG3g08yk?c13:1=vFh=;|l:`f<728qC?k<4}o;g`?6=9rB8j?5rn8fe>5<5sA9m>6sa9d294?7|@:l97p`6e083>4}O;o80qc7j2;295~N4n;1vb4k<:182M5a:2we5h:50;0xL6`53td2i84?:3yK7c456zJ0b7=zf0o<6=4;{I1e6>{i1l21<7ltH2d1?xh>m00;6?uG3g08yk?bi3:1>vFh=;|l:aa<728qC?k<4}o;fa?6=9rB8j?5rn8ge>5<6sA9m>6sa9g294?7|@:l97p`6f083>4}O;o80qc7i2;295~N4n;1vb4h<:180M5a:2we5k:50;1xL6`53td2j84?:0yK7c453zJ0b7=zf0l<6=4<{I1e6>{i1o21<7?tH2d1?xh>n00;6h=;|l:ba<728qC?k<4}o;ea?6=9rB8j?5rn8de>5<6sA9m>6saa1294?7|@:l97p`n0083>4}O;o80qco?2;295~N4n;1vbl><:182M5a:2wem=:50;3xL6`53tdj<84?:4yK7c452zJ0b7=zfh:26=4>{I1e6>{ii9k1<7?tH2d1?xhf8j0;6h=;|lb`7<72:qC?k<4}ocg0?6=9rB8j?5rn`f4>5<2sA9m>6saae;94?4|@:l97p`nd`83>7}O;o80qcokb;295~N4n;1vbljk:181M5a:2wemik50;0xL6`53tdjhk4?:0yK7c452zJ0b7=zfho:6=4={I1e6>{iil81<7=tH2d1?xhfm:0;6h=;|lba<<72;qC?k<4}ocfe?6=9rB8j?5rn`g`>5<6sA9m>6sa11f;>5<4sA9m>6sa11f:>5<6sA9m>6sa11fb>5<6sA9m>6sa11fa>5<6sA9m>6sa11fg>5<6sA9m>6sa11ff>5<4sA9m>6sa11fe>5<6;rB8j?5rn02f4?6=;rB8j?5rn02f5?6==rB8j?5rn02f0?6=;rB8j?5rn02f1?6=;rB8j?5rn02f2?6==rB8j?5rn02f3?6=;rB8j?5rn02f5<6std:5i4?:0y~j4dd2908wp`>b783>4}zf8ni6=4<{|l2`0<728qvb5<4std9=>4?:0y~j75?2908wp`=3383>4}zf;?<6=4<{|l114<728qvb?99:180xh5?90;65<4std94k4?:0y~j7d32908wp`=ad83>4}zf;n86=4<{|l1ga<728qvb?h=:180xh5mj0;65<4std84}zf?li6=4>{|l45d<72:qvb:?;:182xh0;00;6>urn610>5<6std<8h4?:0y~j204290:wp`87983>4}zf>2o6=4>{|l4e7<728qvb:l8:182xh0kj0;65<4std4}zf1826=4<{|l;66<728qvb5:7:180xh?<;0;65<4std3:<4?:0y~jd56290:wp`n4783>4}zfh?i6=4>{|lb35<728qvbl6i:180xhf000;65<6stdi?54?:2y~jg55290:wp`m5683>6}zfk?:6=4>{|la33<72:qvbo9?:182xhe1<0;6>urnc:e>5<6stdin94?:2y~jggb290:wp`md283>6}zfkio6=4>{|lab7<72:qvbokl:182xhd980;6>urnb2a>5<6stdh?=4?:2y~jf4f290:wp`l4g83>6}zfj>26=4>{|l`2`<72:qvbn87:182xhd0m0;6>urnb:4>5<6stdhmn4?:2y~jfg1290:wp`lcc83>6}zfji>6=4>{|l`ad<72:qvbnk;:182xhc800;6>urne20>5<6stdo>54?:2y~ja45290:wp`k4683>6}zfm>:6=4>{|lg23<72:qvbi8?:182xhc0<0;6>urne5e>5<6stdom94?:2y~ja?b290:wp`kc283>6}zfmho6=4>{|lga7<72:qvbijl:182xhb880;6>urneda>5<6stdn>=4?:2y~j`7f290:wp`j3g83>6}zfl926=4>{|lf1`<72:qvbh;7:182xhb?m0;6>urnd54>5<6std:=oo50;3xyk76ko0;6o6=4>{|l2634=83;pqc?=7683>4}zf883o7>51zm57g6290:wp`>2c494?7|ug;9oo4?:0y~j44b83:1=vsa13d6>5<6std:?=o50;3xyk749o0;6:6:182xh6;?21<7=t}o3027<728qvb<=77;297~{i9:2:6=4>{|l27d0=839pqc?4}zf89h97>53zm56da290:wp`>3d694?5|ug;8hh4?:0y~j427;3:1?vsa12dg>5<6std:8?<50;1xyk739j0;61<7?tZgd95~7c93wC>?j4}o3;f0<728qQjk4>{0f2>xN5:m1vb<6m6;295~\an3;p=i?5}I01`>{i91h<6=4>{[de>4}6l80vD?=83;pqc?7b883>4}zf82im7>51zm5=de290:wpsr}AB@4e2k3h35ihl5bCDG}7uIJ[wpNO \ No newline at end of file +$5d25=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857<4FNQWW>D:593;5=95=3;KMTPR=IVLXN1<<:0G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?k;@NF4Zkrp9:;>d:COA4YXg{:;<GIL;>0MCJ<4:CM@1286OAD768EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P73?1g9AAWTuzVddx=>?1018FP@682H^EAJPT@PDAQGUKA20NX]PIODL5>E33J;=A45L17O\MGSA>2I9<@KAb:A14HCIWFH^J;5L24OFJg=D:EHEDC_XHJ8;BPFEQCC:2IX?6M[L79@h`gu9o1H`ho}1^]bja6789l0Oaknr0]bja6789;:7Ik4DSA\WPEDMZYMYA94DUC@Ow`03M^JOF|}f:FWEFMuzVddx=>?1028@QGDC{xTbbz?01323>Bf|h6;2:5Kauc?5;0h5KotvLAZiu89:;?h5KotvLAZiu89:;8h5KotvLAZiu89:;9h5KotvLAZiu89:;:h5KotvLAZiu89:;;h5KotvLAZiu89:;4<5J5:GEeij13LLj`a?9;DDbhi423LY7<3;4ER>2:0=B[58596K\<2<6?@U;<7>0I^Q?d:GP[5YXign;<=>j;DQ\4ZYffm:;<=?j;DQ\4ZYffm:;<=CTW8UTmcj?0122a>CTW8UTmcj?01210>CTW;n0I^Q=_^cm`5678l1N_RCTW=UTmcj?012f?@UX?013f?@UX?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`>1`9FWZKflmUTmij?012\[dhc89::=<74ER]NeabXWhno<=>?_^cm`567:8k0I^QBaef\[dbc89:;SRoad12364b_np34565m2OXS\Q>_np34564m2OXS\Q>_np34563m2OXS\Q>_np34562m2OXS\Q>_np34561m2OXS\Q>_np34560m2OXS\Q>_np3456?m2OXS\Q>_np3456>m2OXSRokd1234979m2OXSRokd1234949m2OXSRokd1234959m2OXSRokd1234929=2O_MNEk;DVBGNYffm:;<=k4EUC@OZgil9:;<k4EUC@OZgil9:;<9k4EUC@OZgil9:;<8k4EUC@OZgil9:;<;64EYRBJACC981NT]OADDF\FFBXN@FN=95JXQCM@@BXKFDXX_OFNUQ25>C_XHDOIIQFSD]EMIC13LUBNXH=;Dp0?@t5=2Oy0=0:;Dp?5;25HNE38M7=N8;1B=?5F239J77=N<;1B9?5F639J31=NIJY>7DOLS078MDET:<1BMN]<4:KAQC4OIA]Y_MYK8;HLJPVRD02CEEY][C0g8MKOS[VLXARHId032?LHN\ZUM_@QIFe3.Mk753@DBX^QISL]EBa7*Ag;:>6GAIUQ\BVKXNOn:!D`=e:KMMQUXNZGTJKj=109JJLRTWOYFSKHk2,Km57=NF@^XSK]B_GDg6(Oi9880ECG[S^DPIZ@Al;'Bb??=;HLJPVYA[DUMJi<"Io126>OIA]YTJ^CPFGf1)Lh39;1BBDZ\_GQN[C@c:$Ce9h5FNHVP[CUJWF?k5FNHVP[CUJWF?<>0:KMMQUXkdzTi|>?0218MKPb3@DTBJJPAOF\FFB53@E>7DANCUf8MJGD\Vkeh=>?0d9JKDESWhdo<=>?1g9JKDESWhdo<=>?11g8MJGD\Vkeh=>?03g8MJGD\Vkeh=>?02g8MJGD\Vkeh=>?05g8MJGD\Vkeh=>?04g8MJGD\Vkeh=>?07g8MJGD\Vkeh=>?06g8MJGD\Vkeh=>?09g8MJGD\Vkeh=>?08f8MJGD\Vg~t=>?0d9JKDESWds<=>?1d9JKDESWds<=>?2d9JKDESWds<=>?3d9JKDESWds<=>?4d9JKDESWds<=>?5d9JKDESWds<=>?6d9JKDESWds<=>?779JKDESz?1BCOK]Rd9JKGCUZVg~t=>?0g9JKGCUZVg~t=>?0033?LIEM[XTaxv?0122446??;HMAAWTXe|r;<=>>4g9JKGCUZVg~t=>?03d8MJDBZ[Ufyu>?011e?LIEM[XTaxv?0127b>OHJLXYS`{w01231c=NGKOY^Rczx12343`7DALS048MJET99<0EBM\1048MJET9;?0EBM\249JKFU4=2CDO^::;HM@W03OHKZ2>7DALS868MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?559JKI7d3@EG=R``t1235a=NGE;Tbbz?01321>OHDMY=7DA_WBQ4?LIW_JY:;6G@PVAP60=NG[OZh6G@RDS\ip~789:n7DA]EP]nq}6789;m7DA]EP]nq}6789;;i6G@RDS\ip~789:9i6G@RDS\ip~789:8i6G@RDS\ip~789:?i6G@RDS\ip~789:>i6G@RDS\ip~789:=i6G@RDS\ip~789:OHZ^:o7DA]W1]nq}6789o0EB\X0^ov|56788o0EB\X0^ov|5678;o0EB\X0^ov|5678:o0EB\X0^ov|5678=n0EB\X0^llp5679l1BC_Y?_omw45669l1BC_Y?_omw4566:l1BC_Y?_omw4566;l1BC_Y?_omw4566l1BC_Y?_omw4566?<1BC_Y>d:KLVR7Xign;<=>j;HMQS4Yffm:;<=?j;HMQS4Yffm:;<=1:KLV`gcqVUjbi>?010e?LIUmhnrSRa}012355=NG[ojhtQPos23457682CD^hoky^]lv5678;;;7DA]e`fz[Ziu89:;?<>4INPfeaXWfx;<=>;119JKWcflpUTc>?01724>OHZlkouRQ`r12343eOH[VLXARHId0/Jj46??;HMP[CUJWOLo= Ga4b9JKVYA[DUMJi Ga119JKVYA[DUMJi<"Io325>OH[VLXARHId3/Jj46692CD_RH\M^DE`7+Nf8;:=6G@S^DPIZ@Al;'Bb<<>1:KLWZ@TEVLMh?#Fn0125>OH[VLXARHId3/Jj42692CD_RH\M^DE`7+Nf8?:<6G@S^DPIZ@Al;'Bb???;HMP[CUJWOLo> Ga3028MJUXNZGTJKj=-Hl755=NGZUM_@QIFe0.Mk3682CD_RH\M^DE`7+Nf?;;7DA\_GQN[C@c:$Ce;<>4INQ\BVKXNOn9!D`7119JKVYA[DUMJi<"Io;`?LITWOYFSKHk3g9JKVYA[DUMJi="Io33?LITWOYFSKHk3,Km546Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JNJ[KYU;5CO@FF@6=KGJ=0@BIFC@Nb?IVJWQEY>R?6;MVji`t;87k0@Ygbes>24;g1?c8HQojm{6:>374LUknaw:6601GXdcjr=0==>JSadoy0>06;MVji`t;<730@Ygbes>6:<=K\`gn~1819:NWmhcu4>427AZfmdp?<;?99OPlkbz]I:?6B[ilgqPFYdeyUn}=>?0068HQojm{^HSnc_ds345669=1GXdcjrUA\ghvXmx:;<=<>4:NWmhcu\JUha}Qjq12346733E^bah|[C^antZcv89:;8<:4LUknawRDWjg{Sh?0126<>JSadoyS=64LUknawY612F_e`k}_02:?IRnelxT=<74LUknawY6:11GXdcjr^0;?IRnelxT?55CThofvZ2?3E^bah|P599OPlkbzV<37AZfmdp\3==K\`gn~R67;MVji`tX1;>0@Ygbes]NeabXWhno<=>?_LzlvZTb{|f0<>1259OPlkbzVGjhiQPaef3456XEqeyS_k|umv?5485<2F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6:>3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=3=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;:7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1=1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?0;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz36?00?IRnelxTAljk_^cg`5678VGscQ]erwop919::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~743<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=;=63=K\`gn~RCnde]\eab789:T^h}zlu]3[kis89:;=d:NWmhcuWDkohRQnde2345YXign;<=<>e:NWmhcuWDkohRQnde2345YXign;<=<>1e9OPlkbzVGjhiQPaef3456XWhdo<=><1d9OPlkbzVGjhiQPaef3456XWhdo<=><10f8HQojm{UFmijP_`fg4567WVkeh=>?40g8HQojm{UFmijP_`fg4567WVkeh=>?403g?IRnelxTAljk_^cg`5678VUjbi>?043f?IRnelxTAljk_^cg`5678VUjbi>?0432`>JSadoyS@okd^]b`a6789UTmcj?0142a>JSadoyS@okd^]b`a6789UTmcj?01425a=K\`gn~RCnde]\eab789:TSl`k01245`=K\`gn~RCnde]\eab789:TSl`k012454b311<27>JSadoySRokd12349766890@Ygbes]\eab789:7=?0>2:NWmhcuWVkoh=>?0=3=57=K\`gn~RQnde2345:56880@Ygbes]\eab789:7?3?=;MVji`tXWhno<=>?<5<26>JSadoySRokd12349399;1GXdcjr^]b`a67896=2<<4LUknawYXimn;<=>37?31?IRnelxTSljk01238=86:2F_e`k}_^cg`567853596B`ae3f?Iifl8UTmcj?012`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`=;O20?K66:2D:;6@JTVMQO3=IGJFB\<5@4:MAQC35@ND08KW50Ccmjc:Mmg`YXg{:;<=j4Ooaf[Ziu89:;=i5@nbg\[jt789:9h6Aacd]\kw67899o7B`le^]lv5678=n0Ccmj_^mq4567=m1DbnkP_np34561l2EeohQPos23451c3FdhiRQ`r1234=b=?<1130[I53:R266=W9:90\<:<;Q367>V6>:1[=:=4P0:0?U7>:2Z9?6^=029S67590\?6<;Q0:6>V4;2Z8<>5_3318T6543Y9??6^<529S735V39:1[8?=4P510?U21;2Z><>5_5318T0543Y???6^:529S135V18:1[:<=4P710?U03;2Z=9>5_6718T3153Y=87]9?3:R466=W?:90\::<;Q557>V0?:1[;5=4P6;1?U>43Y2;?6^7129S<75>5_9218T<243Y3>?6^6629S=25>0:RP[CUJWOLo> Ga1033?UUXNZGTJKj=-Hl2646m7]]PFRO\BCb5%@d>j6^\_GQN[C@c:$Ce:k5_S^DPIZ@Al;'Bb:h4PR]EWHYANm8&Ec6i;QQ\BVKXNOn9!D`6b:RP[CUJWF7_O30?48VD:68720^L2>1;2=2>TF48;596\N<0<6?WG;:7?0^L2<>49QE929=2XJ080:;SC?2;3TF40487_OB5:PBIFU13[KFO^?9;SCNGV413[KFO^=:;SCNAKb=1g9QEHCIWds<=>?23d8VDKBFVg~t=>?031e?WGJMGUfyu>?0107b>TFELDTaxv?01211c=UIDOES`{w012363`02XJA_kndx33?WGJZlkouRoad123447>139QEHTbimsTaxv?01224441:PBIWcflpUfyu>?01025>TFE[ojhtQbuy23455692XJA_kndx]nq}6789>:=6\NMSgb`|Yj}q:;<=;>1:PBIWcflpUfyu>?01425>TFE[ojhtQbuy23451692XJA_kndx]nq}67892:=6\NMSgb`|Yj}q:;<=76;SCN[Wcflpk0^LCPRdcg}4g>8g9QEVCKWds<=>?18g8VDUBDVg~t=>?03d8VDUBDVg~t=>?032e?WGTMEUfyu>?0102b>TF[LFTaxv?01216c=UIZOGS`{w012366`12XJS=Q@BTD`?WGX8Vg~t=>?0e9QEZ6Xe|r;<=>>d:PB[5Yj}q:;<=j4R@]3[hs89:;8l5]A^33[JDRNh1YMR?>_N@VB<=UIV;TCO[Ic:PB[4Yj}q:;<=j4R@]2[hs89:;=i5]A^3\ip~789:9h6\N_0]nq}67899o7_OP1^ov|5678=30^LQ=_N@VBf=UIV8Taxv?012g?WGX:Vg~t=>?00f8VDY5Wds<=>?2e9QEZ4Xe|r;<=>Qbuy23457c3[KT?Rczx12347bTFW=UDNXHl;SC\0Zkrp9:;TFW?01f8VDY2Wds<=>?1e9QEZ3Xe|r;<=>=d:PB[0Yj}q:;<==k;SC\1Zkrp9:;<974R@]5[JDRNj1YMR8Pmtz3456c3[KT:Rczx12344bTFW?Ufyu>?016:?WGX?VEIYKm4R@]4[hs89:;h6\N_6]nq}6789;o7_OP7^ov|5678;n0^LQ8_lw{4567;m1YMR9Pmtz3456312XJS5Q@BTD`?WGX0Vg~t=>?0e9QEZ>Xe|r;<=>>d:PB[=Yj}q:;<=j4R@];[hs89:;8i5]A^:\ip~789:>h6\N_9]nq}6789<27_OP9^MAQCeTFW0Ufyu>?013g?WGX1Vg~t=>?03f8VDY>Wds<=>?3e9QEZ?Xe|r;<=>;c:PFTIGDMVE@MT=4RDQ7?WCT9<1YI^|i5:PFWwtc3[OX~Qaou2344c;5]OLAP73=UGDIX8;5]OLAP13=UGDIX:;5]OLAP36=U[]h0^^QISL]EBa7b3[YTJ^CPFGf2)Lha3[YTJ^CPFGf2)Lh6991Y_RH\M^DE`4+Nf8::<6\\_GQN[C@c9$Ce=2028VVYA[DUMJi?"Io30b>TTWOYFSKHk1,Km6c=U[VLXARHId0/Jj6`o1Y_RH\M^DE`4+Nf>l0^^QISL]EBa7*Ag2m7_]PFRO\BCb6%@d2n6\\_GQN[C@c:l1Y_RH\M^DE`7+Nfo1Y_RH\M^DE`7+Nf8;;7_]PFRO\BCb5%@d:<<>4RR]EWHYANm8&Ec?>119QWZ@TEVLMh?#Fn0024>TTWOYFSKHk2,Km56773[YTJ^CPFGf1)Lh6<8:0^^QISL]EBa4*Ag;>==5]S^DPIZ@Al;'Bb<8>0:PP[CUJWOLo> Ga1633?WUXNZGTJKj=-Hl2<46n2XXSK]B_GDg6(Oi:o1Y_RH\M^DE`7+Nf:l0^^QISL]EBa4*Ag>m7_]PFRO\BCb5%@d>j6\\_GQN[C@c:$Ce:k5]S^DPIZ@Al;'Bb:h4RR]EWHYANm8&Ec6i;SQ\BVKXNOn9!D`6b:PP[CUJWOLo?h5]S^DPIZ@Al:'Bbk5]S^DPIZ@Al:'Bb#Fn4d8VVYA[DUMJi="Io4e?WUXNZGTJKj<-Hl4b>TTWOYFSKHk3,Km}f:Pfea7zVUd~=>?0028V`gcq9xTSb|?012255=Umhnr<QPos2345413[ojht?8;Sgb`|7un2Xnmiw>r^]lv56788:0^hoky0p\[jt789::==5]e`fz5wYXg{:;<=<9;Sgb`|403[omHb`jf:PfbAiimVUd~=>?0028V``CggoTSb|?012255=UmoNdbhQPos23454682XnjIaae^]lv5678:;;7_kiDnlf[Ziu89:;8h5]egDqkhTT8Gosxk5]egDqkhTT8Gosx0028V``AzfgY_=@jxu3255=UmoLyc`\\0Og{p44682XnjK|`mSQ3J`~s9:;;7_kiFsmnVV6Imq~:8k5]egDqkhTT8Gosx?h4RddEvjkU[9Dnty=i;SgeBwijZZ:Eiuz;f:PfbCthe[Y;Bhv{5g9Qac@ugdXXAeyv;b>TbnOxda_]?Ndzw=a=UmoLyc`\\0Qpfa>TbnOxda_]?Psg2a>TbnOxda_]?Psg1a>TbnOxda_]?Psg0a>TbnOxda_]?Psg7a>TbnOxda_]?Psg6a>TbnOxda_]?Psg5a>TbnOxda_]?Psg4a>TbnOxda_]?Psg;2>Tbn[KX:6\jfSgr<>Tbn[ozEco4RddQatU~fjo0^hh]ep]bja6789l0^hh]ep]bja6789;m7_kiRds\ekb789:9i6\jfSgr[kis89::j6\jfSgr[kis89::=i5]egPfuZiu89:;i6\jfSgr[jt789::i6\jfSgr[jt789:9i6\jfSgr[jt789:8i6\jfSgr[jt789:?:6\jfTpd<>Tbn\xlEck4RddVvbYffm:;<=h4RddVvbYffm:;<=?i;SgeQwaXign;<=>=a:PfcPt`[pdh:6\jstnw7>UB\<1X[1?1a:QT848XAK_M96]X<30n;RU?7;YNJ\Lj7^`kR@O@WRT63]90X_G7;UPJWQGSM=1_^XK;;U[SA==Sadoy0=07;Uknaw:6611_e`k}<3<;?Qojm{682:5[ilgqPF753]cfiZL_bos[`w789::?6ZfmdpWGZejxVoz<=>?1018Plkbz]ITo`~Pep234546;2^bah|[C^antZcv89:;?<=4ThofvQEXkdzTi|>?01627>Rnelx_ORmbp^gr4567=>1_e`k}_133?Qojm{U;SRoad123447<\`gn~R>P_`lg456798;0Xdcjr^2\[dhc89:;>?369WmhcuW8;;7Ygbes]2[Zgil9:;<?1038PlkbzV;TSl`k012362=SadoyS???;UknawY5WVkeh=>?0038PlkbzV8TSl`k0123547<\`gn~R1_e`k}_233?Qojm{U8SRoad123447<\`gn~R=P_`lg456798;0Xdcjr^1\[dhc89:;>?<4ThofvZKflmUTmij?012\I}iuW[oxyaz31?01?Qojm{UFmijP_`fg4567WDrd~R\jstnw8785:2^bah|PM`fg[Zgcl9:;?01]\ekb789::h6Zfmdp\IdbcWVkoh=>?0^]bja6789;:o6Zfmdp\IdbcWVkoh=>?0^]bja6788;o7Ygbes]NeabXWhno<=>?_^cm`56798;:7Ygbes]\eab789:7=3?>;UknawYXimn;<=>32?32?Qojm{UTmij?012?7;b<\`gn~Road1234`=SadoySl`k012353=RF\YNHl5ZSDP\EIOF[j1^_H\PVHQJFIC43_IH56XFEV]W]UC43_ZJo6X_A^]bja6789n0Z]OP_`lg45679k1]\LQPos2345e<^YKTSb|?0122g>PWIVUd~=>?03a8RUGXWfx;<=>96X_Asd6?SVFz{n0Z]O}r^llp5679l1]\L|}_omw45669?1\m~\E0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI29[KW2^T\VMEH:5WSU]UGF3^XRLXD:6Vkb^Kgf>^c`VZye`Gavb9[`mYWz`g_u}ki;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk0ohjz30bLJ`uuNF4`=iIMe~xAK?_N@VB46=109mEAir|EO;Sb|?0120=>hFLf@H?n;oCGkprKM8;n7cOKotvOA4YHJ\L87cO\7:lBWZ@TJj1eM^QISC]JFP@03gKXSDAMd:lBWZOHJVEIYKKn;oCP[LIEg|~=7cO\otvf?kGTg|~Tbbz?013e?kGTg|~Tbbz?0132b>hF[fSca{01226c=iIZe~xR``t12356`?01326>hEM[XTJ^LP_np345659;1eNH\]_GQA[Ziu89:;?45aBDPQ[LIEm2dII_\PIN@\MGSA?2dIKRG@Bb9mFBYNGKUBNXH8;o@Q[LIEk2dI^RG@B^MAQC`<>4nCP\MJDXe|r;<=><119mFWYNGKUfyu>?01624>hEZVCDNRczx12340773gHYSDAM_lw{4567>8:0bO\PIN@\ip~789:<86`LARf8jFGTWJf`SD@Y169mGDUXF930bNO\_N@VBf=iKHYTbbz?013g?kEF[Vddx=>?1048jARFKBk0bIZNCJ]EWG`hC\HI@SRa}0123b>hC\HI@SRa}01235c=iL]KHGRQ`r123470hCg|~DI<64nEmvpJC502dOcxz@E2a8jAir|FOTCO[I5:lJTI4f3gC[@?QFBTD5?kOWD;x?7cBKS99mHAUXNZHo7cBKS^DPFZOE]O20bAJ\_HMAa>hKLZUBCOQ@BTDFf>hKLZUBCOaztb9mHAUXign;<=?k;oNGWZgil9:;=hKLZUd~=>?12a8jIBTWfx;<=?;c:lO@VYhz9:;=8m4nMFP[jt789;=o6`CDR]lv5679>>0bAYJ5:lOS@7d3gF\IRoad1234a=iD^OTmcj?0122`>hK_LUjbi>?010g?kJPMVkeh=>?02f8jIQBWhdo<=>?429mK@21:lLr`tkipUjbi>?01025>hH~lxgmtQnne23455692dDzh|cax]bja6789>:=6`@vdpoe|Yffm:;<=;>1:lLr`tkipUjbi>?0144?kTFEE]No6`]ALNTAZIE]O=0b_OBUVG`?kTFE\]NSBLZF59mVDU23gXJ_<;4nSCP60=iZHY896`]AR66?kTF[<30b_O\_N@VB1=iZLYi7c\JS^KLFP@Bk2dYI^Qaou2344bhUGD]N=o5aRNOTAZIE]O>0bXJ\8:lV@VYA[Kn0bXJ\_GQA[LDRN11eYI]PIN@f?kSC[VCDNRAMUGGa?kSC[VCDNb{{c:lV@VYffm:;<=j4nTFP[dhc89:;=n5aUEQ\jjr789;o7c[KS^llp56798>0bXYJ5:lVS@7d3g_\IRoad1234a=i]^OTmcj?0122`>hR_LUjbi>?010g?kSPMVkeh=>?02f8jPQBWhdo<=>?459mRHG?3g\FMRG@Bd9mRHGXAFHTCO[IEc9mRHGXAFHdyyj4nWOB[Zgil9:;hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;55aVQC\BVDc3g\[MRH\B^MAQC>hPMVLXNn5aWD]EWGYNJ\L37cikbtko`6=uid20tn7:01zo54=k0?:89vctp334(7702rb`d`wuo3;?}jipVk~xbQ?_yfa+fus&qhhhpNOp0af`>FGp=;96K4;:0yP=5<3::098>4>35`3f2<5982>wc:=0;38j1462?1/8a2=886?:<:017f5d02;;:n=5\1e290`d=;3;88o>m7;025g2<[1l18hl53;300g6e?38:=o84d531>5<628qX5=4;228106<6;=h;n:4=10:6?!>72=oo7E78;wVfg?6=93;1?o8tS829075=:=91=>:m0c59647?=2.?<94>d39U04`=:r9>:4>;t014$dg9570d`|@=:97)=k7;626>\?939p;7o5b;'5fc=;m<0'9ok:188k=3=831d8;?50;9j061=831d8h:50;9j0g?=831d8o;50;9l<2<722e?m;4?::k70f<722e?m?4?::m71d<722e?9=4?::kb5<5<5<5<>i3;:0;66g;7b83>>o3>i3>10;66a;7d83>>i3kh0;66a;6b83>>i3?:0;66g;e883>>i3!4>:38ji6`=9083?>i5im0;6)<62;0ba>h5180:76a=b983>!4>:38ji6`=9081?>i5j>0;6)<62;0ba>h5180876a=b783>!4>:38ji6`=9087?>i5j<0;6)<62;0ba>h5180>76a=b583>!4>:38ji6`=9085?>i5j:0;6)<62;0ba>h5180<76a=b383>!4>:38ji6`=908;?>i5j80;6)<62;0ba>h5180276a=b183>!4>:38ji6`=908b?>i5ij0;6)<62;0ba>h5180i76g;b;29 7?52=k0b?7>:198m1?=83.95?4;a:l1=4<632c?47>5$3;1>1go3=3:1(?7=:5c8j7?62=10e9:50;&1=7<3i2d95<4:;:k77?6=,;3969o4n3;2>3=h5180<76g;1;29 7?52=k0b?7>:998m16=83.95?4;a:l1=4<>32c8j7>5$3;1>1go4l3:1(?7=:5c8j7?62j10e>m50;&1=7<3i2d95<4k;:k67?6=,;3969o4n3;2>`=h5180m76g:1;29 7?52=k0b?7>:028?l37290/>4<54`9m6<7=9810e9h50;&1=7<3i2d95<4>2:9j0`<72-82>7:n;o0:5?7432c?h7>5$3;1>1g5<#:0818l5a283950=h5180::65f3e294?"51;08ok5a28394>=n;jn1<7*=9380gc=i:0;1=65f3b`94?"51;08ok5a28396>=e<8=1<7?50;2x 6b028:97E:>6:J747=#<8o18?:4$0ae>1ca3f;;=7>5$53f>75132wi8<650;394?6|,:n<69><;I622>N38;1/81?5uG4108 6b020;0V5?51z:9y!7dm39o:6g7c;29?j71m3:17b=k2;29?l142900e9ok:188k4312900e9k7:188k7df2900c>;>:188k46d2900e4=h5180976g>7;29 7?528h0b?7>:298m43=83.95?4>b:l1=4<332c:87>5$3;1>4do6:3:1(?7=:0`8j7?62>10e<=93k07dk50;&1=7<6j2d95<4m;:kg>5<#:081=o5a2839g>=nk3:1(?7=:0`8j7?62m10e?:50;&1=7<6j2d95<4j;:k17?6=,;396c=:183!5c?3>;?6F;179K054<,=;n6?=>;%3`b?2bn2e98?4?:%62a?44>21vn977:186>7<1s-9o;7hl;I622>N38;1/=<=56:&2gc<3mo1b4o4?::k;`?6=3`296=44i8494?=h:hh1<75m2``94?0=83:p(9?j:gg8m476290/8e290/8290/83:1(9?j:315?>{e<8h1<7?50;2x 17b2=8?7b<<6;29 17b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=98;0q~6k:181[>c348jn7=:9:p5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e<031<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb02g>5<>290;w)=k7;15?M26>2B?5<#<8o1=;:k243<72->:i7?>1:9j551=83.?=h4>1098m46?290/8o68h0;6):>e;325>=n99h1<7*;1d8254=5}#;m=1?;5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810e<>9:18'04c=98;07d??7;29 17b28;:76g>0983>!26m3;:=65f11;94?"39l0:=<54i02b>5<#<8o1=;:m16`<72->:i7<<6:9~f46a29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj;hi6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c0ag?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th9ni4?:583>5}#;m=1??5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810e<>9:18'04c=98;07b<=e;29 17b2;9=76sm48a94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/85<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg2>n3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl;a183>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`7e4<72=0;6=u+3e5977=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098m461290/8{e;<81<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb270>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=87>52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/80483>!26m3;:=65`23g94?"39l09?;54}c163?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg5203:187>50z&0`2<4:2B?=;5G4108 17b2::0(o68<0;6):>e;325>=n99<1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?5c83>0<729q/?i95329K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54i025>5<#<8o1=;:m16`<72->:i7<<6:9~f43d29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj8?o6=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?5d83>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e96:J747=#<8o1>><4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo?90;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c355?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg71:3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=47>53;294~"4l>09?>5G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm14;94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>7543A>::6F;039'04c=::80(o68<0;6):>e;325>=h:;o1<7*;1d8173=55b=99<0q~j50;0xZa=:99n1==94}rg94?4|Vl16==j511:8yv`=839pRk5211f955?<58?o6<>;;|q24?6=;rT:<63>0e824d=:95<5sW;:70??d;33f>{t9;0;6>uQ139>55c=99>01<;m:027?xu6;3:1?vP>3:?24`<68<16=8l51178yv732908wS?;;<33a?77>27:9o4>079~w43=839pR<;4=02f>46034;>n7??7:p52<72<5=3o6<><;<167?77;27:944>059~w4?=8346>34>2i7??3:?011<68:16=8951168943d28:?7p}>a;293~X6i27:

0`9>6gd=9990197l:026?852>3;;863>568240=:9<21==:4}r3`>5<1sW;h70??e;33f>;5jj0:<>5248d9555<5:?>6<><;<36b?77<2wx>>4?:3y]66=:99n1==:4}r07>5<5sW8?70??d;331>{t?:0;6?uQ729>00q~6l:181[>d34>2476=;|q24f<72;qU==m4=02e>74b3ty:55b=:;o01<>i:027?xu68l0;6?u211g967c<58:m6<>:;|q213<72;qU=884=07b>74b3ty:9:4?:3y>501=:;o01<;i:026?xu6=10;6?u214:967c<58?26<>:;|q21<<72;q6=87523g8943f28:>7p}>5c83>7}:9

?k4=041>4633ty:9n4?:3y>50e=:;o01<8>:027?xu6=m0;6?u214f967c<58<;6<>;;|q21`<72;q6=8k523g8940728:>7p}>5g83>7}:9?k4=07b>4633ty::=4?:3y>536=:;o01<8>:026?xu6>80;6?u2173967c<58<96<>:;|q227<72;q6=;<523g8943?28:>7p}>6d83>7}Y9?o01<9?:30f?xu6>o0;6?u217d967c<58=;6<>:;|q16g<72;q68<65250891??20<0q~5116897dc2;8n70?:c;331>{t:kh1<774b348ih7??4:p6ge=838p1?ll:30f?84el3;;:6s|34394?4|V:?:70=:8;01a>{t;<81<774b349>:7??5:p705=838p1>;<:30f?85203;;86s|34694?4|5:??6?{t;<<1<774b349>47??5:p701=838p1>;8:30f?85203;;:6s|48:94?5|5=336?om;<0a`?77=2789?4>029~w1?f2909w0:68;:g?82>1389i6s|48`94?4|5=3365l4=5c2>74b3ty?5n4?:3y>0:026?xu31m0;6?u248f967c<5=k;6<>;;|q7=`<72;q684k523g891g728:>7p};9g83>7}:<0l1>?k4=5c2>4613ty?m=4?:3y>0d6=:;o019o>:027?xu3im0;6?uQ4`f891?>28:87p};e983>6}Y5<4;3ni6kotH521?!5c?38i56T71;0x3??=u-;hi7=k6:k;g?6=3f>h>7>5;h602?6=3f>i97>5;n6b2?6=3f>>m7>5;h50>5<5<5<5<5<5<5<#:081>lk4n3;2>5=5<#:081>lk4n3;2>7=54o3`5>5<#:081>lk4n3;2>1=6=4+28096dc5<#:081>lk4n3;2>3=5<#:081>lk4n3;2>==5<#:081>lk4n3;2>d=o6?3:1(?7=:0:8j7?62810e<;50;&1=7<602d95<4=;:k20?6=,;396<64n3;2>6=h5180?76g>2;29 7?52820b?7>:498m47=83.95?4>8:l1=4<132c:<7>5$3;1>4>!4>:3;37c<61;:8?lc=83.95?4>8:l1=4<>32co6=4+28095==i:0;1m65fc;29 7?52820b?7>:c98m72=83.95?4>8:l1=45$3;1>4>o593:1(?7=:0:8j7?62o10e?>50;&1=7<602d95<4>0:9j5c<72-82>7?7;o0:5?7632c:i7>5$3;1>4>5<#:081=55a283956=h5180:865m40594?7=83:p(>j8:021?M26>2B?78t$2f4>ce<@=;=7E:?2:&2gc<3mo1b4o4?::k;`?6=3`296=44i8494?=h:hh1<75m2``94?0=83:p(9?j:gg8m476290/8e290/8290/83:1(9?j:315?>{e<8h1<7?50;2x 17b2=8?7b<<6;29 17b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=98;0q~6k:181[>c348jn7=:9:p4?:281>1}#;m=1jo5G4048L1653-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?31}#;m=1jo5G4048L1653-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?31}#;m=1jo5G4048L1653-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?31}#;m=1jo5G4048L1653-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?353;090~"4l>0mn6F;179K054<,8im69ki;h:1>5<56;294~"39l0mi6g>1083>!26m3;:=65f8c83>!26m32i76g7c;29 17b21i07d=la;29 17b2:ij76g<5883>!26m39>565`22494?"39l09?;54}c62=?6=93:1{t:091<77}Y:hh01kj52248yv27;3:1>v3id;:a?826138?>6srb083>4<729q/8=?54:&0`2<68;1/8{t1?0;6?uQ979>6dd=1?1v5<50;0xZ=4<5;ki65<4}r094?5|5;ki6?7<;<0bf?>c34;1==?4$522>0=zuk8ii7>53;090~"4l>0mn6F;179K054<,8;86;5+1bd90``>i5ik0;66l=ac83>0<52?q/?i95fe9'5f`=7>5;cdg>5<1290;w):>e;df?l7693:1(9?j:032?>o?j3:1(9?j:9`8?l>d290/8i5;?0;6):>e;002>=zj=;26=4>:183!26m388=6a=4383>!26m388:65rs8494?4|V0<01kj51038yv>52909wS6=;=e7}Y:0901kj53bc8yv4fj3:1>vP=ac9>ba<5;?1v9><:1818`c21h019?6:361?x{e93:1=7>50z&744<33-9o;7??2:&75`<3:=1/=nh54dd8k466290/8>279mo466:p<7<72;qU4?522``9<7=z{;0;6>u22``96<5<5;ki65j4=08244=#<9;196srb3f`>5<42;0?w)=k7;da?M26>2B?"6ko0?ik5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40;94?7=83:p(9?j:312?j43:3:1(9?j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj534;8yv4>;3:1>vP=929>ba<4kh1v?om:181[4fj27mh7<<6:p055=838p1kj58c9>04?=:=80qpl>:182>5<7s->;=7:4$2f4>4653->:i7:=4:&2gc<3mo1d==?50;&75`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``9"69:0=7)?lf;6fb>o?:3:17d79:188k7ge2900n?om:186>7<1s-9o;7hk;%3`b?2bn2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/81098m=d=83.?=h47b:9j:i76l;:k0gd<72->:i7=la:9j70?=83.?=h4<5898k751290/8>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=01v?7<:181[4>;27mh7=la:p6dd=838pR?om;7513ty?<>4?:3y>bat$522>1=#;m=1==<4$53f>1433-;hj7:jf:m244<72->:i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!2793?0qpl6<52=q/?i95fc9K040<@=:97)?>3;48 4ea2=om7d6=:188m<0=831d>ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg22i3:1?7<54z&0`2ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg52i3:1?7<54z&0`23}#;m=1ji5+1bd90``5<>o?:3:17ohk:185>5<7s->:i7hj;h325?6=,=;n6;:k;f?6=,=;n65l4;h:`>5<#<8o14n54i2ab>5<#<8o1?no4;h16=?6=,=;n6>;6;:m173<72->:i7<<6:9~f17>290:6=4?{%62a?4492e98?4?:%62a?44>21v4850;0xZ<0<5on1=5<5sW2970hk:9a8yv>c2909wS6k;63>3ty95>4?:3y]6<5<5on1?no4}r0bf?6=:rT9mo52fe8173=z{=:86=4={=d<5=;26?:=;|a5?6=93:16*;1d8761=#9jl18hh4o022>5<#<8o1>>84;|q1eg<72;qU>ll4=3ca>7ge3ty2:7>52z\:2>;5ik02:6s|8383>7}Y0;16>ll5839~w7<72:q6>ll5281897ge21n01<4>009'057==2wvn>86:180>7<3s-9o;7hm;I622>N38;1/=<=56:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|a72c=8391>7:t$2f4>cd<@=;=7E:?2:&256<13-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?35}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>7543A>::6F;039'04c=::80(o68<0;6):>e;325>=h:;o1<7*;1d8173=h?7>52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f6df29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo=mb;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c1`2?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th8o:4?:283>5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e;1k1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb2:a>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f13e29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo:m6;297?6=8r.8h:4=329K040<@=:97):>e;006>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/85<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`7g0<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f1e029096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo:l8;291?6=8r.8h:4<3:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65f11494?"39l0:=<54i024>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg2>:3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl;9283>0<729q/?i95329K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54i025>5<#<8o1=;:m16`<72->:i7<<6:9~f7bb290?6=4?{%1g3?553A>::6F;039'04c=;91/=nh54dd8m463290/8o68?0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo=?d;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd48l0;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb237>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f67229096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo=>6;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c123?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{e;8k1<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi?N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f67d29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj:;o6=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`064<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm33194?5=83:p(>j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg55>3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=::90D9?9;I636>"39l09??5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn>5<7s-9o;7=>;I622>N38;1/8;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb20g>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo==f;297?6=8r.8h:4=329K040<@=:97):>e;006>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e;:91<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb217>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f65229086=4?{%1g3?44;2B?=;5G4108 17b2;997)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e;:k1<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi?>l50;194?6|,:n<6?=<;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}c172?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg53?3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl<4983>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`00<<72:0;6=u+3e59665<@=;=7E:?2:&75`<5;;1/=nh54dd8m463290/8i5:l0;6):>e;002>=zj:2;6=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`0<7<72:0;6=u+3e59665<@=;=7E:?2:&75`<5;;1/=nh54dd8m463290/8i5:l0;6):>e;002>=zj:286=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c1;0?6==3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9j550=83.?=h4>1098m460290/8{e;1?1<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi?5850;194?6|,:n<6?=<;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}c1;3?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg5?03:1?7>50z&0`2<5;:1C8<84H521?!26m388>6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi?;l50;094?6|,:n<6<<:;I622>N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f61129086=4?{%1g3?44;2B?=;5G4108 17b2;997)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?=8391<7>t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e;>31<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb25b>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8"39l0cg87ac=n9991<7*;1d8254=j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e;?o1<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi?;h50;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm36294?5=83:p(>j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg5093:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=7>53;294~"4l>09?>5G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm36194?5=83:p(>j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg50<3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=54;294~"4l>09?95G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07d??6;29 17b28;:76a=2d83>!26m388:65rb27`>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8:0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/889:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg51?3:1?7>50z&0`2<5;:1C8<84H521?!26m388>6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi?;650;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm34f94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e;"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3`;;:7>5$53f>47632e9>h4?:%62a?44>21vn>8?:187>5<7s-9o;7<<4:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54i025>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8=i:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg5383:187>50z&0`2<4:2B?=;5G4108 17b2::0(o68<0;6):>e;325>=n99<1<7*;1d8254=5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb261>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f62429086=4?{%1g3?44;2B?=;5G4108 17b2;997)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e;hl1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb2`3>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8o68=0;6):>e;325>=n99?1<7*;1d8254=5$53f>75132wi?o:50;694?6|,:n<6><4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07d??6;29 17b28;:76a=2d83>!26m388:65rb5c4>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=j47>52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/80483>!26m3;:=65f11494?"39l0:=<54o30f>5<#<8o1>>84;|`7eg<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj:3i6=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn>7l:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`0=`<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm3`794?4=83:p(>j8:006?M26>2B?5<#<8o1==zj:k=6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c1b3?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th8m54?:383>5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8on:180>5<7s-9o;7=>;I622>N38;1/8;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb2ca>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f6?a29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj:k;6=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`0e7<72:0;6=u+3e59665<@=;=7E:?2:&75`<5;;1/=nh54dd8m463290/8i5:l0;6):>e;002>=zj:k86=4;:183!5c?3997E:>6:J747=#<8o1?=5+1bd90``5$53f>47632c:<;4?:%62a?76921d>?k50;&75`<5;?10qo0483>!26m3;:=65`23g94?"39l09?;54}c0f0?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th9i84?:383>5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/85<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg4b03:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl=e883>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e:lk1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb3ga>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f7c6290<6=4?{%1g3?523A>::6F;039'04c=;91/=nh54dd8m463290/8o68?0;6):>e;325>=n99=1<7*;1d8254=5$53f>47632e9>h4?:%62a?44>21vn?k=:180>5<7s-9o;7=>;I622>N38;1/8;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb3g0>5<4290;w)=k7;007>N39?1C8=<4$53f>7553-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8>;:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg57=3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f66>29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd5n:0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e:o<1<7:50;2x 6b02:80D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3`;;:7>5$53f>47632e9>h4?:%62a?44>21vn?h8:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`1b=<72:0;6=u+3e59665<@=;=7E:?2:&75`<5;;1/=nh54dd8m463290/8i5:l0;6):>e;002>=zj;l26=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`1bg<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb3de>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f66729086=4?{%1g3?44;2B?=;5G4108 17b2;997)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i952218L1713A>;>6*;1d8177=#9jl18hh4i027>5<#<8o1=;:m16`<72->:i7<<6:9~f7e729096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qoe;006>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/85<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg4c?3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl=d983>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e:m31<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi>io50;094?6|,:n<6<<:;I622>N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f7be29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd5k;0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj;i?6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c0`1?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th9o;4?:283>5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`1g=<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1?95G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810e<>9:18'04c=98;07d??7;29 17b28;:76g>0983>!26m3;:=65`23g94?"39l09?;54}c0`g?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{e:jn1<7=50;2x 6b02;987E:>6:J747=#<8o1>><4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo0483>!26m3;:=65`23g94?"39l09?;54}c0`b?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg4c83:1?7>50z&0`2<5;:1C8<84H521?!26m388>6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi>i?50;194?6|,:n<6?=<;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}c0g6?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg4c;3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>09?>5G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76s|c;296~Xd349;i7??4:p`?6=:rTo70=>6;330>{tm3:1>vPj;<12g?77<2wxj7>52z\e?855:3;;86s|1183>7}Y9916??651168yv762909wS?>;<11a?77<2wx=?4?:3y]57=:;:>1==:4}r30>5<5sW;870={t9=0;6?uQ159>714=99>0q~?9:181[71349;o7??5:p52<72;qU=:5235495537}Y9l16?=4?:3y]65=:;;<1==;4}r02>5<5sW8:70==c;331>{t:;0;6?uQ239>764=99?0q~<<:181[44349847??5:p61<72;qU>95235295520z\47>;0>33=70;4080:<8523969552<5:=<6<><;<14029>732=99?01>ok:027?85>m3;;?63464348mh7??4:?1g0<68:1v:850;6x920=:hh01>96:020?85f=3;;?63<068246=z{1i1<7ht^9a896?021801>m<:90896d121801>m7:90896d?21801:85839>6gc=0;16>im5839>6``=0;16?o;5839>70g=0;16?;75839>72c=0;1688l51118yv4513:1>v3;168244=:;0=14i5rs3c`>5<5sW8jo63<0g816`=z{;ko6=4={_3:?[4fl2wx>lh50;1xZ43{t:k:1<7d;01a>{t:k81<7{t:k>1<770=<5;01a>{t:k<1<7{t:k21<7;5ll0:<8523939552<5:=j6<><;<150?77<278?h4>029>716=99?01>ok:026?82f?3;;?63464349;57??3:p6gc=83kp1?lj:3ca?82d03;;863;928241=::mo1==84=2:1>463349>h7??4:?0e`<68:168l651118966228:>70{t:kl1<7<0<5;n?6?n>523g897e128:>7p}=c083>7}::j;1>?k4=3ab>4633ty9o?4?:3y>6f4=:;o01?mn:025?xu5k:0;6?u22b1967c<5;ij6<>8;|q1g1<72;q6>n:523g897ef28:37p}=c483>7}::j?1>?k4=3f1>4623ty9o;4?:3y>6f0=:;o01?ml:027?xu5k>0;6?u22b5967c<5;ih6<>:;|q1g=<72;q6>n6523g897ec28:>7p}=c883>7}::j31>?k4=3af>4623ty9ol4?:3y>6fg=:;o01?j?:026?xu5kk0;6?u22b`967c<5;i<6<>;;|q1gf<72;q6>nm523g897b628:?7p}=ce83>7}::jn1>?k4=3ae>4633ty9oh4?:3y>6fc=:;o01?j?:027?xu5ko0;6?u22bd967c<5;n:6<>:;|q1`5<72;q6>i>523g897b528:?7p}=d083>7}::m;1>?k4=3f0>4623ty9h?4?:3y>6a4=:;o01?j;:026?xu5l:0;6?u22e1967c<5;n?6<>;;|q1`0<72;q6>i;523g897e028:>7p}=d783>7}::m<1>?k4=3a;>4633ty9h:4?:3y>6a1=:;o01?m7:026?xu5l10;6?u22e:967c<5;im6<>:;|q1`<<72;q6>i7523g897eb28:?7p}=d`83>7}::mk1>?k4=3a:>4623ty9ho4?:3y>6ad=:;o01?mn:026?xu5lj0;6?u22ea96dd<5;on6<>;;|q1`a<72;q6>ik523g897cf28:87p}=dg83>7}::mi15;522d1967c52z?1a5<5:l16>h<51168yv4b93:1>v3=e0816`=::l91==;4}r0f6?6=:r79i?4=2d9>6`5=99>0q~h522d`955352z?1a0<5:l16>h?51178yv4b>3:1>v3=e7816`=::l;1==:4}r0f3?6=:r79i:4=2d9>6`7=99<0q~h522d3955>52z?1a<<5:l16>h?51158yv4bi3:1>v3=e`816`=::l;1==74}r0ff?6=:r79io4=2d9>6`4=99?0q~hk523g8yv4bl3:1>v3=ee816`=::lo1==;4}r0fb?6=9?q6>hh52``8913a28:870=?c;330>;48m0:<>523069552<5:;>6<><;<12e?77<278=o4>029>776=99>01><>:020?855>3;;863<268246=:;;i1==:4=20g>4643498>7??4:?076<68:16?>651168965>28:870=;6;330>;4<>0:<>5232d9555<5:>;6<>9;|q1b5<72;q6>hh5979>754=:;o0q~h522g695527>52z?1b7<5:l16>kh51178yv4a;3:1>v3=f2816`=:;9;1==;4}r0e0?6=:r79j94=2d9>6c>=99>0q~h52312955252z?1b3<5:l16>k751178yv4a?3:1>v3=f6816`=::ok1==;4}r0e6cd=99?0q~h522gf955352z?1bd<5:l16>kk51178yv4aj3:1>v3=fc816`=:;981==:4}r0eg?6=:r79jn4=2d9>6c2=99?0q~h522gg955252z?1b`<5:l16>kh51168yv4an3:1>v3=fg816`=:;9:1==;4}r134?6=:r78<=4=2d9>757=99>0q~=?1;296~;48809>h52310955352z?046<5:l16>k651178yv57<3:1>v3<05816`=::o?1==;4}r131?6=:r78<84=2d9>6c?=99>0q~=?6;296~;48?09>h522g4955252z?042<5:l16>k851148yv5703:1>v3<09816`=::o=1==:4}r13=?6=:r78<44=2d9>6c1=99?0q~=?b;296~;48j09>h5231d955252z?04a<5:l16?=k51178yv57l3:1>v3<0d816`=:;9l1==;4}r127?6=:r78=94=2d9>741=99>0q~=>4;296~;49<09>h52304955352z?053<5:l16?<951178yv5613:1>v3<1`816`=:;8n1==:4}r12e?6=:r78=o4=2d9>74e=99?0q~=>b;296~;49j09>h5230f955352z?065<5:l16??=51168yv5583:1>v3<20816`=:;;81==;4}r115?6=:r78>?4=2d9>775=99?0q~==5;296~;4:?09>h5233;955252z?062<5:l16??651178yv55?3:1>v3<29816`=:;;31==;4}r11f?6=:r78>n4=2d9>77`=99>0q~==c;296~;4:m09>h5233g955352z?06`<5:l16??h51178yv5493:1>v3<33816`=:;:?1==:4}r106?6=:r78?>4=2d9>762=99?0q~=<3;296~;4;=09>h52327955352z?07=<5:l16?>l51168yv5403:1>v3<38816`=:;:k1==;4}r10=?6=:r78?l4=2d9>76d=99?0q~=h52353955252z?07c<5:l16?9?51178yv54n3:1>v3<41816`=:;=91==;4}r174?6=:r788<4=2d9>714=99?0q~=;1;296~;4<;09>h52351955252z?003<5:l16?9751168yv53>3:1>v3<46816`=:;=21==;4}r173?6=:r78854=2d9>71?=99?0q~=:1;296~X4=8168o=51118yv52i3:1=>u234c96dd<5:2j6<><;<1;4?77=278494>079>720=99>01>9l:026?851;3;;?63<5d8241=:;k;1===4=2;:>464349j;7??3:?1a2<68:16>hl5116897`628:>70;5kk0:<9522e:9555<5;n26<>;;<0`6?77;2wx?8l50;0x963f20<01>8?:30f?xu4=j0;6?u234a967c<5:<<6<>;;|q01a<72;q6?8j523g8963a28:?7p}<5d83>7}:;?k4=243>4623ty89k4?:3y>70`=:;o01>8?:025?xu4>80;6?u2373967c<5:<<6<>:;|q027<72;q6?;<523g8960?28:?7p}<6283>7}:;?91>?k4=24;>4623ty8:94?:3y>732=:;o01>;i:026?xu4><0;6?u2377967c<5:?o6<>:;|q023<72;q6?;8523g8963a28:=7p}<6683>7}:;?=1>?k4=27f>4623ty8:54?:3y>73>=:;o01>8?:027?xu4>00;6<;t=24:>7ge3493n7??4:?0<5<68=16?5:51158961e28:870=9c;330>;4=j0:<>523709552<5:<>6<><;<1bb?77;2785o4>059>7d>=99901?k?:026?84b=3;;?63<028246=::o81===4=3d6>463348h<7??3:?1gg<68<16>i75117897e428:87p}<6`83>7}:;?315;52367967c52z?02g<5:l16?;m51178yv51k3:1>v3<6b816`=:;>?1==;4}r15`?6=:r78:i4=2d9>724=99>0q~=9e;296~;4>l09>h52362955352z?02c<5:l16?:;51148yv5083:1>v3<71816`=:;>;1==:4}r145?6=:r78;<4=2d9>724=99?0q~=82;296~;4?;09>h52361955352z?036<5:l16?::51168yv50<3:1>v3<75816`=:;>?1==:4}r142?6=:r78;;4=2d9>725=99>0q~=87;296~;4?>09>h5237f955352z?03=<5:l16?;k51168yv5013:1>v3<78816`=:;?o1==;4}r14e?6=:r78;l4=2d9>727=99?0q~=8b;296~;4?k09>h52366955352z?03f<5:l16?;h51168yv50l3:1>v3<7e816`=:;?l1==;4}r14a?6=9;q6?:k52``896>428:870=9b;337>;4??0:<8523739555<5:<96<>:;<152?77;278n=4>029>7d?=99901?k?:027?84b>3;;?63<058246=::oh1==:4=3f6>464348h87??3:?1g3<68=16>n75116897ec28:?7p}<7g83>7}:;>o15;5239:967c52z?0<5<5:l16?5851168yv5?93:1>v3<80816`=:;1?1==:4}r1;6?6=:r784?4=2d9>7=3=99?0q~=73;296~;40:09>h52395955352z?0<1<5:l16?5651178yv5?=3:1>v3<84816`=:;1<1==;4}r1;2?6=:r784;4=2d9>7=1=99>0q~=77;296~;40>09>h5239:955252z?0?3:1?v3<9681eg=:;kk1===4=2a5>4643ty8554?:3y>7<1=0k16?4m523g8yv5>13:1>v3<98816`=:;0h1==;4}r1:e?6=:r785l4=2d9>7h5238a955254z?0e6<5:l16>h75111897b128:870{t;0o1<774b349jm7??5:p7<`=838p1>7i:30f?85f;3;;:6s|3`294?4|5:k;6?{t;h81<774b349j?7??5:p7d2=838p1>o;:30f?85fj3;;86s|3`794?4|5:k>6?{t;h=1<774b349j?7??4:p7d>=838p1>o7:30f?85>n3;;86s|3`;94?4|5:k26?{t;hh1<774b349j<7??5:p7de=83>p1>l;:30f?84b03;;?63=d68246=::mh1===4}r1b`?6=:r78mi4=2d9>7g2=99?0q~=ne;296~;4il09>h523c0955352z?0ec<5:l16?o=51178yv5e83:1>v37g5=99<0q~=m2;296~;4j;09>h523c6955252z?0f6<5:l16?o:51148yv5e=3:1>v372>=99>01>o;:027?84a>3;;96s|3c594?4|V:h<70=m8;;5?xu4j10;69u23859=3=:;k21>ll4=2`a>4633492m7??3:p7g?=838p1>l9:84896de2;8n7p}7}:;kk1>?k4=2`a>4623ty8o>4?:ey>7f5=:hh01>6=:026?85?<3;;963<7b8241=:;?n1==:4=253>463349i>7??4:?0ed<68=16?l>5116897c328:870;5nh0:<9522ec955552z\0g1=:;j215;5rs2a6>5<5s49h?779;<1`3?45m2wx?n850;0x96e12;8n70=l7;331>{t;j21<77ge349h;7??4:p073=838p1>l::84896>e2;8n7p};3783>3}Y<:<019l9:026?82>>3;;863;c48246=:<0:1===4=5cb>4623ty?9l4?:3y]00g<5=?j6?om;|q71f<72;q688o5839>00d=:;o0q~::e;296~;3=h02:63;5g816`=z{==i6=4={_64f>;31;0:<>5rs5:g>5<5sW>3h63;8d816`=z{=2m6=4={<6;a?77;27?5>4=2d9~w1?72909w0:60;01a>;31:0:<85rs5;2>5<5s4>2=7<=e:?7=6<68?1v97=:18182>:389i63;928242=z{=3?6=4={_6:0>;31?09>h5rs5;6>5<5s4>297<=e:?7=3<68<1v9o9:181[2f>27?mo4=2d9~w1g02909w0:n7;01a>;3ih0:<95rs5c;>5<5s4>j47<=e:?7ed<68?1v9o6:18182f1389i63;ac8240=z{=kj6=4={<6be?45m27?mo4>059~w1d52909wS:m2:?7f6<5:l1v9l::181[2e=27?n;4=2d9~w1d?2909wS:m8:?7g2<68:1v9m=:181[2d:27?o>4=2d9~w1e32909w0:l3;337>;3k109>h5rs5a6>5<5s4>h97<=e:?7g=<68<1v9m9:18182d>389i63;c98243=z{=i<6=4={<6`3?45m27?o54>069~w1c?290>wS:j8:?7f3<68=1684;5111891e128:870:61;337>{zj=8h6=4>3;4;>24|@=:97)=k7;37a>"6kl08h;5f8b83>>o6;m0;66g>6d83>>o6:10;66g;3783>>o0;3:17b?81;29?l20j3:17d?:6;29?j70m3:17d:j8;29?l2e03:17b?93;29?j73n3:17b:9c;29?j7d:3:17b<::188k7b=831d89850;9a041=83;1<7>t$2f4>4653A>::6F;039'04c=<;>0({e<=<1<7;52;4x 6b02oi0D9?9;I636>"6ko0?ik5f8c83>>o?l3:17d6=:188m<0=831d>ll50;9a6dd=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi82wx5;4?:3y]=3=::hh1=5<5sW2o70{t0k0;6?uQ8c9>6dd=0k1v5<50;0xZ=4<5;ki65m4}r336?6=:r79mo404d=::<0qpl=3683>0<52?q/?i95fb9K040<@=:97)?>3;48 4ea2=om7d6m:188m=b=831b4?4?::k:2?6=3f8jn7>5;c0bf?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:n7>51;294~"39l0?>95`22494?"39l09?;54}r0bf?6=:rT9mo522``96607}Y0m16>ll534;8yv>e2909wS6m;<0bf?>e3ty3>7>52z\;6>;5ik03o6s|11094?4|5;ki6>mn;<62f?44>2wvn?j50;796?0|,:n<6km4H535?M27:2.:ok4;eg9j5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40`94?7=83:p(9?j:507?j44>3:1(9?j:315?>{t:hh1<77}Y1?16>ll51038yv>c2909wS6k;<0bf?5212wx4o4?:3y]v3=ac80gd=:<8h1>>84}|`:7?6=;3818v*N39?1C8=<4$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c011?6=;3818v*N39?1C8=<4$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c3:0?6==381:v*N39?1C8=<4$0ae>1ca3`2i6=44i9f94?=n0;0;66g66;29?j4fj3:17o:i7?>1:9j:i76m;:k;g?6=,=;n65m4;h1`e?6=,=;n6>mn;:k01<<72->:i7=:9:9l660=83.?=h4=3798yg26j3:1=7>50z&75`<3:=1d>>850;&75`<5;?10q~ll52248yv?12909wS79;<0bf?7692wx4i4?:3y]5<5sW2i70vP72:?1egb;002>{zj8i96=4::385!5c?3lh7E:>6:J747=#9jl18hh4i9`94?=n0m0;66g72;29?l?12900c?om:188f7ge290=6=4?{%62a?`b3`;:=7>5$53f>47632c3n7>5$53f>=d<3`2h6=4+40g957>5$53f>63>32e9?;4?:%62a?44>21vn9?m:182>5<7s->:i7:=4:m173<72->:i7<<6:9~w7ge2909wS;|q;`?6=:rT3h63=ac801<=z{1h1<75348jn76l;|q247<72;q6>ll53bc8917e2;9=7psm17194?3=:3j8:ga8L1713A>;>6*>cg87ac=n0k0;66g7d;29?l>52900e4850;9l6dd=831i>ll50;494?6|,=;n6kk4i032>5<#<8o1=5<#<8o14o54i9a94?"39l03o65f3bc94?"39l08ol54i27:>5<#<8o1?874;n002?6=,=;n6?=9;:a04d=83;1<7>t$53f>1433f88:7>5$53f>75132wx>ll50;0xZ7ge348jn7<<6:p=3<72;qU5;522``954756s|8c83>7}Y0k16>ll58c9~w=4=838pR5<4=3ca>=e7>52z?1eg<4kh168nj6g7b;29?l>c2900e5<50;9j=3<722e9mo4?::`1eg<72?0;6=u+40g9b`=n98;1<7*;1d8254==n0j0;6):>e;:`?>o4kh0;6):>e;1`e>=n;<31<7*;1d801<=5}#<8o18?:4o315>5<#<8o1>>84;|q1eg<72;qU>ll4=3ca>7513ty2:7>52z\:2>;5ik0:=<5rs9f94?4|V1n01?om:27:?xu?j3:1>vP7b:?1eg5<5s48jn7=la:?75g<5;?1vqo?l9;291?4=>r.8h:4ic:J753=O<980(e2900e5j50;9j<7<722c2:7>5;n0bf?6=3k8jn7>56;294~"39l0mi6g>1083>!26m3;:=65f8c83>!26m32i76g7c;29 17b21i07d=la;29 17b2:ij76g<5883>!26m39>565`22494?"39l09?;54}c62f?6=93:152z\1eg=::hh1>>84}r;5>5<5sW3=70{t0m0;6?uQ8e9>6dd=;<30q~6m:181[>e348jn76m;|q;6?6=:rT3>63=ac8;g>{t9981<76ef34>:n7<<6:~f40f290>6?49{%1g3?`d3A>::6F;039'5f`=7>5;h;5>5<5<1290;w):>e;df?l7693:1(9?j:032?>o?j3:1(9?j:9`8?l>d290/8i5;?0;6):>e;002>=zj=;i6=4>:183!26m3>986a=3783>!26m388:65rs3ca>5<5sW8jn63=ac8173=z{0<1<7=d0383>7}::hh1?no4=53a>7513twi=5m50;796?0|,:n<6km4H535?M27:2.:ok4;eg9j5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40`94?7=83:p(9?j:507?j44>3:1(9?j:315?>{t:hh1<77}Y1?16>ll51038yv>c2909wS6k;<0bf?5212wx4o4?:3y]v3=ac80gd=:<8h1>>84}|`11?6=;3818v*N39?1C8=<4$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c345?6=;3818v*N39?1C8=<4$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c30g?6=;3818v*N39?1C8=<4$030>3=#9jl18hh4i9094?=n1?0;66a=ac83>>d5ik0;684=:7y'7a1=nm1/=nh54dd8k7ge2900e?7<:188m<0=831b4i4?::k;6?6=3klo6=49:183!26m3ln7d?>1;29 17b28;:76g7b;29 17b21h07d6l:18'04c=0j10e>mn:18'04c=;jk07d=:9;29 17b2:?276a=3783>!26m388:65rb53:>5<6290;w):>e;005>i5<;0;6):>e;002>=z{0<1<7534lo65m4}r:g>5<5sW2o70hk:27:?xu51:0;6?uQ28189cb=;jk0q~2;>97psm1;295?6=8r.?<<4;;%1g3?77:2.?=h4;259'5f`=>:18'04c=::<07p}=ac83>7}Y:hh01?om:3ca?xu>>3:1>vP66:?1eg<>>2wx4?4?:3y]<7=::hh14?5rs383>6}::hh1>4=4=3ca>=b<580:<<5+41391>{zj;3?6=4<:387!5c?3li7E:>6:J747=#9891:6*>cg87ac=n0;0;66g66;29?j4fj3:17or.8h:4id:&2gc<3mo1d>ll50;9j6<5=831b5;4?::k;`?6=3`296=44bgf94?0=83:p(9?j:gg8m476290/8e290/8290/83:1(9?j:315?>{e<831<7?50;2x 17b2;9:7b<;2;29 17b2;9=76s|9783>7}Y1?16ji4>109~w=4=838pR5<4=gf92wx8==50;0x9cb=0k168<752508yxd6290:6=4?{%635?2<,:n<6<>=;%62a?25<2.:ok4;eg9l557=83.?=h4=3798yv4fj3:1>vP=ac9>6dd=:hh0q~79:181[?1348jn779;|q;6?6=:rT3>63=ac8;6>{t:3:1?v3=ac81=6=::hh14i521;335>"3880>7psm28:94?5=:3>p(>j8:g`8L1713A>;>6*>1285?!7dn3>nj6g72;29?l?12900c?om:188f7ge290>6?49{%1g3?`c3-;hj7:jf:m1eg<722c95>4?::k:2?6=3`2o6=44i9094?=enm0;6;4?:1y'04c=nl1b=d32c8ol4?:%62a?5di21b?8750;&75`<4=010c?=9:18'04c=::<07pl;1883>4<729q/8>3:1>vP66:?e`?7692wx4?4?:3y]<7=:nm03o6s|8e83>7}Y0m16ji4<589~w7?42909wS<63:?e`?5di2wx>ll50;0xZ7ge34lo6?=9;|q746<72;q6ji47b:?75<<5<;1vqo?50;394?6|,=::695+3e59554<,=;n69<;;%3`b?2bn2e:<<4?:%62a?44>21v?om:181[4fj279mo4=ac9~w<0=838pR484=3ca><0;628::7):?1;78yxd3>m0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`22f<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f12>29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo:;a;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd34?:1y'7a1=::90D9?9;I636>"39l09??5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn?h50;194?6|,:n<6?=<;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}c3:2?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th:5:4?:283>5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e:h31<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi=5>50;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm19394?4=83:p(>j8:006?M26>2B?5<#<8o1==zj8296=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn<6<:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`220<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8?0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj8<36=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn<86:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`2g1<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj8i<6=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`735<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8"39l0cg87ac=n9991<7*;1d8254=j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/85<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`1e5<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj;k86=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn?o;:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg4f=3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo<6b;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c0:g?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{e:0n1<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi>4k50;694?6|,:n<6?=;;I622>N38;1/80483>!26m3;:=65f11494?"39l0:=<54o30f>5<#<8o1>>84;|`1=3<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/80;6>4?:1y'7a1=::90D9?9;I636>"39l09??5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn<;?:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg7293:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl>5383>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`216<72=0;6=u+3e59662<@=;=7E:?2:&75`<5;;1/=nh54dd8m463290/8o68?0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8i5:l0;6):>e;002>=zj8==6=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn<98:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg7003:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl>7883>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`23d<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=::90D9?9;I636>"39l09??5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn<9l:180>5<7s-9o;7=>;I622>N38;1/8;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb057>5<4290;w)=k7;007>N39?1C8=<4$53f>7553-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb0;3>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8o68=0;6):>e;325>=n99?1<7*;1d8254=5$53f>75132wi=5;50;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm19494?4=83:p(>j8:006?M26>2B?5<#<8o1==zj82<6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c3;=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th:444?:283>5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?8`83>6<729q/?i952218L1713A>;>6*;1d8177=#9jl18hh4i027>5<#<8o1=;:m16`<72->:i7<<6:9~f4>e290?6=4?{%1g3?553A>::6F;039'04c=;91/=nh54dd8m463290/8o68?0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8>l50;094?6|,:n<6<<:;I622>N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f75d29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo<0483>!26m3;:=65`23g94?"39l09?;54}c00a?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg44n3:1?7>50z&0`2<5;:1C8<84H521?!26m388>6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi>9>50;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm25394?5=83:p(>j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg7>13:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl>9`83>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e90h1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb0;`>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=55;294~"4l>08?6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921b==850;&75`<69810e<>8:18'04c=98;07b<=e;29 17b2;9=76sm18g94?5=83:p(>j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yv422909wS<:;<06>7ge3ty9h7>511y]6a=::m09mo52948240=:91:1==:4=0:2>46434;=97??4:?223<68:16=n:5116894e228:870<6f;331>;6=90:<>521679553<583;6<><;<3;e?77<279?44>029>5?m7??3:?2=2<68=16>l>51178941128:>70?7e;330>;60<0:<85222a9555<583h6<><;|q;g?6=9;qU4n524549<7=:::=14?522e8;6>;>;32970<=5;:1?87><32970?l2;:1?871;32970?8e;:1?87d132970?9a;:1?87?k32970<::908941621801<=l:90897?321801?77:908yv?42909w07<:3ca?84a28:?7p}64;296~;>;33=707::30f?xu6:10;6?uQ13:8945d20<0q~?:;<0:2?77=27:;>4>029>54g83>7}Y9=l01<;<:30f?xu6=90;6?u2142967c<58?96<>:;|q214<72;q6=8?523g8943428:=7p}>5383>7}:9<81>?k4=070>4633ty:9;4?:9y]500<5==;6<>;;<0b4?77<27:9<4>029>520=99>01<6j:026?87?=3;;863=418241=z{8<86=4={_357>;6>:09mo5rs047>5<5s4;=?779;<35=?45m2wx=;;50;0x94022;8n70?99;330>{t9?<1<774b34;=47??4:p531=838p1<88:30f?87103;;96s|17:94?4|58<36?{t9?h1<7<0<584633488h7??4:p527=838pR<9>;<345?4fj2wx=:<50;0x941620<01<9;:30f?xu6?:0;6?u2161967c<58=26<>:;|q230<72;q6=:;523g8941e28:?7p}>7783>7}:9><1>?k4=05b>4633ty:;:4?:3y>521=:;o01<9n:026?xu6?10;6?u216:967c<58=h6<>:;|q23<<72;q6=:7523g8941328:?7p}>7`83>7}:9>k1>?k4=05a>4623ty:;o4?:3y>52d=:;o01<9l:027?xu6?j0;6?u216a967c<58=?6<>:;|q23`<72;qU=:k4=05f>7ge3ty:;k4?:3y>52c=1?16=5=523g8yv7?83:1>v3>81816`=:9191==:4}r3;5?6=:r7:4<4=2d9>5=4=99?0q~?72;296~;60;09>h52191955352z?23`22909w0?75;01a>;6000:<95rs0:5>5<5s4;3:7<=e:?2<<<68<1v<68:18187??389i63>8c8241=z{8236=4={<3;079~w4>>2909w0?79;01a>;60h0:<85rs0:b>5<5s4;3m7<=e:?2818240=z{82o6=4={<3;a?45m27:5>4>049~w4>b2909w0?7f;01a>;61;0:<95rs0:e>5<5s4;2<7<=e:?2=7<68<1v<7?:18187>9389i63>928243=z{83:6=4={<3:6?45m27:5>4>059~w4?32909w0?64;0bf>;6=:0:<85rs0;6>5<5s4;28779;<3:3?45m2wx=4850;0x94?12;8n70?67;331>{t9021<7=t=0;7>=d<5=>=65l4=0;f>74b3ty:544?:3y>59;|q2=g<72;q6=4l523g894?c28:<7p}>9b83>7}:90i1>?k4=0;f>4623ty:5i4?:3y>5c283>7}:9j815;521b:967c52z?2g1<5:l16=n651168yv7d=3:1>v3>c4816`=:9j=1==:4}r3`2?6=:r7:o;4=2d9>5f1=99?0q~?l7;296~;6k>09>h521b:955352z?2g<<5ik16=n:51178yv7di3:1>v3>c88:2>;6kk09>h5rs306>5<2s48o6484=306>7ge34;h576m;<35e?>e348m6<>:;|q163<72:q6>?;5979>5=e=0k16=4=523g8yv4513:1nv3;168244=:<=<14i522259958;`>;6k;03h63>628;`>;6?l03h63>c88;`>;6>h03h63>8b8;`>{t::=1<78t=314>7ge348j=7??3:?232<68:16=4?5111894>128:870?6d;330>{t::21<7=d<5;>:6?>7523g8975c28:>7p}=3`83>7}:::k1>?k4=31f>4633ty9?o4?:3y>66d=:;o01?=j:026?xu5;j0;6?u222a967c<5;>:6<>:;|q17a<72;q6>>j523g8975a28:?7p}=3d83>7}:::o1>?k4=31e>4623ty9?k4?:3y>66`=:;o01?:?:026?xu5<90;6?u2252967c<5;>:6<>;;|q1=1<720q6>4:52``897g?28:870;5i:0:<9522849552<58=36<><;<3;b?77<27:454>029>66g=9990q~<65;296~;51=02:63=96816`=z{;3=6=4={<0:2?45m2795:4>049~w7??290hw0<68;0bf>;5i00:<95228d9552<5;k?6<><;<0b1?77<2795:4>059>523=99>01<96:027?87?n3;;963>868246=:::h1===4=0;:>4643ty9544?:3y>6<>=1?16>4k523g8yv4>i3:1>v3=9`816`=::h?1==;4}r0:f?6=:r795o4=2d9>6h5228f955252z?1=a<5:l16>4k51168yv4>n3:1>v3=9g816`=::0i1==:4}r0b4?6=:r79m=4=2d9>6d0=99>0q~h522`495537>52z?1e7<5:l16>4j51178yv4f;3:1>v3=a2816`=::0h1==:4}r0b0?6=:r79m94=2d9>6h5228g955352z?1e3<5:l16>4m51178yv4f?3:1>v3=a9816`=::h31==;4}r0be?6==r7:o?47b:?226463348j57<=e:p060=838pR9=9;<645?77;2wx89850;0xZ12134>?:7=838p19:9:848912e2;8n7p};4883>7}:<=31>?k4=56a>4633ty?8l4?:3y>01g=:;o019:m:026?xu3>j0;6?uQ47a8910c2;8n7p};6g83>7}:74b3ty?;=4?:3y>026=:;o0199=:027?xu3?80;6?u2463967c<5==96<>:;|q73g<72:qU8:l4=04`>46434;=;7??3:p0g>=839pR9l7;<3`f?77;27:o;4>029~w1c?290?wS:j8:?2>27:4?4>059>026=99?0qpl;2983><<603;owE:?2:&0`2<6891/=nk53e48k1c62900c98?:188m1512900c:=50;9jb<<722c84n4?::k0=4<722c85;4?::k24f<722h?=:4?:083>5}#;m=1==<4H535?M27:2.?=h4;259'5f`=>:18'04c=::<07pl;6183>0<52?q/?i95fb9K040<@=:97)?lf;6fb>o?j3:17d6k:188m=4=831b5;4?::m1eg<722h9mo4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75g<7280;6=u+40g90725;c0bf?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:n7>51;294~"39l0?>95`22494?"39l09?;54}r0bf?6=:rT9mo522``96607}Y0m16>ll534;8yv>e2909wS6m;<0bf?>e3ty3>7>52z\;6>;5ik03o6s|11094?4|5;ki6>mn;<62f?44>2wvn>7=:186>7<1s-9o;7hl;I622>N38;1/=nh54dd8m=d=831b4i4?::k;6?6=3`3=6=44o3ca>5<1;29 17b28;:76g7b;29 17b21h07d6l:18'04c=0j10e>mn:18'04c=;jk07d=:9;29 17b2:?276a=3783>!26m388:65rb53a>5<6290;w):>e;610>i5;?0;6):>e;002>=z{;ki6=4={_0bf>;5ik09?;5rs8494?4|V0<01?om:032?xu?l3:1>vP7d:?1eg<4=01v5l50;0xZ=d<5;ki65l4}r:1>5<5sW297078t$2f4>ce<@=;=7E:?2:&2gc<3mo1b4o4?::k;`?6=3`296=44i8494?=h:hh1<75m2``94?0=83:p(9?j:gg8m476290/8e290/8290/83:1(9?j:315?>{e<8h1<7?50;2x 17b2=8?7b<<6;29 17b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=98;0q~6k:181[>c348jn7=:9:p53;090~"4l>0mn6F;179K054<,8;86;5+1bd90``>i5ik0;66l=ac83>0<52?q/?i95fe9'5f`=7>5;cdg>5<1290;w):>e;df?l7693:1(9?j:032?>o?j3:1(9?j:9`8?l>d290/8i5;?0;6):>e;002>=zj=;26=4>:183!26m388=6a=4383>!26m388:65rs8494?4|V0<01kj51038yv>52909wS6=;=e7}Y:0901kj53bc8yv4fj3:1>vP=ac9>ba<5;?1v9><:1818`c21h019?6:361?x{e93:1=7>50z&744<33-9o;7??2:&75`<3:=1/=nh54dd8k466290/8>279mo466:p<7<72;qU4?522``9<7=z{;0;6>u22``96<5<5;ki65j4=08244=#<9;196srb6694?4=83:p(>j8:006?M26>2B?5<#<8o1==zj>?1<7=50;2x 6b02;987E:>6:J747=#<8o1>><4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo=m:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg21>3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl;6683>6<729q/?i952218L1713A>;>6*;1d8177=#9jl18hh4i027>5<#<8o1=;:m16`<72->:i7<<6:9~f16029086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj:3?6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c1:1?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{e;1l1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb2;3>5<4290;w)=k7;007>N39?1C8=<4$53f>7553-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/87>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl;e383>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e6:J747=#<8o1>><4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo:?9;297?6=8r.8h:4=329K040<@=:97):>e;006>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>7543A>::6F;039'04c=::80(o68<0;6):>e;325>=h:;o1<7*;1d8173=;n7>52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=::90D9?9;I636>"39l09??5+1bd90``5$53f>47632e9>h4?:%62a?44>21v>950;0x961=:hh01:;51168yv5>2909w0=8:90896>=:;o0q~=n:18185020<01>l523g8yv142902wS9<;<1:6??13493h779;<56>74b34>=:7??3:?742<68=16?4:5111896>a28:870:?b;337>{t?=0;6?u275816`=:?<0:<85rsg;94?0|Vo30198?:90896>c21801>7=:908916221801>651118yv77k3:1?vP>0b9>031=99>019>8:026?xu5:00;68u24059557<5=<;65j4=2:g>=b<5:3965j4=526>=b53z\04633ty84i4?:3y>7=b=:hh019>n:026?xu40l0;6?u239f9?k4}r1;b?6=:r784k4=2d9>7<6=99?0q~=61;297~X41816?4;51168916>28:?7p}<9383>7}:;081>ll4=52:>4623ty85>4?:3y>7<4=0k16?4;523g8yv5><3:1>v3<95816`=:;0?1==;4}r631?6=:r7?<84=ac9>0`4=9990q~:?6;296~;38<02:63;06816`=z{=:36=4<{<631?>e34>=<76m;<63`?45m2wx8=750;0x916>2;8n70:?c;330>{t<9k1<774b34>;o7??5:p05d=838p19>m:30f?827l3;;96s|41a94?4|5=:h6?850;6xZ15134=?6<><;<1a>46434>n?7??4:p036=838pR98?;<654?4fj2wx8;;50;0x910720<01988:30f?xu3>?0;6?u2474967c<5=<<6<>:;|q7a4<72;qU8h?4=5g0>74b3ty?i?4?:3y>0`4=:;o019k<:026?x{e<;31<7?::c:9g1}O<980(>j8:06g?!7dm39o:6a>3e83>>o3m80;66a;3b83>>o3>90;66g>ce83>>i6:10;66gn8;29?l2fl3:17d?81;29?l>>2900e5=50;9l02d=831b=;j50;9j2g<722e?n54?::k2gf<722c?884?::m72=<722e?;>4?::m11?6=3f>h57>5;c623?6=93:1=;%62a?25<2.:ok4;eg9l557=83.?=h4=3798yg2d13:197<56z&0`25;c0bf?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:n7>51;294~"39l0?>95`22494?"39l09?;54}r0bf?6=:rT9mo522``96607}Y0m16>ll534;8yv>e2909wS6m;<0bf?>e3ty3>7>52z\;6>;5ik03o6s|11094?4|5;ki6>mn;<62f?44>2wvno?50;196?2|,:n<6kl4H535?M27:2.:ok4;eg9j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4l>0mh6*>cg87ac=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=;n6kk4i032>5<#<8o1=5<#<8o14o54i9a94?"39l03o65f3bc94?"39l08ol54i27:>5<#<8o1?874;n002?6=,=;n6?=9;:a04?=83;1<7>t$53f>7563f8?>7>5$53f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970?52z\1=6=:nm08ol5rs3ca>5<5sW8jn63id;002>{t<991<79<4}|`2>5<6290;w):?1;68 6b028:97):>e;610>"6ko0?ik5`11394?"39l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&744<23twio84?:281>1}#;m=1jo5G4048L1653-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?353;090~"4l>0mn6F;179K054<,8im69ki;h:1>5<56;294~"39l0mi6g>1083>!26m3;:=65f8c83>!26m32i76g7c;29 17b21i07d=la;29 17b2:ij76g<5883>!26m39>565`22494?"39l09?;54}c62=?6=93:1{t:091<77}Y:hh01kj52248yv27;3:1>v3id;:a?826138?>6srb083>4<729q/8=?54:&0`2<68;1/8{t1?0;6?uQ979>6dd=1?1v5<50;0xZ=4<5;ki65<4}r094?5|5;ki6?7<;<0bf?>c34;1==?4$522>0=zukho6=4<:387!5c?3li7E:>6:J747=#9jl18hh4i9094?=n1?0;66a=ac83>>d5ik0;684=:7y'7a1=nm1/=nh54dd8k7ge2900e?7<:188m<0=831b4i4?::k;6?6=3klo6=49:183!26m3ln7d?>1;29 17b28;:76g7b;29 17b21h07d6l:18'04c=0j10e>mn:18'04c=;jk07d=:9;29 17b2:?276a=3783>!26m388:65rb53:>5<6290;w):>e;005>i5<;0;6):>e;002>=z{0<1<7534lo65m4}r:g>5<5sW2o70hk:27:?xu51:0;6?uQ28189cb=;jk0q~2;>97psm1;295?6=8r.?<<4;;%1g3?77:2.?=h4;259'5f`=>:18'04c=::<07p}=ac83>7}Y:hh01?om:3ca?xu>>3:1>vP66:?1eg<>>2wx4?4?:3y]<7=::hh14?5rs383>6}::hh1>4=4=3ca>=b<580:<<5+41391>{zjk31<7=52;6x 6b02oh0D9?9;I636>"6ko0?ik5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40;94?7=83:p(9?j:312?j43:3:1(9?j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj534;8yv4>;3:1>vP=929>ba<4kh1v?om:181[4fj27mh7<<6:p055=838p1kj58c9>04?=:=80qpl>:182>5<7s->;=7:4$2f4>4653->:i7:=4:&2gc<3mo1d==?50;&75`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``9"6ko0?ik5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40;94?7=83:p(9?j:312?j43:3:1(9?j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj534;8yv4>;3:1>vP=929>ba<4kh1v?om:181[4fj27mh7<<6:p055=838p1kj58c9>04?=:=80qpl>:182>5<7s->;=7:4$2f4>4653->:i7:=4:&2gc<3mo1d==?50;&75`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``94=:5y'7a1=nk1C8<84H521?!7dn3>nj6g72;29?l?12900c?om:188f7ge290>6?49{%1g3?`c3-;hj7:jf:m1eg<722c95>4?::k:2?6=3`2o6=44i9094?=enm0;6;4?:1y'04c=nl1b=d32c8ol4?:%62a?5di21b?8750;&75`<4=010c?=9:18'04c=::<07pl;1883>4<729q/8>3:1>vP66:?e`?7692wx4?4?:3y]<7=:nm03o6s|8e83>7}Y0m16ji4<589~w7?42909wS<63:?e`?5di2wx>ll50;0xZ7ge34lo6?=9;|q746<72;q6ji47b:?75<<5<;1vqo?50;394?6|,=::695+3e59554<,=;n69<;;%3`b?2bn2e:<<4?:%62a?44>21v?om:181[4fj279mo4=ac9~w<0=838pR484=3ca><0;628::7):?1;78yxd6:10;6>4=:5y'7a1=nk1C8<84H521?!7dn3>nj6g72;29?l?12900c?om:188f7ge290>6?49{%1g3?`c3-;hj7:jf:m1eg<722c95>4?::k:2?6=3`2o6=44i9094?=enm0;6;4?:1y'04c=nl1b=d32c8ol4?:%62a?5di21b?8750;&75`<4=010c?=9:18'04c=::<07pl;1883>4<729q/8>3:1>vP66:?e`?7692wx4?4?:3y]<7=:nm03o6s|8e83>7}Y0m16ji4<589~w7?42909wS<63:?e`?5di2wx>ll50;0xZ7ge34lo6?=9;|q746<72;q6ji47b:?75<<5<;1vqo?50;394?6|,=::695+3e59554<,=;n69<;;%3`b?2bn2e:<<4?:%62a?44>21v?om:181[4fj279mo4=ac9~w<0=838pR484=3ca><0;628::7):?1;78yxda:3:1?7<54z&0`2ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg53m3:1?7<54z&0`2ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg1d29086?4;{%1g3?`e3A>::6F;039'5f`=5<5$53f>47632c3n7>5$53f>=d<3`2h6=4+40g957>5$53f>63>32e9?;4?:%62a?44>21vn9?6:182>5<7s->:i7<<1:m107<72->:i7<<6:9~w<0=838pR484=gf9547c34lo6>;6;|q1=6<72;qU>4=4=gf97fg52z\1eg=:nm09?;5rs520>5<5s4lo65l4=53:>7253twi=7>51;294~"3880?7)=k7;336>"39l0?>95+1bd90``{t0;0;6?uQ839>6dd=0;1v?4?:2y>6dd=:0901?om:9f894<6881/8=?55:~f16a29086?4;{%1g3?`e3A>::6F;039'5f`=5<5$53f>47632c3n7>5$53f>=d<3`2h6=4+40g957>5$53f>63>32e9?;4?:%62a?44>21vn9?6:182>5<7s->:i7<<1:m107<72->:i7<<6:9~w<0=838pR484=gf9547c34lo6>;6;|q1=6<72;qU>4=4=gf97fg52z\1eg=:nm09?;5rs520>5<5s4lo65l4=53:>7253twi=7>51;294~"3880?7)=k7;336>"39l0?>95+1bd90``{t0;0;6?uQ839>6dd=0;1v?4?:2y>6dd=:0901?om:9f894<6881/8=?55:~f7:t$2f4>cd<@=;=7E:?2:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|a0g>=8391>7:t$2f4>cd<@=;=7E:?2:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|a02d=8391>7:t$2f4>cd<@=;=7E:?2:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|a06e=8391>7:t$2f4>cd<@=;=7E:?2:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|a03>=8391>7:t$2f4>cd<@=;=7E:?2:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|ab4<72:0969u+3e59bg=O<8<0D9>=;%3`b?2bn2c3>7>5;h;5>5<5<22;0=w)=k7;dg?!7dn3>nj6a=ac83>>o51:0;66g66;29?l>c2900e5<50;9aba<72?0;6=u+40g9b`=n98;1<7*;1d8254==n0j0;6):>e;:`?>o4kh0;6):>e;1`e>=n;<31<7*;1d801<=5}#<8o1>>?4o361>5<#<8o1>>84;|q:2?6=:rT2:63id;325>{t0;0;6?uQ839>ba5<5sW82?63id;1`e>{t:hh1<77}:nm03n63;188107=zuk;1<7?50;2x 1662=1/?i951108 17b2=8?7)?lf;6fb>i6880;6):>e;002>=z{;ki6=4={_0bf>;5ik09mo5rs8494?4|V0<01?om:848yv>52909wS6=;<0bf?>53ty96=4<{<0bf?4>;279mo47d:?2>4663->;=7;4}|`00a<72:0969u+3e59bg=O<8<0D9>=;%3`b?2bn2c3>7>5;h;5>5<5<22;0=w)=k7;dg?!7dn3>nj6a=ac83>>o51:0;66g66;29?l>c2900e5<50;9aba<72?0;6=u+40g9b`=n98;1<7*;1d8254==n0j0;6):>e;:`?>o4kh0;6):>e;1`e>=n;<31<7*;1d801<=5}#<8o1>>?4o361>5<#<8o1>>84;|q:2?6=:rT2:63id;325>{t0;0;6?uQ839>ba5<5sW82?63id;1`e>{t:hh1<77}:nm03n63;188107=zuk;1<7?50;2x 1662=1/?i951108 17b2=8?7)?lf;6fb>i6880;6):>e;002>=z{;ki6=4={_0bf>;5ik09mo5rs8494?4|V0<01?om:848yv>52909wS6=;<0bf?>53ty96=4<{<0bf?4>;279mo47d:?2>4663->;=7;4}|`4=?6=;3818v*N39?1C8=<4$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c63a?6=;3818v*N39?1C8=<4$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c;;>5<42;0?w)=k7;da?M26>2B?>i5ik0;66l=ac83>0<52?q/?i95fe9'5f`=7>5;cdg>5<1290;w):>e;df?l7693:1(9?j:032?>o?j3:1(9?j:9`8?l>d290/8i5;?0;6):>e;002>=zj=;26=4>:183!26m388=6a=4383>!26m388:65rs8494?4|V0<01kj51038yv>52909wS6=;=e7}Y:0901kj53bc8yv4fj3:1>vP=ac9>ba<5;?1v9><:1818`c21h019?6:361?x{e93:1=7>50z&744<33-9o;7??2:&75`<3:=1/=nh54dd8k466290/8>279mo466:p<7<72;qU4?522``9<7=z{;0;6>u22``96<5<5;ki65j4=08244=#<9;196srb05g>5<42;0?w)=k7;da?M26>2B?"6ko0?ik5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40;94?7=83:p(9?j:312?j43:3:1(9?j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj534;8yv4>;3:1>vP=929>ba<4kh1v?om:181[4fj27mh7<<6:p055=838p1kj58c9>04?=:=80qpl>:182>5<7s->;=7:4$2f4>4653->:i7:=4:&2gc<3mo1d==?50;&75`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``94=:5y'7a1=nk1C8<84H521?!76;3<0(52900e4850;9l6dd=831i>ll50;796?0|,:n<6kj4$0ae>1ca3f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?=h4ie:k254<72->:i7?>1:9j:i76m;:k;g?6=,=;n65m4;h1`e?6=,=;n6>mn;:k01<<72->:i7=:9:9l660=83.?=h4=3798yg2613:1=7>50z&75`<5;81d>9<50;&75`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5212wx>4=50;0xZ7?434lo6>mn;|q1eg<72;qU>ll4=gf9660;?7>52z?e`?>e34>:57<;2:~f4<7280;6=u+41390>"4l>0:7<3s-9o;7hm;I622>N38;1/=<=56:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|a5<`=8391>7:t$2f4>cd<@=;=7E:?2:&256<13-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?31}#;m=1jo5G4048L1653-;:?784$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c3a2?6=;3818v*N39?1C8=<4$030>3=#9jl18hh4i9094?=n1?0;66a=ac83>>d5ik0;684=:7y'7a1=nm1/=nh54dd8k7ge2900e?7<:188m<0=831b4i4?::k;6?6=3klo6=49:183!26m3ln7d?>1;29 17b28;:76g7b;29 17b21h07d6l:18'04c=0j10e>mn:18'04c=;jk07d=:9;29 17b2:?276a=3783>!26m388:65rb53:>5<6290;w):>e;005>i5<;0;6):>e;002>=z{0<1<7534lo65m4}r:g>5<5sW2o70hk:27:?xu51:0;6?uQ28189cb=;jk0q~2;>97psm1;295?6=8r.?<<4;;%1g3?77:2.?=h4;259'5f`=>:18'04c=::<07p}=ac83>7}Y:hh01?om:3ca?xu>>3:1>vP66:?1eg<>>2wx4?4?:3y]<7=::hh14?5rs383>6}::hh1>4=4=3ca>=b<580:<<5+41391>{zjji1<7=51;1xL1653-9o;7?k3:k:g?6=3`3o6=44o2a:>5<:96F;179K054<,=;n69?;;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?k27?>?4>049~w4633ty8o44?:3y]7f?<5=896?uG4108 6b028n87d7l:188mt$2f4>1723A>::6F;039'04c=<8>0(o68<0;6):>e;325>=h:;o1<7*;1d8173=7}Y1m168?<51168yv5d13:1>vP074=:;o0qplla;297?7=;rB?>i4k00;66l;2383>6<729q/?i954078L1713A>;>6*;1d8751=#9jl18hh4i027>5<#<8o1=;:m16`<72->:i7<<6:9~w4623ty2h7>52z\:`>;3:;0:<95rs2a:>5<5sW9h563;23816`=zuki26=4<:080M27:2.8h:4>d29j=f<722c2h7>5;n1`=?6=3k>9>7>53;294~"4l>0?=85G4048L1653->:i7:>4:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76s|9b83>7}Y1j168?<51178yv?c2909wS7k;<616?77<2wx?n750;0xZ6e>34>9>7<=e:~f62d29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj>21<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi85<50;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm4cd94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=1098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f2c=8381<7>t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8;?:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg2?<3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl;c083>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rbb594?4=83:p(>j8:006?M26>2B?5<#<8o1==zjj21<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wio>4?:383>5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/87>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pll0;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c`a>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vnnk50;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76smd183>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`g6?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg`029096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo9m:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg20<3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl;7483>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e<><1<7=50;2x 6b02;987E:>6:J747=#<8o1>><4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo:9b;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c3aN39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg7e13:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f4dc29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj8hn6=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?bg83>1<729q/?i95339K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54i025>5<#<8o1=t$2f4>7543A>::6F;039'04c=::80(o68<0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo?n2;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd6i:0;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rbd494?4=83:p(>j8:006?M26>2B?5<#<8o1==zjl=1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rbd:94?4=83:p(>j8:006?M26>2B?5<#<8o1==zjl31<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rbdc94?4=83:p(>j8:006?M26>2B?5<#<8o1==zjlh1<7950;2x 6b02:?0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3`;;:7>5$53f>47632c:<:4?:%62a?76921b==650;&75`<69810e<>6:18'04c=98;07b<=e;29 17b2;9=76sm4ec94?3=83:p(>j8:218L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=;:k242<72->:i7?>1:9l67c=83.?=h4=3798yg2cj3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=oo7>52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm4ed94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e9h=1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb0cf>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f4d529096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo?m3;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd6j=0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg7f03:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo?na;290?6=8r.8h:4<2:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65f11494?"39l0:=<54o30f>5<#<8o1>>84;|`2eg<72=0;6=u+3e59662<@=;=7E:?2:&75`<5;;1/=nh54dd8m463290/8o68?0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo?nd;297?6=8r.8h:4=329K040<@=:97):>e;006>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=i5:l0;6):>e;002>=zj;k1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb3`94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=i5:l0;6):>e;002>=zj=9n6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c60b?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th?8=4?:283>5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb562>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f44f29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo?=c;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd6:m0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj88m6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c304?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th:?<4?:283>5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb011>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f454290?6=4?{%1g3?553A>::6F;039'04c=;91/=nh54dd8m463290/8o68?0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>09?>5G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm4e294?1=83:p(>j8:278L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=;:k242<72->:i7?>1:9j55>=83.?=h4>1098m46>290/8{e7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb5f1>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=o?7>52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f1b1290?6=4?{%1g3?553A>::6F;039'04c=;91/=nh54dd8m463290/8o68?0;6):>e;325>=h:;o1<7*;1d8173=o;7>53;294~"4l>09?>5G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm4e:94?2=83:p(>j8:208L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=;:m16`<72->:i7<<6:9~fd6=8391<7>t$2f4>7543A>::6F;039'04c=::80(o68<0;6):>e;325>=h:;o1<7*;1d8173=1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}cc1>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}cc7>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=6=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vnl850;494?6|,:n<6>:4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07d??6;29 17b28;:76g>0683>!26m3;:=65f11:94?"39l0:=<54o30f>5<#<8o1>>84;|`b3?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{el=0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=1<729q/?i95339K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54i025>5<#<8o1=1098k74b290/85}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?1098k74b290/85}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?1098k74b290/85}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?0483>!26m3;:=65f11494?"39l0:=<54o30f>5<#<8o1>>84;|`g1?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{el?0;694?:1y'7a1=;;1C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5$53f>75132wih:4?:283>5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rbc194?4=83:p(>j8:006?M26>2B?5<#<8o1==zjk>1<7;50;2x 6b02;9>7E:>6:J747=#<8o1>><4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921b==850;&75`<69810e<>8:18'04c=98;07b<=e;29 17b2;9=76smb483>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{ej?0;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qol7:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`7<3<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f1>?29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo:79;297?6=8r.8h:4=329K040<@=:97):>e;006>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=1<7>t$2f4>64<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/83:1(9?j:032?>i5:l0;6):>e;002>=zj=2i6=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn96l:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`270<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8850;194?6|,:n<6?=<;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}c303?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th:?54?:283>5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb01:>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f45f29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj89i6=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?3g83>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e9=?1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb065>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo?;8;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd6<00;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg73j3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo?;0;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c375?6=<3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9j550=83.?=h4>1098k74b290/80D9?9;I636>"39l09??5+1bd90``5$53f>47632c:<;4?:%62a?76921d>?k50;&75`<5;?10qo?;3;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c370?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{t:<0;6?uQ249>60<5ik1v?650;0x973=1?16>n4=2d9~w7?=838p1?7523g897d=99>0q~v3=b;01a>;5k3;;96s|6c83>1}Y>k168:;5111894d>28:>70o9:026?xu0?3:1?v388;01a>;6io0:<>5213f95557ge34=36<>:;|q4e?6=ir7<576=;<6a534>534>8o76=;<63a?>5349?h76=;<34`?>5343365<4=6`967c7ge34=36<>;;|q4`?6=;r7<0<5>o1>?k4}r:0>5<6=rT3?63;c88;6>;e932970m::9089f7=0;16ni472:?a=?>534;8h76=;<06>=4<588365<4=g09<7=:;=o14?527b8;6>;38o03>6369;:1?8c3218014k5839>5<`=0;16=l;5839>5g0=0;16;o4>029~w=?=83?pR574=g59555<58hj6<><;<3a7?77;27:?=4>029~w<>=839p14652``894g028:870?=a;337>{t100;6>u29881eg=:9ho1===4=00`>4643ty2m7>53z?:=??134336484=8`967c7ge34><87??3:p=c<72;q65h466:?b3?45m2wxm=4?:3y>e5<5:l16m84>049~wd7=838p1l?523g89d0=99>0q~o=:1818g52;8n70o9:025?xuf;3:1>v3n3;01a>;f>3;;;6s|a583>7}:i=09>h52a7824==z{h?1<75<5s4k=6?4623tyj47>52z\b<>;a933=7p}nc;29e~;e938jn63l9;;`?8eb28:>70k9:020?82ci3;;;63;d18241=:<0<5k21>?k4}r`0>5<5s4h86?4623tyi87>52z?a0?45m27i;7??4:pf0<72;q6n84=2d9>f2<68<1vo850;0x9g0=:;o01o651168yvd02909w0l8:30f?8d?28:>7p}nd;29e~;e138jn63l9;;g?8eb28:?70k7:020?82cj3;;863;d18240=:<0<5ki1>?k4}r`a>5<5s4hi6?4623tyji7>59z?a`?4fj27hm77k;46334oj6<><;<6gf?77=27?h=4>079>0a5=99901ij511189g2=99?0q~lj:1818dc20<01n>523g8yvda2909w0li:30f?8e728:>7p}nf;29<~;d938jn63lb;;g?8b528:?70k6:020?82ck3;;?63;d48246=:lj0:<>52b58243=z{j81<7h5rsb194?4|5j91>?k4=b695537ge34ih64j4=d`9553<5=no6<><;<6g0?77;27o47??6:?gf?77;27i87??7:pg3<72;q6o8466:?`g2<5:l16o54>049~wfb=839p1no59b9>g`<5:l16h=4>049~wf`=839p1nl59b9>`5<5:l16h?4>049~wa7=838p1nm59b9>`7<5:l1vi=50;7x9f1=99901n=511189g`=99901ol511189a1=:;o0q~j;:1818b32;8n70jj:026?xuc=3:1>v3k5;01a>;c>3;;96s|d783>7}:l?09>h52d68240=z{m21<75<5s4n26?4623tyom7>52z?ge?45m27oj7??4:p`g<72;q6ho4=2d9>`c<68?1vim50;0x9ae=:;o01i851168yvbc2909w0jk:30f?8b128:=7p}ke;296~;cm389i63k7;330>{tlo0;6?u2dg816`=:l<0:<85rsd294?4|5j31?n74=ca95526e>34i;6<>;;|qf6?6=:r7hn7=l9:?`0?77<2wxi>4?:3y>gf<4k016o54>059~w`2=839p1h:52``894d728:870?=e;337>{tm<0;6?u2e58:2>;bj389i6s|e783>7}:m?09>h52ec8241=z{l=1<75<5s4o36?46?3tyn57>52z?f=?45m27nn7??7:pad<72;q6il4=2d9>ag<6801vk?50;6x9c4=1?16j<4=ac9>`1<68:16n>4>029~wc4=839p1k<52``89ac=99>01o851168yv`?2909w0h>:9089c1=:;o0q~?=8;290~X6:116=?652``891bf28:?70:k0;333>{t9;31<7:t=00a>74b34;847??4:?20=<68:16=9o51168yv75i3:1>v3>2`816`=:9:;1==:4}r31g?6=:r7:>n4=2d9>567=99?0q~?=d;296~;6:m09>h52121955252z?26`<5:l16=><51168yv75n3:1>v3>2g816`=:9:81==;4}r304?6=:r7:?=4=2d9>57d=99?0q~?<1;296~;6;809>h5212195537>52z?277<5:l16=>=51148yv74;3:1>v3>32816`=:9;h1==:4}r300?6=:r7:>5466:?27g<5:l1v<=::181874=389i63>398240=z{89=6=4={<302?45m27:?44>059~w4502909w0?<7;01a>;6;00:<85rs01;>5<5s4;847<=e:?27d<68<1v<=6:1818741389i63>3c8240=z{89j6=4={<30e?45m27:?o4>059~w45c2908wS?v3>3e8:2>;6<=09>h5rs01e>5<5s4;8j7<=e:?20d<68<1v<:?:1818738389i63>438240=z{8>:6=4={<375?45m27:894>049~w4252909w0?;2;01a>;6<:0:<85rs060>5<5s4;??7<=e:?201<68=1v<:::181873=389i63>4c8240=z{8>=6=4={<372?45m27:8?4>079~w4202909w0?;7;01a>;6<80:<85rs06;>5<5s4;?47<=e:?20f<68<1v<:6:1818731389i63>408243=z{8>j6=4={<37e?45m27:8=4>049~w42e2909w0?;b;01a>;6<;0:<95rs06`>5<5s4;?o7<=e:?204<68=1v<8k:181[71l27?4?4>059~w4162909wS?81:?23a<>>2wx=:j50;7x941c2;ki70?m9;330>;513;;863n1;337>;6;?0:<95rs0;e>5;6j=0:<>522`8246=:<=:1==:4=`09555<5=2<6<><;<6;=?77<27:?:4>029>513=99901<:8:027?87383;;86s|1`294?4|583m6484=0c7>74b3ty:m<4?:3y>5d7=:;o01:;|q2e6<72;q6=l=523g894g328:>7p}>a483>c}:9h?1>ll4=54a>46334;i47??5:?2fg<68:16=l=5116894gd28:?70;f;3;;?63;8c8241=:9:k1==:4=01e>46434;?;7??5:?20g<68=1v{t9h=1<774b34;i97??4:p5d>=838p1{t9hh1<774b34;jo7??5:p5de=838p1{t9k:1<774b34;j47??4:p5g7=838p1:30f?87f03;;96s|1c094?4|58h96?{t9k>1<774b34;j57??5:p5g3=838p1;6i00:<952288240=:<:o1===4=`29553<5h>1===4=5:5>46434>3m7??5:?270<68:16=>851178942128:870?;c;330>{t9k=1<7<0<58i;6?b883>7}:9k31>?k4=0`g>4633ty:nl4?:3y>5gg=:;o01;;|q2ff<72;q6=om523g894da28:=7p}>be83>7}:9kn1>?k4=0`f>4623ty:nh4?:3y>5gc=:;o01:;|q2gf<72;qU=nm4=5`e>4633ty:oi4?:2y]5fb<5h?1==:4=5:;>4643ty9>44?:3y>041=99;019m6:9f8yv53j3:1?v3<4b816`=:9k;1===4=00e>4643ty88i4?:3y>71b=:hh01>:l:026?xu4h6<>;;|q00c<72:q6?9k5979>71b=1?16?8>523g8yv27m3:1?v3;0d81eg=:46?3ty?05`=:hh019jn:026?82c83;;56s|40294?5|5=:m6484=52f><0<5=;:6?m4=51`>7ge3ty??i4?:3y>06e=1?1689?523g8yv24m3:1>v3;3d816`=:<=:1==;4}r60b?6=:r7??k4=2d9>017=99?0q~:;0;296~;3<909>h524539552?97>53z\700=:?l0:<>5215;9555=<7>52z\725=:1k0:<>5rs54;>5<5sW>=463;6981eg=z{==n7<=e:p025=838pR99<;<642?45m2wx8::50;0x91132;8n70:86;330>{t<>?1<774b34><:7??5:p02d=838pR99m;<64f?4fj2wx85?50;0x91>52;8n70:74;337>{t<191<7<0<5=2?6?70:7c;01a>{t<1<1<774b34>357??5:p0=1=838p1968:30f?82?i3;;86s|49:94?4|5=236?2wx85750;0x91>>2;8n70:7b;331>{t<1k1<774b34>3o7??5:p0=d=838p196m:30f?82?k3;;86s|4`f94?4|V=ko70=:0;337>{t50;0x91d?20<019m>:30f?xu3k00;6>uQ4b;891e>2;ki70k8:020?xu3km0;6?u24b;9=3=:?k4}r6`b?6=:r7?o447b:?7`=<5:l1v9j?:18182c8389i63;d68241=z{=n:6=4={<6g5?45m27?h;4>049~w1b52909w0:k2;01a>;3l?0:<95rs5f0>5<5s4>o?7<=e:?7`3<68?1v9j;:18182c<389i63;d98241=z{=n>6=4={<6g1?45m27?h54>079~w1b12909w0:k6;01a>;3l>0:<85rs5f4>5<5s4>o;7<=e:?7`=<68<1v9j6:18182dm3;;?63;e1816`=z{=nj6=4={<6ge?45m27?i=4>059~w1be2909w0:kb;01a>;3lo0:<95rs5f`>5<5s4>oo7<=e:?7``<68=1v9jk:18182cl389i63;dd8240=z{=nn6=4={<6ga?45m27?hk4>049~w1ba2909w0:kf;01a>;3m90:<85rs5g2>5<5sW>n=63;108246=zuk>9:7>58;4`>3`|@=:97)=k7;:f?!7dm39o:6a>o?k3:17b=m6;29?j2283:17do7:188m11?2900c9l>:188k3d=831i8<950;394?6|,:n<6<>=;I622>N38;1/8j8:ga8L1713A>;>6*>1285?!7dn3>nj6g7b;29?l>c2900e5<50;9j=3<722e9mo4?::`1eg<72?0;6=u+40g9b`=n98;1<7*;1d8254==n0j0;6):>e;:`?>o4kh0;6):>e;1`e>=n;<31<7*;1d801<=5}#<8o18?:4o315>5<#<8o1>>84;|q1eg<72;qU>ll4=3ca>7513ty2:7>52z\:2>;5ik0:=<5rs9f94?4|V1n01?om:27:?xu?j3:1>vP7b:?1eg5<5s48jn7=la:?75g<5;?1vqo=jc;291?4=>r.8h:4ic:J753=O<980(7>5;h;5>5<5<1290;w):>e;df?l7693:1(9?j:032?>o?j3:1(9?j:9`8?l>d290/8i5;?0;6):>e;002>=zj=;i6=4>:183!26m3>986a=3783>!26m388:65rs3ca>5<5sW8jn63=ac8173=z{0<1<7=d0383>7}::hh1?no4=53a>7513twi?h650;796?0|,:n<6km4H535?M27:2.:=>49;%3`b?2bn2c3n7>5;h:g>5<>i5ik0;66l=ac83>3<729q/81098m=d=83.?=h47b:9j:i76l;:k0gd<72->:i7=la:9j70?=83.?=h4<5898k751290/80c?=9:18'04c=::<07p}=ac83>7}Y:hh01?om:315?xu>>3:1>vP66:?1eg<6981v5j50;0xZ=b<5;ki6>;6;|q;f?6=:rT3n63=ac8;f>{t0;0;6?uQ839>6dd=0j1v<>=:18184fj39hm63;1c8173=zuk9n?7>55;092~"4l>0mo6F;179K054<,8;86;5+1bd90``>o?:3:17d79:188k7ge2900n?om:185>5<7s->:i7hj;h325?6=,=;n6;:k;f?6=,=;n65l4;h:`>5<#<8o14n54i2ab>5<#<8o1?no4;h16=?6=,=;n6>;6;:m173<72->:i7<<6:9~f17e290:6=4?{%62a?25<2e9?;4?:%62a?44>21v?om:181[4fj279mo4=379~w<0=838pR484=3ca>4763ty3h7>52z\;`>;5ik08945rs9`94?4|V1h01?om:9`8yv>52909wS6=;<0bf?>d3ty:6dd=;jk019?m:315?x{e9l21<7;52;4x 6b02oi0D9?9;I636>"6ko0?ik5f8c83>>o?l3:17d6=:188m<0=831d>ll50;9a6dd=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi82wx5;4?:3y]=3=::hh1=5<5sW2o70{t0k0;6?uQ8c9>6dd=0k1v5<50;0xZ=4<5;ki65m4}r336?6=:r79mo404d=::<0qpl>e883>0<52?q/?i95fb9K040<@=:97)?lf;6fb>o?j3:17d6k:188m=4=831b5;4?::m1eg<722h9mo4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75g<7280;6=u+40g90725;c0bf?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:n7>51;294~"39l0?>95`22494?"39l09?;54}r0bf?6=:rT9mo522``96607}Y0m16>ll534;8yv>e2909wS6m;<0bf?>e3ty3>7>52z\;6>;5ik03o6s|11094?4|5;ki6>mn;<62f?44>2wvn7<1s-9o;7hl;I622>N38;1/=nh54dd8m=d=831b4i4?::k;6?6=3`3=6=44o3ca>5<1;29 17b28;:76g7b;29 17b21h07d6l:18'04c=0j10e>mn:18'04c=;jk07d=:9;29 17b2:?276a=3783>!26m388:65rb53a>5<6290;w):>e;610>i5;?0;6):>e;002>=z{;ki6=4={_0bf>;5ik09?;5rs8494?4|V0<01?om:032?xu?l3:1>vP7d:?1eg<4=01v5l50;0xZ=d<5;ki65l4}r:1>5<5sW297078t$2f4>ce<@=;=7E:?2:&2gc<3mo1b4o4?::k;`?6=3`296=44i8494?=h:hh1<75m2``94?0=83:p(9?j:gg8m476290/8e290/8290/83:1(9?j:315?>{e<8h1<7?50;2x 17b2=8?7b<<6;29 17b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=98;0q~6k:181[>c348jn7=:9:p3}#;m=1jn5G4048L1653-;hj7:jf:k;f?6=3`2o6=44i9094?=n1?0;66a=ac83>>d5ik0;6;4?:1y'04c=nl1b=d32c8ol4?:%62a?5di21b?8750;&75`<4=010c?=9:18'04c=::<07pl;1c83>4<729q/8>279mo4>109~w=b=838pR5j4=3ca>63>3ty3n7>52z\;f>;5ik03n6s|8383>7}Y0;16>ll58b9~w4652909w0;39k09?;5r}c3e5?6==381:v*N39?1C8=<4$0ae>1ca3`2i6=44i9f94?=n0;0;66g66;29?j4fj3:17o:i7?>1:9j:i76m;:k;g?6=,=;n65m4;h1`e?6=,=;n6>mn;:k01<<72->:i7=:9:9l660=83.?=h4=3798yg26j3:1=7>50z&75`<3:=1d>>850;&75`<5;?10q~ll52248yv?12909wS79;<0bf?7692wx4i4?:3y]5<5sW2i70vP72:?1egb;002>{zj8l96=4::385!5c?3lh7E:>6:J747=#9jl18hh4i9`94?=n0m0;66g72;29?l?12900c?om:188f7ge290=6=4?{%62a?`b3`;:=7>5$53f>47632c3n7>5$53f>=d<3`2h6=4+40g957>5$53f>63>32e9?;4?:%62a?44>21vn9?m:182>5<7s->:i7:=4:m173<72->:i7<<6:9~w7ge2909wS;|q;`?6=:rT3h63=ac801<=z{1h1<75348jn76l;|q247<72;q6>ll53bc8917e2;9=7psm1g194?3=:3j8:ga8L1713A>;>6*>cg87ac=n0k0;66g7d;29?l>52900e4850;9l6dd=831i>ll50;494?6|,=;n6kk4i032>5<#<8o1=5<#<8o14o54i9a94?"39l03o65f3bc94?"39l08ol54i27:>5<#<8o1?874;n002?6=,=;n6?=9;:a04d=83;1<7>t$53f>1433f88:7>5$53f>75132wx>ll50;0xZ7ge348jn7<<6:p=3<72;qU5;522``954756s|8c83>7}Y0k16>ll58c9~w=4=838pR5<4=3ca>=e7>52z?1eg<4kh168nj6g7b;29?l>c2900e5<50;9j=3<722e9mo4?::`1eg<72?0;6=u+40g9b`=n98;1<7*;1d8254==n0j0;6):>e;:`?>o4kh0;6):>e;1`e>=n;<31<7*;1d801<=5}#<8o18?:4o315>5<#<8o1>>84;|q1eg<72;qU>ll4=3ca>7513ty2:7>52z\:2>;5ik0:=<5rs9f94?4|V1n01?om:27:?xu?j3:1>vP7b:?1eg5<5s48jn7=la:?75g<5;?1vqo?ja;291?4=>r.8h:4ic:J753=O<980(e2900e5j50;9j<7<722c2:7>5;n0bf?6=3k8jn7>56;294~"39l0mi6g>1083>!26m3;:=65f8c83>!26m32i76g7c;29 17b21i07d=la;29 17b2:ij76g<5883>!26m39>565`22494?"39l09?;54}c62f?6=93:152z\1eg=::hh1>>84}r;5>5<5sW3=70{t0m0;6?uQ8e9>6dd=;<30q~6m:181[>e348jn76m;|q;6?6=:rT3>63=ac8;g>{t9981<76ef34>:n7<<6:~f4ce290>6?49{%1g3?`d3A>::6F;039'5f`=7>5;h;5>5<5<1290;w):>e;df?l7693:1(9?j:032?>o?j3:1(9?j:9`8?l>d290/8i5;?0;6):>e;002>=zj=;i6=4>:183!26m3>986a=3783>!26m388:65rs3ca>5<5sW8jn63=ac8173=z{0<1<7=d0383>7}::hh1?no4=53a>7513twi=hm50;796?0|,:n<6km4H535?M27:2.:ok4;eg9j5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40`94?7=83:p(9?j:507?j44>3:1(9?j:315?>{t:hh1<77}Y1?16>ll51038yv>c2909wS6k;<0bf?5212wx4o4?:3y]v3=ac80gd=:<8h1>>84}|`2a4<72<096;u+3e59bf=O<8<0D9>=;%3`b?2bn2c3n7>5;h:g>5<>i5ik0;66l=ac83>3<729q/81098m=d=83.?=h47b:9j:i76l;:k0gd<72->:i7=la:9j70?=83.?=h4<5898k751290/80c?=9:18'04c=::<07p}=ac83>7}Y:hh01?om:315?xu>>3:1>vP66:?1eg<6981v5j50;0xZ=b<5;ki6>;6;|q;f?6=:rT3n63=ac8;f>{t0;0;6?uQ839>6dd=0j1v<>=:18184fj39hm63;1c8173=zuk9oo7>55;092~"4l>0mo6F;179K054<,8im69ki;h:a>5<>o>>3:17b50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>b;295?6=8r.?=h4;259l660=83.?=h4=3798yv4fj3:1>vP=ac9>6dd=::<0q~79:181[?1348jn7?>1:p5<22;0=w)=k7;d`?M26>2B?>o?:3:17d79:188k7ge2900n?om:185>5<7s->:i7hj;h325?6=,=;n6;:k;f?6=,=;n65l4;h:`>5<#<8o14n54i2ab>5<#<8o1?no4;h16=?6=,=;n6>;6;:m173<72->:i7<<6:9~f17e290:6=4?{%62a?25<2e9?;4?:%62a?44>21v?om:181[4fj279mo4=379~w<0=838pR484=3ca>4763ty3h7>52z\;`>;5ik08945rs9`94?4|V1h01?om:9`8yv>52909wS6=;<0bf?>d3ty:6dd=;jk019?m:315?x{en?0;6>4=:5y'7a1=nk1C8<84H521?!7dn3>nj6g72;29?l?12900c?om:188f7ge290>6?49{%1g3?`c3-;hj7:jf:m1eg<722c95>4?::k:2?6=3`2o6=44i9094?=enm0;6;4?:1y'04c=nl1b=d32c8ol4?:%62a?5di21b?8750;&75`<4=010c?=9:18'04c=::<07pl;1883>4<729q/8>3:1>vP66:?e`?7692wx4?4?:3y]<7=:nm03o6s|8e83>7}Y0m16ji4<589~w7?42909wS<63:?e`?5di2wx>ll50;0xZ7ge34lo6?=9;|q746<72;q6ji47b:?75<<5<;1vqo?50;394?6|,=::695+3e59554<,=;n69<;;%3`b?2bn2e:<<4?:%62a?44>21v?om:181[4fj279mo4=ac9~w<0=838pR484=3ca><0;628::7):?1;78yxda=3:1?7<54z&0`2ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg2283:1?7<54z&0`2ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg2e93:1?7<54z&0`2ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg0e29086?4;{%1g3?`e3A>::6F;039'5f`=5<5$53f>47632c3n7>5$53f>=d<3`2h6=4+40g957>5$53f>63>32e9?;4?:%62a?44>21vn9?6:182>5<7s->:i7<<1:m107<72->:i7<<6:9~w<0=838pR484=gf9547c34lo6>;6;|q1=6<72;qU>4=4=gf97fg52z\1eg=:nm09?;5rs520>5<5s4lo65l4=53:>7253twi=7>51;294~"3880?7)=k7;336>"39l0?>95+1bd90``{t0;0;6?uQ839>6dd=0;1v?4?:2y>6dd=:0901?om:9f894<6881/8=?55:~f11f29086?4;{%1g3?`e3A>::6F;039'5f`=5<5$53f>47632c3n7>5$53f>=d<3`2h6=4+40g957>5$53f>63>32e9?;4?:%62a?44>21vn9?6:182>5<7s->:i7<<1:m107<72->:i7<<6:9~w<0=838pR484=gf9547c34lo6>;6;|q1=6<72;qU>4=4=gf97fg52z\1eg=:nm09?;5rs520>5<5s4lo65l4=53:>7253twi=7>51;294~"3880?7)=k7;336>"39l0?>95+1bd90``{t0;0;6?uQ839>6dd=0;1v?4?:2y>6dd=:0901?om:9f894<6881/8=?55:~f47129086?4;{%1g3?`e3A>::6F;039'545=>2.:ok4;eg9j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4l>0mh6*>cg87ac=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=;n6kk4i032>5<#<8o1=5<#<8o14o54i9a94?"39l03o65f3bc94?"39l08ol54i27:>5<#<8o1?874;n002?6=,=;n6?=9;:a04?=83;1<7>t$53f>7563f8?>7>5$53f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970?52z\1=6=:nm08ol5rs3ca>5<5sW8jn63id;002>{t<991<79<4}|`2>5<6290;w):?1;68 6b028:97):>e;610>"6ko0?ik5`11394?"39l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&744<23twi=49;%3`b?2bn2c3>7>5;h;5>5<5<22;0=w)=k7;dg?!7dn3>nj6a=ac83>>o51:0;66g66;29?l>c2900e5<50;9aba<72?0;6=u+40g9b`=n98;1<7*;1d8254==n0j0;6):>e;:`?>o4kh0;6):>e;1`e>=n;<31<7*;1d801<=5}#<8o1>>?4o361>5<#<8o1>>84;|q:2?6=:rT2:63id;325>{t0;0;6?uQ839>ba5<5sW82?63id;1`e>{t:hh1<77}:nm03n63;188107=zuk;1<7?50;2x 1662=1/?i951108 17b2=8?7)?lf;6fb>i6880;6):>e;002>=z{;ki6=4={_0bf>;5ik09mo5rs8494?4|V0<01?om:848yv>52909wS6=;<0bf?>53ty96=4<{<0bf?4>;279mo47d:?2>4663->;=7;4}|`0b3<72:0:6>uG4108 6b028n87d7l:188mt$2f4>1723A>::6F;039'04c=<8>0(o68<0;6):>e;325>=h:;o1<7*;1d8173=7}Y1m168?<51168yv5d13:1>vP074=:;o0qpl6<62:qC8=<4$2f4>4b43`3h6=44i8f94?=h;j31<75m43094?5=83:p(>j8:536?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yv?d2909wS7l;<616?77=2wx5i4?:3y]=a=:<;81==:4}r1`=?6=:rT8o452430967c6}O<980(>j8:0f0?l?d2900e4j50;9l7f?=831i8?<50;194?6|,:n<69?:;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}r;`>5<5sW3h70:=2;331>{t1m0;6?uQ9e9>074=99>0q~=l9;296~X4k0168?<523g8yxd6n>0;6>4>:2yK054<,:n<65<7E:>6:J747=#<8o18<:4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10q~7l:181[?d34>9>7??5:p=a<72;qU5i52430955252z\0g<=:<;81>?k4}|`2b3<72:0:6>uG4108 6b028n87d7l:188mt$2f4>1723A>::6F;039'04c=<8>0(o68<0;6):>e;325>=h:;o1<7*;1d8173=7}Y1m168?<51168yv5d13:1>vP074=:;o0qpl>f483>6<62:qC8=<4$2f4>4b43`3h6=44i8f94?=h;j31<75m43094?5=83:p(>j8:536?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yv?d2909wS7l;<616?77=2wx5i4?:3y]=a=:<;81==:4}r1`=?6=:rT8o452430967c6}O<980(>j8:0f0?l?d2900e4j50;9l7f?=831i8?<50;194?6|,:n<69?:;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}r;`>5<5sW3h70:=2;331>{t1m0;6?uQ9e9>074=99>0q~=l9;296~X4k0168?<523g8yxd6no0;6>4>:2yK054<,:n<65<7E:>6:J747=#<8o18<:4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10q~7l:181[?d34>9>7??5:p=a<72;qU5i52430955252z\0g<=:<;81>?k4}|`2b`<72:0:6>uG4108 6b028n87d7l:188mt$2f4>1723A>::6F;039'04c=<8>0(o68<0;6):>e;325>=h:;o1<7*;1d8173=7}Y1m168?<51168yv5d13:1>vP074=:;o0qpl>fe83>6<62:qC8=<4$2f4>4b43`3h6=44i8f94?=h;j31<75m43094?5=83:p(>j8:536?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yv?d2909wS7l;<616?77=2wx5i4?:3y]=a=:<;81==:4}r1`=?6=:rT8o452430967c6}O<980(>j8:0f0?l?d2900e4j50;9l7f?=831i8?<50;194?6|,:n<69?:;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}r;`>5<5sW3h70:=2;331>{t1m0;6?uQ9e9>074=99>0q~=l9;296~X4k0168?<523g8yxd6nk0;6>4>:2yK054<,:n<65<7E:>6:J747=#<8o18<:4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10q~7l:181[?d34>9>7??5:p=a<72;qU5i52430955252z\0g<=:<;81>?k4}|`2bd<72:0:6>uG4108 6b028n87d7l:188mt$2f4>1723A>::6F;039'04c=<8>0(o68<0;6):>e;325>=h:;o1<7*;1d8173=7}Y1m168?<51168yv5d13:1>vP074=:;o0qpl>f883>6<62:qC8=<4$2f4>4b43`3h6=44i8f94?=h;j31<75m43094?5=83:p(>j8:536?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yv?d2909wS7l;<616?77=2wx5i4?:3y]=a=:<;81==:4}r1`=?6=:rT8o452430967c6}O<980(>j8:0f0?l?d2900e4j50;9l7f?=831i8?<50;194?6|,:n<69?:;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}r;`>5<5sW3h70:=2;331>{t1m0;6?uQ9e9>074=99>0q~=l9;296~X4k0168?<523g8yxdfi3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07plnb;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c1eb?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg5el3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl=0283>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`140<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/80;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`14a<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`150<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/80;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8h<:180>5<7s-9o;7=>;I622>N38;1/8;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb2gf>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo=ja;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd4mk0;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8k8:180>5<7s-9o;7=>;I622>N38;1/8;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb2d;>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f6`f29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj8896=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c317?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg76=3:187>50z&0`2<4:2B?=;5G4108 17b2::0(o68<0;6):>e;325>=n99<1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e;l:1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb2g2>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f6c529086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj8o86=46:183!5c?39=7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632c:<;4?:%62a?76921b==950;&75`<69810e<>7:18'04c=98;07d??9;29 17b28;:76g>0`83>!26m3;:=65f11`94?"39l0:=<54o30f>5<#<8o1>>84;|`2a1<72<0;6=u+3e5976=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098m461290/8i5:l0;6):>e;002>=zj8o>6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c3f2?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg7b?3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm10d94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>7543A>::6F;039'04c=::80(o68<0;6):>e;325>=h:;o1<7*;1d8173=54;294~"4l>08>6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921b==850;&75`<69810c?1883>6<729q/?i952218L1713A>;>6*;1d8177=#9jl18hh4i027>5<#<8o1=;:m16`<72->:i7<<6:9~f6da29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo=l0;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd4k80;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:208L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=;:m16`<72->:i7<<6:9~f3b=8381<7>t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f3`=8391<7>t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e?90;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=53;294~"4l>09?>5G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm7383>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`716<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj=?=6=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn9;8:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`71=<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=::90D9?9;I636>"39l09??5+1bd90``5$53f>47632e9>h4?:%62a?44>21v;l50;1xZ3d<5?h1>ll4=7d9552<0<5>81>?k4}r4g>5<5s44623ty=i7>52z?5a?45m27<<7??5:p2c<72;q6:k4=2d9>34<68=1v:>50;0x926=:;o01:?51178yv162909w09>:30f?81528:>7p}7c;295a}Y0j16?k>5839>7`e=0;16?h65839>7`5=0;16=h65839>5`?=0;16=hj5839>5`c=0;16=hh5839>5c6=0;16=k?5839>5c4=0;16=k=5839>5c2=0;16=ho5839>5`d=0;16=hm5839>5`7=0;16?im5839>7g0=0;16j;472:?e1?>534>><76=;<6a5?>534=4<58;=65<4=03b>=4;69<0:<95rs`c94?4|5hk1>?k4=``9553<0<5o?1>ll4=`c95557ge34ki6<>;;|q251<72=q6=<;523g8947c28:870?>e;330>;6910:<95rs035>5<0s4;::728:?709=:027?822;3;;863;558246=z{8;<6=4={<322??134;:57<=e:p54>=838p1059>54>=99<01;j51118926=99>019;7:027?xu69k0;6?u210c9=3=:9;:1>?k4}r32g?6=:r7:=n4=2d9>54c=99?0q~?>d;296~;69m09>h5210d955352z?25`<5:l16=?>51168yv76n3:1>v3>1g816`=:9;:1==;4}r315?6=:r7:>?4=2d9>575=99?0q~?=4;296~;3j802:63>22816`=z{8o:6=4={<3f5?4fj27:=84>079~w4c52909w0?j1;;5?87b?389i6s|1d194?4|58o86?{t9l?1<774b34;n:7??5:p5`0=838p1e881eg=:9o215i522119552<58o86<>;;|q2`0<72=q6=ho52``894`220n01??::027?87b<3;;:6s|1e494?2|58oi6?om;<3e2??c348:;7??4:?2a1<68<1vf68:`>;6m=0:<:5rs0f:>5<3s4;nh7l279<84>059>5`5=99?0q~?ka;290~;6ml09mo521gc9=a=::9=1==:4=0g0>4613ty:ho4?:5y>5``=:hh0128:?70?j3;33<>{t9mi1<7:t=0d3>7ge34;mo77k;<03f?77<27:i>4>069~w4bc290?w0?i1;0bf>;6nm02h63=0e8241=:9l91==74}r3ga?6=5cc=1m16>=h5116894c428:i7p}>dg83>1}:9o91>ll4=0de>;;<3f7?77i2wx=h>50;6x94`32;ki70e58241=z{;:96=4<{<3e=??d348;?7<=e:?140<68<1v?>;:18087ai33h70;58>0:<85rs325>5<4s4;mn77l;<033?45m279<44>049~w76?2908w0?ic;;`?8471389i63=0c8240=z{;:j6=4<{<3e`??d348;n7<=e:?14a<68<1v?>l:18087am33h70;58o0:<85rs32f>5<4s4;mj77l;<03b?45m279=<4>049~w7772908w033h70<>5;01a>;59>0:<85rs335>5<5s4;m;77l;<023?45m2wx><650;0x94c?20<01?>>:30f?xu5900;6?u21dc9=3=:9o?1?n74}r02e?6=:r7:io466:?2b3<4k01v??m:18187bk33=70?i7;1`=>{t:8i1<7<0<58l36>m6;|q15a<72;q6=hj5979>5c?=;j30q~<>e;296~;6ml02:63>f`80g<=z{;;m6=4={<3fb??134;mn7=l9:p676=838p17}:9o;15;521gf97f?7>52z?2b7<>>27:jh46e>3ty9>44?:07x917028::70=i0;:g?85bk32o70=j8;:g?85b;32o70?j8;:g?87b132o70?jd;:g?87bm32o70?jf;:g?87a832o70?i1;:g?87a:32o70?i3;:g?87a<32o70?ja;:g?87bj32o70?jc;:g?87b932o70=kc;:g?85e>32o7p}7}Y;k<01>l9:3ca?xu4jj0;6?u23c49=3=:;kn1>?k4}r1aa?6=:r78ni4>029>7f4=:;o0q~=mf;296~;4jo09>h523b0955352z?0g5<5:l16?n<51168yv5d93:1>v37c`=99>01?k4}r1ga?6=:r78hh4=2d9>7`4=99>0q~=kf;296~;4lo09>h523d3955252z?0a5<5:l16?h?51178yv5b93:1>v34=ac9>7c2=1j16?h85111896`?28:>70=ke;330>{t;l>1<7<0<5:o<6?7}:;l<1>?k4=2g4>4623ty8h44?:4y>7`>=:hh01>h;:8f896`?28:?70=kf;337>;4k90:<>5rs2g:>5<5s49n4779;<1ff?45m2wx?ho50;0x96cf2;8n70=jb;331>{t;mk1<7;t=2g`>7ge349m977k;<1ee?77<278i=4>029>7g`=9990q~=jd;296~;4mj02:63049~w6be290>wS=l3:?0b5<5ik16?k859e9>7ac=99?01>m>:020?xu4n80;6?u23g29=3=:;o91>?k4}r1e6?6=:r78j?4=2d9>7c5=99?0q~=i7;297~;4n<02o63hm:18185a<39h563059~w6`c2909w0=i6;1`=>;4n:0:<95rs2df>5<6;r7:i547b:?2a<47b:?2b17c4=99901>kj:020?85bi3;;?63;3=909mo526d8246=:<<91==;4=574>4633ty?9?4?:3y>006=1?16887523g8yv22;3:1>v3;52816`=:<<31==:4}r660?6=:r7?994=2d9>000=99>0q~::5;296~;3=<09>h524449553>:7>52z?713<5:l1688951178yv22?3:1>v3;56816`=:<<21==;4}r6600?=99?0q~:88;296~X3?1168:o5979~w11f2908w0:8a;0bf>;6910:<8524479555i=7>52z\7f4=:ll4}|`771<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f15729096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo:<1;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd3;;0;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=o4?:383>5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/85<7s-9o;7?>0:J753=O<980(9?j:6d8L4323-;h=7?>1:&2gc<3mo1b===50;&75`<69810c?7<729q/?i951028L1713A>;>6*;1d84b>N6=<1/=n?51038 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:65rb56g>5<5290;w)=k7;324>N39?1C8=<4$53f>2`<@8?>7)?l1;325>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84;|`e4?6=:3:1=;%62a?1a3A;>96*>c08254=#9jl18hh4i020>5<#<8o1=;:m16`<72->:i7<<6:9~f10329096=4?{%1g3?7682B?=;5G4108 17b2>l0D<;:;%3`5?7692.:ok4;eg9j555=83.?=h4>1098k74b290/8"39l0549'5f7=98;0(i5:l0;6):>e;002>=zj=o<6=4=:183!5c?3;:<6F;179K054<,=;n6:h4H076?!7d93;:=6*>cg87ac=n9991<7*;1d8254=52;294~"4l>0:==5G4048L1653->:i79i;I361>"6k80:=<5+1bd90``5$53f>75132wi494?:383>5}#;m=1=<>4H535?M27:2.?=h48f:J210=#9j;1=1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>21vn99k:181>5<7s-9o;7?>0:J753=O<980(9?j:6d8L4323-;h=7?>1:&2gc<3mo1b===50;&75`<69810c?7<729q/?i951028L1713A>;>6*;1d84b>N6=<1/=n?51038 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:65rb7094?4=83:p(>j8:033?M26>2B?:032?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;54}c42>5<5290;w)=k7;324>N39?1C8=<4$53f>2`<@8?>7)?l1;325>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84;|`54?6=:3:1=;%62a?1a3A;>96*>c08254=#9jl18hh4i020>5<#<8o1=;:m16`<72->:i7<<6:9~f0b=8381<7>t$2f4>4773A>::6F;039'04c=?o1C=8;4$0a2>4763-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=3798yg3d29096=4?{%1g3?7682B?=;5G4108 17b2>l0D<;:;%3`5?7692.:ok4;eg9j555=83.?=h4>1098k74b290/87>50z&0`2<6991C8<84H521?!26m3=m7E?:5:&2g4<6981/=nh54dd8m464290/8{e=h0;6?4?:1y'7a1=98:0D9?9;I636>"39l0549'5f7=98;0(i5:l0;6):>e;002>=zj<31<7<50;2x 6b028;;7E:>6:J747=#<8o1;k5G1478 4e628;:7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=cg87ac=n9991<7*;1d8254=;7>52;294~"4l>0:==5G4048L1653->:i79i;I361>"6k80:=<5+1bd90``5$53f>75132wi9;4?:383>5}#;m=1=<>4H535?M27:2.?=h48f:J210=#9j;1=1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>21vn8;50;094?6|,:n<6N38;1/8;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?10qo;;:181>5<7s-9o;7?>0:J753=O<980(9?j:6d8L4323-;h=7?>1:&2gc<3mo1b===50;&75`<69810c?119K040<@=:97):>e;5e?M72=2.:o<4>109'5f`=<:18'04c=98;07b<=e;29 17b2;9=76sm6883>7<729q/?i951028L1713A>;>6*;1d84b>N6=<1/=n?51038 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:65rb7:94?4=83:p(>j8:033?M26>2B?:032?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;54}c44>5<5290;w)=k7;324>N39?1C8=<4$53f>2`<@8?>7)?l1;325>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84;|`52?6=:3:1=;%62a?1a3A;>96*>c08254=#9jl18hh4i020>5<#<8o1=;:m16`<72->:i7<<6:9~f32=8381<7>t$2f4>4773A>::6F;039'04c=?o1C=8;4$0a2>4763-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=3798yg0429096=4?{%1g3?7682B?=;5G4108 17b2>l0D<;:;%3`5?7692.:ok4;eg9j555=83.?=h4>1098k74b290/87>50z&0`2<6991C8<84H521?!26m3=m7E?:5:&2g4<6981/=nh54dd8m464290/8{e6:J747=#9jl18hh4i020>5<j8:033?!26m3=m7E?:5:&2g4<6981/=nh54dd8m464290/8{e:::1<7=51;1x 6b02;9;7E?:5:&2g4<5;?1/=nh54dd8m4642900el650;9l67c=831i8j;;h337?6=,=;n6;:k0`6<72->:i77=;:m16`<72->:i7<<6:J0`0=52z\246=:<8k1===4}rc;>5<5sWk370:>a;1g7>{t:;o1<75<5sW89i63>11816`=z{88<6=4<{_313>;6990:<>52222967c52z\246=::::1===4}rc;>5<5sWk370<<0;c;?x{e0?0;6?4?:1y'7a1=:;l0D9?9;I636>"39l0549'5f7=::<0(i5:l0;6):>e;002>=zj=<96=4=:183!5c?389j6F;179K054<,=;n6:h4H076?!7d9388:6*>cg87ac=n9991<7*;1d8254=5}#;m=1>?h4H535?M27:2.?=h48f:J210=#9j;1>>84$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>21vn9l8:181>5<7s-9o;7<=f:J753=O<980(9?j:6d8L4323-;h=7<<6:&2gc<3mo1b===50;&75`<69810c?e;5e?M72=2.:o<4=379'5f`=<:18'04c=98;07b<=e;29 17b2;9=76sm4`a94?4=83:p(>j8:30e?M26>2B?:315?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;54}c6b7?6=:3:1=;%62a?1a3A;>96*>c08173=#9jl18hh4i020>5<#<8o1=t$2f4>74a3A>::6F;039'04c=?o1C=8;4$0a2>7513-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=3798yg2293:1>7>50z&0`2<5:o1C8<84H521?!26m3=m7E?:5:&2g4<5;?1/=nh54dd8m464290/8{e<:k1<7=51;1x 6b02;9;7E:>6:J747=O9:315?!7dn3>nj6g>0283>>of03:17b<=e;29?g26i3:1?7>50z&75`<4l=1b===50;&75`<69810e>j<:18'04c=1;10c?j:;:p555=838pR<><;<62e?77;2wxm54?:3y]e==:<8k1?i=4}r01a?6=:rT9>h5240c967c5}#;m=1>?h4H535?M27:2.?=h48f:J210=#9j;1>>84$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>21vn9o::181>5<7s-9o;7<=f:J753=O<980(9?j:6d8L4323-;h=7<<6:&2gc<3mo1b===50;&75`<69810c?6<62:q/?i952228L1713A>;>6F>549'5f7=::<0(5<7s->:i7=k4:k246<72->:i7?>1:9j7a5=83.?=h462:9l67c=83.?=h4=379K7a3<3ty:<>4?:3y]555<5=;j6<><;|qb;39h09>h5r}c6:3?6=:3:1=;%62a?1a3A;>96*>c08173=#9jl18hh4i020>5<#<8o1=t$2f4>74a3A>::6F;039'04c=?o1C=8;4$0a2>7513-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=3798yg24=3:1>7>50z&0`2<5:o1C8<84H521?!26m3=m7E?:5:&2g4<5;?1/=nh54dd8m464290/8{e1<7<50;2x 6b02;8m7E:>6:J747=#<8o1;k5G1478 4e62;9=7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173==57>52;294~"4l>09>k5G4048L1653->:i79i;I361>"6k809?;5+1bd90``5$53f>75132wi8:h50;195?5|,:n<6?=?;I622>N38;1C=8;4$0a2>7513-;hj7:jf:k246<722cj47>5;n01a?6=3k>:m7>53;294~"39l08h95f11194?"39l0:=<54i2f0>5<#<8o15?54o30f>5<#<8o1>>84H2f6?>{t9991<77}Yi1168vP=2d9>04g=:;o0qpl;cc83>6<62:q/?i952228L1713A>;>6F>549'5f7=::<0(5<7s->:i7=k4:k246<72->:i7?>1:9j7a5=83.?=h462:9l67c=83.?=h4=379K7a3<3ty:<>4?:3y]555<5=;j6<><;|qb;39h09>h5r}c65a?6=:3:1=;%62a?1a3A;>96*>c08173=#9jl18hh4i020>5<#<8o1=t$2f4>74a3A>::6F;039'04c=?o1C=8;4$0a2>7513-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=3798yg23?3:1>7>50z&0`2<5:o1C8<84H521?!26m3=m7E?:5:&2g4<5;?1/=nh54dd8m464290/8{e;8;1<7<50;2x 6b02;8m7E:>6:J747=#<8o1;k5G1478 4e62;9=7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>09>k5G4048L1653->:i79i;I361>"6k809?;5+1bd90``5$53f>75132wi?9:50;094?6|,:n<6?N38;1/84?:%62a?76921d>?k50;&75`<5;?10qo=e;5e?M72=2.:o<4=379'5f`=<:18'04c=98;07b<=e;29 17b2;9=76sm32494?4=83:p(>j8:30e?M26>2B?:315?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;54}c104?6=:3:1=;%62a?1a3A;>96*>c08173=#9jl18hh4i020>5<#<8o1=t$2f4>74a3A>::6F;039'04c=?o1C=8;4$0a2>7513-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=3798yg55<3:1>7>50z&0`2<5:o1C8<84H521?!26m3=m7E?:5:&2g4<5;?1/=nh54dd8m464290/8{e;8o1<7<50;2x 6b02;8m7E:>6:J747=#<8o1;k5G1478 4e62;9=7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>09>k5G4048L1653->:i79i;I361>"6k809?;5+1bd90``5$53f>75132wi?<<50;094?6|,:n<6?N38;1/84?:%62a?76921d>?k50;&75`<5;?10qo=?a;296?6=8r.8h:4=2g9K040<@=:97):>e;5e?M72=2.:o<4=379'5f`=<:18'04c=98;07b<=e;29 17b2;9=76sm3b83>4<729q/86*>c08254=#9m;1>:k4i3:e>5<#<8o1>5h4;|`0`?6=93:1o50o0;6):>e;0;b>=zj:l1<7?50;2x 17b2;2m7E:?2:J257=#9j;1=7223`83j7>5$53f>7>a32wi8=4?:083>5}#<8o1>5h4H521?M76:2.:o<4>109'5a7=:=<0e?6i:18'04c=:1l07pl;1;295?6=8r.?=h4=8g9K054<@8;97)?l1;325>"6l8098:5f29d94?"39l094k54}c61>5<6290;w):>e;0;b>N38;1C=<<4$0a2>4763-;o=7<;8:k1:i7<7f:9~f15=83;1<7>t$53f>7>a3A>;>6F>139'5f7=98;0(:36:?l4?n3:1(9?j:3:e?>{e<=0;6<4?:1y'04c=:1l0D9>=;I326>"6k80:=<5+1e3961g51;294~"39l094k5G4108L4753-;h=7?>1:&2`4<55h50;&75`<50o10qo:9:182>5<7s->:i7<7f:J747=O9880(:032?!7c9383n6g=8g83>!26m383j65rb5594?7=83:p(9?j:3:e?M27:2B:=?5+1b39547<,8n:6?:l;h0;b?6=,=;n6?6i;:a0=<7280;6=u+40g96=`<@=:97E?>2:&2g4<6981/=i?525f8m7>a290/850z&75`<50o1C8=<4H031?!7d93;:=6*>d0810c=n:1l1<7*;1d81i6=4>:183!26m383j6F;039K544<,8i:6;%3g5?42:2c94k4?:%62a?4?n21vn9m50;394?6|,=;n6?6i;I636>N69;1/=n?51038 4b62;2h7d<7f;29 17b2;2m76sm4e83>4<729q/86*>c08254=#9m;1>5j4i3:e>5<#<8o1>5h4;|`7a?6=93:1o50o0;6):>e;0;b>=zj<:1<7?50;2x 17b2;2m7E:?2:J257=#9j;1=7303`83j7>5$53f>7>a32wi9<4?:083>5}#<8o1>5h4H521?M76:2.:o<4>109'5a7=:"6l809:i5f29d94?"39l094k54}c70>5<6290;w):>e;0;b>N38;1C=<<4$0a2>4763-;o=7<85:k1:i7<7f:9~f=5=83;1<7>t$53f>7>a3A>;>6F>139'5f7=98;0(:373?l4?n3:1(9?j:3:e?>{e0<0;6<4?:1y'04c=:1l0D9>=;I326>"6k809?;5+1e3963e51;294~"39l094k5G4108L4753-;h=7<<6:&2`4<5>k1d>5h50;&75`<50o10qo66:182>5<7s->:i7<7f:J747=O9880(:032?!7c938>=6g=8g83>!26m383j65rb`:94?7=83:p(9?j:3:e?M27:2B:=?5+1b39547<,8n:6?;<;h0;b?6=,=;n6?6i;:ab<<7280;6=u+40g96=`<@=:97E?>2:&2g4<6981/=i?52468m7>a290/8=;I326>"6k809?;5+1e396315}#<8o1>5h4H521?M76:2.:o<4=379'5a7=:?30c?6i:18'04c=:1l07pl=ag83>4<729q/86*>c08173=#9m;1>:>4o3:e>5<#<8o1>5h4;|`1f5<7280;6=u+40g96=`<@=:97E?>2:&2g4<5;?1/=i?52778k7>a290/8=;I326>"6k809?;5+1e396355}#<8o1>5h4H521?M76:2.:o<4=379'5a7=:?;0c?6i:18'04c=:1l07pl=b283>4<729q/86*>c08173=#9m;1>;>4o3:e>5<#<8o1>5h4;|`1f1<7280;6=u+40g96=`<@=:97E?>2:&2g4<5;?1/=i?52708k7>a290/8=;I326>"6k809?;5+1e396325}#<8o1>5h4H521?M76:2.:o<4=379'5a7=:?<0c?6i:18'04c=:1l07pl=b683>4<729q/86*>c08173=#9m;1>;64o3:e>5<#<8o1>5h4;|`1f=<7280;6=u+40g96=`<@=:97E?>2:&2g4<5;?1/=i?527c8k7>a290/8=;I326>"6k809?;5+1e396=44?:083>5}#<8o1>5h4H521?M76:2.:o<4=379'5a7=:1;0c?6i:18'04c=:1l07pl;3683>4<729q/86*>c08254=#9m;1>874i3:e>5<#<8o1>5h4;|`77<<7280;6=u+40g96=`<@=:97E?>2:&2g4<5;?1/=i?52928k7>a290/8N69;1/=n?52248 4b62;2?7b<7f;29 17b2;2m76sm45494?7=83:p(9?j:3:e?M27:2B:=?5+1b39660<,8n:6?99;n0;b?6=,=;n6?6i;:a01e=83;1<7>t$53f>7>a3A>;>6F>139'5f7=98;0(:35b?l4?n3:1(9?j:3:e?>{e<<:1<7?50;2x 17b2;2m7E:?2:J257=#9j;1>>84$0f2>7103f83j7>5$53f>7>a32wi88o50;394?6|,=;n6?6i;I636>N69;1/=n?52248 4b62;?o7b<7f;29 17b2;2m76sm47394?7=83:p(9?j:3:e?M27:2B:=?5+1b39660<,8n:6?;:;n0;b?6=,=;n6?6i;:a035=83;1<7>t$53f>7>a3A>;>6F>139'5f7=98;0(:35`?l4?n3:1(9?j:3:e?>{e>84$0f2>7>43f83j7>5$53f>7>a32wi8;m50;394?6|,=;n6?6i;I636>N69;1/=n?52248 4b62;2<7b<7f;29 17b2;2m76sm46194?7=83:p(9?j:3:e?M27:2B:=?5+1b39660<,8n:6?6:;n0;b?6=,=;n6?6i;:a02>=83;1<7>t$53f>7>a3A>;>6F>139'5f7=98;0(:3::?l4?n3:1(9?j:3:e?>{e<>i1<7?50;2x 17b2;2m7E:?2:J257=#9j;1=73?3`83j7>5$53f>7>a32wi8:k50;394?6|,=;n6?6i;I636>N69;1/=n?52248 4b62;=o7b<7f;29 17b2;2m7E=k5:9~f1?3290:6=4?{%62a?4?n2B?i50o0;6):>e;0;b>=zj=k96=4>:183!26m383j6F;039K544<,8i:6?=9;%3g5?40:2e94k4?:%62a?4?n21vn9o;:182>5<7s->:i7<7f:J747=O9880(:315?!7c938!26m383j65rb5c5>5<6290;w):>e;0;b>N38;1C=<<4$0a2>7513-;o=7<81:m1:i7<7f:9~f1gc290:6=4?{%62a?4?n2B?t$53f>7>a3A>;>6F>139'5f7=::<0(:37a?j4?n3:1(9?j:3:e?>{e73f3`83j7>5$53f>7>a32wi8ol50;394?6|,=;n6?6i;I636>N69;1/=n?52248 4b62;=m7b<7f;29 17b2;2m7E=k5:9~f1ef290:6=4?{%62a?4?n2B?i50o0;6):>e;0;b>N4l<10qo:j4;295?6=8r.?=h4=8g9K054<@8;97)?l1;002>"6l8094l5`29d94?"39l094k54}c6f2?6=93:150z&75`<50o1C8=<4H031?!7d93;:=6*>d08113=n:1l1<7*;1d81?i7>51;294~"39l094k5G4108L4753->;<7=k6:&2g4<6981/=i?526:8m7>a290/8"4:i7?>1:9l67c=83.?=h4=3798yg5c83:1=7>50z&75`<50o1C8=<4H031?!27839o:6*>c08254=#9m;1>::4i3:e>5<#<8o1>5h4;|`0`4<72;0;6=u+40g93c=#;m=1=<>4$0a2>4763->;<7=k6:&00d<4l?1C8=<4H076?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;54}c1``?6=93:12.:o<4>109'5a7=:?o0e?6i:18'04c=:1l07pl7<729q/8:032?!27839o:6*<4`80`3=O<980D<;:;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?10qo=lb;295?6=8r.?=h4=8g9K054<@8;97):?0;1g2>"6k80:=<5+1e3963`5}#<8o1;k5+3e59546<,8i:6;%634?5c>2.88l47)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=8<7??3:p62<72;q68?m5249>067=9990q~{t;j0;6>uQ3b9>11<68:16?n4=8g9~w6b=839pR>j4=479555<5:n1>5h4}r1f>5<4sW9n70;9:020?85b2;2m7p};7??3:?0b?4?n2wx8=4?:2y]05=:=10:<>52418146434>96?6i;|q77?6=;rT??63:b;337>;3;383j6s|4583>6}Y<=169n4>029>01<50o1v9;50;1xZ13<5=6=4<{_65?83b28:870:9:3:e?xu3?3:1?vP;7:?6b?77;27?;7<7f:p0=<72:qU8552618246=:<1094k5rs5;94?5|V=301;?5111891?=:1l0q~:m:180[2e34<96<><;<6a>7>a3ty?o7>53z\7g>;1;3;;?63;c;0;b>{tuQ4e9>21<68:168i4=8g9~w1c=839pR9k4=779555<5=o1>5h4}r6e>5<4sW>m7089:020?82a2;2m7p}:0;297~X2827=;7??3:?64?4?n2wx9<4?:2y]14=:>10:<>52508146434?86?6i;|q60?6=;r7?>:4l;<6fg?e<5<>1>?k4}r76>5<4s4>9;7j4=5g`>a=:=<09>h5rs4494?5|5=8<6h524da9a>;2>389i6s|5683>6}:<;=1j63;eb8e?8302;8n7p}:8;297~;3:>0:<63;eb824>;20389i6s|5883>6}:<;=1=<524da954=:=009>h5rs4c94?5|5=8<6<<4=5g`>44<5?k4}r7a>5<4s4>9;7?<;<6fg?7434?i6?:4>4:?7af<6<27>o7<=e:p1a<72:q68?95149>0`e=9<169i4=2d9~w0c=838p19kl:04890c=:;o0q~;i:180825?3;<70:jc;34?83a2;8n7p}90;297~;3:>0:563;eb82=>;18389i6s|6083>7}:<;=1=l5260816`=z{?81<74e<5?81>?k4}r40>5<5s4>no7?k;<40>74b3ty=87>52z?7af<6m27=87<=e:p20<72;q68hm51g9>20<5:l1v;850;0x91cd2;:01;8523g8yv002909w0:jc;02?8002;8n7p}98;296~;3mj09>6398;01a>{t>00;6>u2435966=:>5268816`=z{?k1<7=t=504>72<5=oh6?:4=7c967c9:78m;<677?77;27??l4n8:?7ff4?:5y>071=?:168hm5729>07e=?:168?65729~w=5=839pR5=4=969555<5191>5h4}r:7>5<5s4>9576<;<:7>74b3ty397>53z\;1>;?>389i6375;0;b>{t0>0;6>uQ869><=<5:l164:4=8g9~w=>=83>p19?7:361?8250392:6376;337>;?03;;?6s|8883>6}Y00164l4>029><<<50o1v5o50;0x914>213015o523g8yvg?2908wSo7;46434k36?6i;|qe4?6=;r7?>44n8:?763=e<5=8h65m4=50;>c?<5=8=65m4=gc967c52z?762<68j168?6511a8yv7503:1>v3;2b826==:<;31=?64}r30`?6=:r7?>n4>3e9>07?=9:n0q~?;f;296~;3:j0:8k5243:97<7:7>52z?762<6=?168?m51448yv71;3:1>v3;2b8226=:<;31=;j4}r35a?6=:r7?>:4>6d9>07e=9?o0q~?81;296~;3:j0:;<5243;952752z?76f<6?l168?l51118yv7d:3:1>v3;2b82g7=:<;31=nm4}r01=?6=:r7?=:4>009>0dc=9990q~<=a;297~;3il09>h5243590db<5=8269ok;|q1ef<72:qU>lm4=22b>74b348jo7<7f:p6db=839pR?ok;<124?45m279mi4=8g9~w7ga2908wSlh529d8yv4e83:1?vP=b19>744=:;o01?l?:3:e?xu5j80;6>uQ2c38967?2;8n70{t:k81<7=t^3`1?856m389i63=b381;4:=09>h522c196=`53z\1f1=:;;k1>?k4=3`7>7>a3ty9n84?:2y]6g3<5:9;6?o850;1xZ7d13498:7<=e:?1f3<50o1v?l8:180[4e?278?n4=2d9>6g1=:1l0q~7}:<;=1>oo4=5g`>7df3ty9in4?:3y>0`e=:li019<7:2:`?xu48h0;6?u24da96de<5::j6<><;|q055<72;q68hm52`f8967728:87p}<1083>7}:lh4=232>4643ty8=?4?:3y>0`e=:k:01>?=:020?xu4910;6?u24da96g7<5:;36<><;|q05`<72;q68hm52c08967b28:87p}<2583>7}:o=4=207>4643ty8>l4?:3y>0`e=:k>01><;|q073<72;q68hm52c48965128:87p}<3b83>7}:o94=21`>4643ty8894?:3y>0`e=:k201>:;:020?xu4=80;6?u24359707<5=oh6>;>;|q0f3<72;q68hm53c5891412:h=7p}7}:6e43ty?>l4?:3y>07?=9jn019uQ43f8914b2;8n70:=d;0;b>{t<;o1<774b34>9i7??3:p07`=838p19=?:30f?824:3;;86s|42294?4|5=9:6?=50;1xZ15434>897<=e:?776<50o1v9=::181824<389i63;348246=z{=9<6=4<{_603>;3;10:<>5242596=`847>54z?7af<3;?168?m54248914?2=9=70:<8;01a>{t<:31<7=t^51:?824i389i63;3881029~w1252908wS:;2:?701<5:l1689<529d8yv23<3:1>v3;42816`=:<=>1===4}r672?6=;rT?8;52455967c<5=>=6?6i;|q702<72;q68?m54548912028:87p};4b83>6}Y<=i019:k:020?823k383j6s|45f94?4|5=8269::;<67`?45m2wx88>50;1xZ13734>>=7<=e:?715<50o1v9;>:181825>3>><63;508246=z{=?j6=4<{_66e>;3=m09>h5244c96=`>h7>52z?7af<3=h1688j51118yv2193:1?vP;609>034=:;o0198>:3:e?xu3>;0;6?u243:9036<5=<96<><;|q726<72:qU8;=4=547>46434>=?7<7f:p032=838p19<6:543?821<389i6s|47:94?5|V=<370:99;01a>;3>1094k5rs54:>5<5s4>957:98:?72<<68:1v98l:180[21k27?:h4=2d9>03e=:1l0q~:9e;296~;3:j0?:n5247g955553z\736=:<>=1>?k4=550>7>a3ty?;:4?:3y>07?=<>901998:020?xu3?10;6>uQ46:8911>28:870:88;0;b>{t<>31<711?34><57<=e:p02e=839pR99l;<64`?77;27?;n4=8g9~w11c2908w0:jc;64f>;3:j0?;o5246f967c53z\73`=:<>l1>?k4=55f>7>a3ty?4=4?:3y>07?=<>h0199i:020?xu31=0;6>uQ486891?02;8n70:64;0;b>{t<0=1<71?334>2;7??3:p0d4=839pR9o=;<6b7?45m27?m?4=8g9~w1g42909w0:jc;6;`>;3i:0:<>5rs5c7>5<4sW>j863;a4816`=:1>5h4}r6b1?6=:r7?in4;c39>0d3=9990q~:n6;297~X3i?168lm523g891g12;2m7p};ab83>7}:4643ty?mi4?:2y]0db<5=kn6<<8;<6b`?4?n2wx8lh50;0x91d72;8n70:ne;c;?xu3j80;6?u243490g7<5=h;6<><;|q7f7<72:qU8o<4=5`7>74b34>i>7<7f:p0g2=838p19kl:5`1?82e<3;;?6s|4c794?5|V=h>70:m7;01a>;3j<094k5rs5`4>5<5s4>no7:m5:?7f2<68:1v9l6:180[2e127?nl4>029>0g?=:1l0q~:ma;297~;3mj0?n55243a90g><5=hj6?74b34>in7<7f:p0gb=838p19<6:5`;?82ek3;;?6s|4bc94?5|V=ij70:lb;01a>;3kh094k5rs5a`>5<5s4>957:l9:?7gg<68:1v9k;:180[2b<27?i84=2d9>0`2=:1l0q~:j5;296~;3:10?i<524d79555n:7>53z\7a3=:7>a3ty?i:4?:3y>07?=uQ4d;891cf28:870:j9;0;b>{t1c?34>no7:j8:?76f<3m1168ho523g8yv23m3:1?vP;4d9>01c=:1l019:i:020?xu4l90;6>uQ3e2896b72;2m70=k1;337>{t;jn1<7=t^2ag?85dl383j63;4kk094k523ba9555?j7>51z?70c<5:l1v>j>:18285c9389i6s|3bg94?7|5:in6?{08~^c`=9r;o=7srn0:;`?6=9rB?d08~yk7?0l0;6a28q:6pTif;3x5a7=utd:45h50;3xL1653@83j7?t1;Ybc<6s8n:6psa19;3>5<6sA>;>6G=8g824>93:1=vF;039J6=`=9r;1qWhi:0y2`4:|Xeb?7|9m;1qp`>88194?7|@=:97D<7f;3x5?{]no0:w:|m5=?3290:wE:?2:K151zJ747=N:1l1=v?5}[de>4}6l80vqc?79783>4}O<980E?6i:0y2>x\an3;p=i?5}|l2<<1=83;pD9>=;H0;b?7|93wQjk4>{0f2>x{i91336=4>{I636>O50o0:w<4rZgd95~7c93wvb<669;295~N38;1B>5h51z39y_`a28q:h<4r}o3;=d<728qC8=<4I3:e>4}62tPmj7?t1e39yxh600h1<7?tH521?L4?n3;p=7sUfg824b62twe=57l:182M27:2C94k4>{08~^c`=9r;o=7srn0::`?6=9rB?d08~yk7?1l0;6a28q:6pTif;3x5a7=utd:44h50;3xL1653@83j7?t1;Ybc<6s8n:6psa19c3>5<6sA>;>6G=8g824f93:1=vF;039J6=`=9r;1qWhi:0y2`44?:2yK0544?:3yK054:|Xeb?7|9m;1qp`>8ca94?40sA>;>6sa19`g>5<6sA>;>6G=8g827em3:1=vF;039J6=`=9r81qWhi:0y2`4:|Xeb?7|9m;1qp`>8b394?4|@=:97p`>8b094?7|@=:97D<7f;3x5?{]no0:w:|m5=e4290561yK0548e194?7|@=:97D<7f;3x6?{]no0:w:|m5=b3290:wE:?2:K151zJ747=N:1l1=v<5}[de>4}6l80vqc?7d783>4}O<980E?6i:0y1>x\an3;p=i?5}|l2=;H0;b?7|:3wQjk4>{0f2>x{i91n36=4>{I636>O50o0:w?4rZgd95~7c93wvb<6k9;295~N38;1B>5h51z09y_`a28q:h<4r}o3;`d<728qC8=<4I3:e>4}52tPmj7?t1e39yxh60mh1<7?tH521?L4?n3;p>7sUfg824b62twe=5jl:182M27:2C94k4>{38~^c`=9r;o=7srn0:g`?6=9rB?d08~yk7?ll0;6>uG4108yk7?lo0;6>uG4108yk7?m90;6?uG4108yk7?m:0;6?uG4108yk7?m=0;6?uG4108yk7?m<0;6?uG4108yk7?m?0;6?uG4108yk7?m>0;6?uG4108yk7?m10;6?uG4108yk7?m00;6?uG4108yk7?mh0;6?uG4108yk7?mk0;6?uG4108yk7?mj0;6>uG4108yk7?mm0;6;uG4108yk7?ml0;6:uG4108yk7?mo0;6?uG4108yk7?n90;6a28q96pTif;3x5a7=utd:4k?50;3xL1653td:4k<50;3xL1653td:4k=50;3xL1653td:4k:50;3xL1653@83j7?t2;Ybc<6s8n:6psa19d6>5<6sA>;>6sa19d5>5<6sA>;>6G=8g824a?3:18vF;039~j4>a03:1>vF;039J6=`=9r91qWhi:0y2`48g`94?7|@=:97p`>8ga94?7|@=:97D<7f;3x6?{]no0:w:|m5=`c2908wE:?2:m5=`b290:wE:?2:K152zJ747=zf83;<7>51zJ747=N:1l1=v<5}[de>4}6l80vqc?60083>1}O<980qc?60383>4}O<980E?6i:0y1>x\an3;p=i?5}|l2=55=83>pD9>=;|l2=52=83;pD9>=;H0;b?7|:3wQjk4>{0f2>x{i90:>6=4<{I636>{i90:=6=4>{I636>O50o0:w<4rZgd95~7c93wvb<7?7;296~N38;1vb<7?8;295~N38;1B>5h51z09y_`a28q:h<4r}o3:4<<72=qC8=<4}o3:4d<728qC8=<4I3:e>4}52tPmj7?t1e39yxh619h1<77sUfg824b62twe=4>k:181M27:2we=4>j:182M27:2C94k4>{08~^c`=9r;o=7srn0;3b?6=d08~yk7>980;6>uG4108yk7>9;0;6?uG4108M7>a28q86pTif;3x5a7=utd:5<=50;7xL1653td:5<:50;3xL1653@83j7?t2;Ybc<6s8n:6psa1836>5<5sA>;>6sa1835>5<6sA>;>6G=8g827vF;039~j4?603:1=vF;039J6=`=9r81qWhi:0y2`490`94?4|@=:97p`>90a94?5|@=:97D<7f;1x7?7=;3wQjk4>{0f2>x{i90;o6=4<{I636>{i90;n6=4;{I636>{i90;m6=4>{I636>O50o0:w?4rZgd95~7c93wvb<7=0;296~N38;1vb<7=1;295~N38;1B>5h51z09y_`a28q:h<4r}o3:67<72;qC8=<4}o3:66<728qC8=<4I3:e>4}62tPmj7?t1e39yxh61;>1<7=tH521?xh61;?1<7{28~^c`=9r;o=7srn0;1d08~yk7>:h0;6?uG4108yk7>:k0;6a28q:6pTif;3x5a7=utd:5?m50;0xL1653td:5?j50;3xL1653@83j7?t1;Ybc<6s8n:6psa180f>5<2sA>;>6sa13a94?7|@=:97p`>2e83>4}O<980qc?=f;295~N38;1vb<=?:182M27:2we=>?50;3xL1653td:??4?:0yK05451zJ747=zf89?6=4>{I636>{i9:?1<7?tH521?xh6;?0;6=;|l27g<728qC8=<4}o30g?6=:rB?5<6sA>;>6sa12d94?7|@=:97p`>4083>4}O<980qc?;2;295~N38;1vb<:<:182M27:2we=9:50;3xL1653td:884?:0yK05451zJ747=zf8><6=4={I636>{i9=21<7=;|l20a<728qC8=<4}o37a?6=9rB?5<4sA>;>6sa62c94?4|@=:97p`94983>6}O<980qc8;9;297~N38;1vb;:n:182M27:2we:9l50;3xL1653td=8n4?:0yK05451zJ747=zf?>n6=4>{I636>{i>=l1<7?tH521?xh1=90;6=;|l511<728qC8=<4}o461?6=9rB?5<6sA>;>6sa64594?7|@=:97p`95983>4}O<980qc8:9;295~N38;1vb;;n:182M27:2we:8l50;3xL1653td=9n4?:0yK054h7>51zJ747=zf??n6=4>{I636>{i>90;6=;|l521<728qC8=<4}o451?6=;rB?5<6sA>;>6sa67594?5|@=:97p`96983>4}O<980qc899;295~N38;1vb;8n:182M27:2we:;l50;3xL1653td=:n4?:0yK05451zJ747=zf?{I636>{i>?l1<7?tH521?xh1?90;6=;|l530<72:qC8=<4}o442?6=;rB?5<6sA>;>6sa66:94?7|@=:97p`97883>4}O<980qc88a;295~N38;1vb;9m:182M27:2we::m50;3xL1653td=;i4?:0yK05451zJ747=zf?=m6=4>{I636>{i>1:1<7?tH521?xh1080;64290:wE:?2:m2=2=83;pD9>=;|l5<0<728qC8=<4}o4;2?6=9rB?5<6sA>;>6sa69:94?7|@=:97p`98883>4}O<980qc87a;295~N38;1vb;6m:182M27:2we:5m50;3xL1653td=4i4?:0yK05451zJ747=zf?2m6=4>{I636>{i>0:1<7?tH521?xh1180;6:3:1=vF;039~j3?4290:wE:?2:m2<2=83;pD9>=;|l5=0<728qC8=<4}o4:2?6=9rB?5<6sA>;>6sa68:94?7|@=:97p`99883>4}O<980qc86a;295~N38;1vb;7m:182M27:2we:4m50;3xL1653td=5i4?:0yK05451zJ747=zf?3m6=4>{I636>{i>h:1<7?tH521?xh1i80;6=;|l5e0<728qC8=<4}o4b2?6=9rB?5<6sA>;>6sa6`:94?7|@=:97p`9a883>4}O<980qc8na;295~N38;1vb;om:182M27:2we:lm50;3xL1653td=mi4?:0yK05451zJ747=zf?h;6=4<{I636>{i>k;1<7=tH521?xh1j;0;6=;|l5f3<728qC8=<4}o4a3?6=9rB?5<6sA>;>6sa6c;94?7|@=:97p`9b`83>4}O<980qc8mb;295~N38;1vb;ll:182M27:2we:oj50;3xL1653td=nh4?:2yK05453zJ747=zf?i;6=4>{I636>{i>j;1<7?tH521?xh1k;0;6=;|l5g3<728qC8=<4}o4`3?6=9rB?5<6sA>;>6sa6b;94?7|@=:97p`9c`83>4}O<980qc8lb;295~N38;1vb;ml:182M27:2we:nj50;3xL1653td=oh4?:0yK05451zJ747=zf?n;6=4>{I636>{i>m;1<7?tH521?xh1l;0;6>uG4108yk0c;3:1?vF;039~j3b3290:wE:?2:m2a3=83;pD9>=;|l5`3<728qC8=<4}o4g3?6=9rB?5<6sA>;>6sa6e;94?7|@=:97p`9d`83>4}O<980qc8kb;295~N38;1vb;jl:182M27:2we:ij50;0xL1653td=hh4?:3yK05451zJ747=zf?o;6=4>{I636>{i>l;1<7?tH521?xh1m;0;6=;|l5a3<728qC8=<4}o4f3?6=9rB?5<6sA>;>6sa6d;94?7|@=:97p`9e`83>4}O<980qc8jb;295~N38;1vb;kl:182M27:2we:hj50;3xL1653td=ih4?:0yK05451zJ747=zf?l;6=4>{I636>{i>o;1<7?tH521?xh1n;0;6=;|l5b3<728qC8=<4}o4e3?6=9rB?5<4sA>;>6sa6g;94?5|@=:97p`9fc83>1}O<980qc8ic;297~N38;1vb;hk:182M27:2we:kk50;1xL1653td<<=4?:2yK05451zJ747=zf>:96=4<{I636>{i?991<7=tH521?xh08?0;6>uG4108yk17?3:1?vF;039~j26f290:wE:?2:m35d=83;pD9>=;|l44f<728qC8=<4}o53`?6=9rB?5<6sA>;>6sa70394?7|@=:97p`81383>4}O<980qc9>3;295~N38;1vb:?;:182M27:2we;<750;3xL1653td<=l4?:0yK05451zJ747=zf>;h6=4>{I636>{ii8i1<7uG4108ykg583:1?vF;039~jd452908wE:?2:me73=83;pD9>=;|lb63<728qC8=<4}oc13?6=9rB?5<5sA>;>6saa3`94?7|@=:97p`n2b83>4}O<980qco=d;295~N38;1vbl53zJ747=zfh9?6=4>{I636>{ii:?1<7?tH521?xhf;?0;6=;|lb7d<728qC8=<4}oc0f?6=9rB?5<6sA>;>6saa2g94?4|@=:97p`n3g83>4}O<980qco;0;295~N38;1vbl:>:182M27:2wem9<50;0xL1653tdj8>4?:0yK05451zJ747=zfh>>6=4>{I636>{ii=<1<7?tH521?xhf<>0;62909wE:?2:me1g=83;pD9>=;|lb0g<728qC8=<4}oc7g?6=9rB?5<6sA>;>6saa5g94?7|@=:97p`n4g83>7}O<980qco:0;296~N38;1vbl;>:182M27:2wem8<50;1xL1653tdj9>4?:0yK05487>51zJ747=zfh?>6=4>{I636>{ii<<1<7?tH521?xhf=>0;6vF;039~jd3f290:wE:?2:me0d=83;pD9>=;|lb1f<728qC8=<4}oc6`?6=9rB?5<5sA>;>6saa4d94?4|@=:97p`n6183>3}O<980qco91;290~N38;1vbl8=:18aM27:2wem;=50;0xL1653tdj:94?:3yK05451zJ747=zfh<=6=4>{I636>{ii?=1<7?tH521?xhf>10;6=;|lb2f<728qC8=<4}oc5`?6=;rB?5<4sA>;>6saa7d94?7|@=:97p`n7183>6}O<980qco81;297~N38;1vbl9=:182M27:2wem:=50;3xL1653tdj;94?:0yK05451zJ747=zfh==6=4>{I636>{ii>=1<7?tH521?xhf?10;6=;|lb3f<728qC8=<4}oc4`?6=9rB?5<6sA>;>6saa6d94?3|@=:97p`n8383>7}O<980qco73;295~N38;1vbl6;:182M27:2wem5850;3xL1653tdj4:4?:0yK05451zJ747=zfk>i6=4<{I636>{ij=i1<7=tH521?xheuG4108ykd3n3:1=vF;039~jg35290>wE:?2:mf02=838pD9>=;|la10<72;qC8=<4}o`62?6=9rB?5<5sA>;>6sab4;94?4|@=:97p`m5`83>4}O<980qcl:b;296~N38;1vbo;l:181M27:2wen8j50;1xL1653tdi9h4?:0yK054j7>51zJ747=zfk<;6=4>{I636>{ij?;1<7?tH521?xhe>=0;6?uG4108ykd1=3:1=vF;039~jg00290:wE:?2:m54342908wE:?2:m5433290:wE:?2:m5432290:wE:?2:m5431290:wE:?2:m543?290:wE:?2:m543>2908wE:?2:m543f290:?vF;039~j472j3:1?vF;039~j472k3:19vF;039~j472n3:1?vF;039~j47183:1?vF;039~j47193:19vF;039~j471:3:1?vF;039~j471;3:1?vF;039~j471<3:1=vF;039~j471=3:1=vF;039~j471>3:1=vF;039~j471?3:1=vF;039~j47103:1=vF;039~j47113:1=vF;039~j471i3:1=vF;039~j471k3:18vF;039~j471l3:1?vF;039~j471m3:1=vF;039~j471n3:1=vF;039~j47083:1=vF;039~j47093:1=vF;039~j470:3:1=vF;039~j470;3:18vF;039~j470<3:1?vF;039~j470=3:1=vF;039~j470>3:18vF;039~j470?3:1?vF;039~j47003:1=vF;039~j47013:18vF;039~j470i3:1?vF;039~j470j3:1=vF;039~j470k3:18vF;039~j470l3:1?vF;039~j470m3:1=vF;039~j470n3:1>vF;039~j47?83:1>vF;039~j47?93:1>vF;039~j47?:3:1=vF;039~j47?;3:1=vF;039~j47?<3:1=vF;039~j47?=3:1=vF;039~j47?>3:1=vF;039~j47??3:1=vF;039~j47?03:1=vF;039~j47?13:1=vF;039~j47?i3:1=vF;039~j47?j3:1=vF;039~j47?k3:1=vF;039~j47?l3:1=vF;039~j47?m3:1>vF;039~j47?n3:1>vF;039~j47>83:1>vF;039~j47>93:1>vF;039~j47>:3:1?vF;039~j47>;3:1?vF;039~j47><3:1=vF;039~j47>=3:1=vF;039~j47>>3:1=vF;039~j47>?3:1=vF;039~j47>03:1=vF;039~j47>13:19vF;039~j47>i3:1?vF;039~j47>j3:1?vF;039~j47>l3:1=vF;039~j47>m3:1=vF;039~j47>n3:1=vF;039~j47f83:1=vF;039~j47f93:1=vF;039~j47f:3:1=vF;039~j47f;3:1=vF;039~j47f<3:1=vF;039~j47f=3:1=vF;039~j47f>3:1=vF;039~j47f?3:1?vF;039~j47f03:1=vF;039~j47f13:1=vF;039~j47fi3:1=vF;039~j47fj3:1=vF;039~j47fk3:1=vF;039~j47fl3:1=vF;039~j47fn3:1?vF;039~j47e83:1=vF;039~j47e93:1=vF;039~j47e:3:1=vF;039~j47e;3:1=vF;039~j47e<3:1=vF;039~j47e=3:1=vF;039~j47e>3:1=vF;039~j47e?3:1=vF;039~j47e03:1=vF;039~j47e13:1=vF;039~j47ei3:1=vF;039~j47ej3:1=vF;039~j47ek3:1=vF;039~j47en3:1?vF;039~j47d83:1?vF;039~j47d93:1?vF;039~j47d:3:1=vF;039~j47d;3:1=vF;039~j47d<3:1=vF;039~j47d=3:1?vF;039~j47d>3:1?vF;039~j47d?3:1=vF;039~j47d03:1=vF;039~j47d13:1=vF;039~j47di3:1=vF;039~j47dj3:1=vF;039~j47dk3:1=vF;039~j47dl3:1=vF;039~j47dm3:1=vF;039~j47dn3:1=vF;039~j47c83:1=vF;039~j47c93:1=vF;039~j47c:3:1=vF;039~j47c;3:1=vF;039~j47c<3:1=vF;039~j47c=3:1?vF;039~j47c>3:1?vF;039~j47c?3:1=vF;039~j47c03:1=vF;039~j47c13:1=vF;039~j47ci3:1=vF;039~j47cj3:1=vF;039~j47ck3:1=vF;039~j47cl3:1=vF;039~j47cm3:1=vF;039~j47b93:1>vF;039~j47b:3:1=vF;039~j47b;3:1?vF;039~j47b<3:1?vF;039~j47b=3:19vF;039~j47b>3:1?vF;039~j47b?3:1?vF;039~j47b03:19vF;039~j47bi3:1?vF;039~j47bj3:1=vF;039~j47bk3:1=vF;039~j47bl3:1=vF;039~j47a93:1?vF;039~j47a;3:1=vF;039~j47a<3:1=vF;039~j47a>3:1=vF;039~j47a?3:1?vF;039~j47a03:1=vF;039~j47a13:1=vF;039~j47ai3:1=vF;039~j47aj3:1=vF;039~j47ak3:1=vF;039~j47al3:1=vF;039~j47am3:1=vF;039~j44793:1=vF;039~j447:3:1?vF;039~j447<3:1>vF;039~j447=3:1>vF;039~j447>3:1=vF;039~j447?3:1=vF;039~j44703:1=vF;039~j44713:1=vF;039~j447i3:1=vF;039~j447j3:1=vF;039~j447k3:1=vF;039~j447l3:1=vF;039~j447m3:1=vF;039~j447n3:1=vF;039~j44683:1=vF;039~j44693:1=vF;039~j446:3:1=vF;039~j446;3:1=vF;039~j446<3:1=vF;039~j40213:1?vF;039~j402i3:1=vF;039~j402j3:1=vF;039~j402k3:1=vF;039~j402l3:1=vF;039~j402m3:1=vF;039~j40193:1;vF;039~j401:3:1=vF;039~j401;3:19vF;039~j401<3:1?vF;039~j401=3:1=vF;039~j401>3:1?vF;039~j401?3:1?vF;039~j40103:1=vF;039~j40113:1?vF;039~j401i3:1?vF;039~j401j3:1=vF;039~j401k3:1=vF;039~j401l3:1=vF;039~j401m3:1=vF;039~j401n3:1=vF;039~j40083:1?vF;039~j40093:1>vF;039~j400:3:1>vF;039~j400;3:1=vF;039~j400<3:1=vF;039~j400=3:1=vF;039~j400>3:1=vF;039~j400?3:1?vF;039~j40003:1?vF;039~j40013:1?vF;039~j400i3:1?vF;039~j400j3:1?vF;039~j400k3:1?vF;039~j400l3:1?vF;039~j400m3:1?vF;039~j400n3:1?vF;039~j40?83:1?vF;039~j40?93:1?vF;039~j40?:3:1?vF;039~j40?;3:1?vF;039~j40?<3:1>vF;039~j40?=3:1>vF;039~j40?>3:1>vF;039~j40??3:1>vF;039~j40?03:1>vF;039~j40?13:1>vF;039~j40?i3:1>vF;039~j40?j3:1>vF;039~j40?k3:1>vF;039~j40?l3:1>vF;039~j40?m3:1>vF;039~j40?n3:1>vF;039~j40>83:1?vF;039~j40>93:1?vF;039~j40>:3:1?vF;039~j40>;3:1?vF;039~j40><3:1?vF;039~j40>=3:1?vF;039~j40>>3:1?vF;039~j40>?3:1?vF;039~j40>03:1?vF;039~j40>13:1?vF;039~j40>i3:1?vF;039~j40>j3:1?vF;039~j40>k3:1=8uG4108yk711l0;6?uG4108yk711o0;60;6uG4108yk71ih0;6?uG4108yk71ik0;6uG4108yk71il0;6?uG4108yk71io0;6uG4108yk71j80;6?uG4108yk71j;0;60;6?uG4108yk71j10;6?uG4108yk71j00;6?uG4108yk71jh0;6?uG4108yk71jk0;6kuG4108yk71jm0;6>uG4108yk71jl0;6uG4108yk>e290:wp`>9c83>4}zf8hj6=4<{|l2f1<728qvb5<4std:j?4?:0y~j7702908wp`=1083>4}zf;9=6=4<{|l175<728qvb?;::180xh55<4std9:h4?:0y~j7?42908wp`=8e83>4}zf;h96=4<{|l1ef<728qvb?j>:180xh5kk0;65<4std9il4?:0y~j66a2908wp`<0883>4}zf:8n6=4<{|l06=<728qvb::7:182xh0=m0;65<6std<5<4?:2y~j2>e290:wp`8b183>6}zf>kj6=4>{|l4g0<728qvb:jn:182xh0mo0;65<6std3=44?:0y~j=4b290:wp`74283>4}zf1<96=4<{|l;1f<728qvb56>:180xh??k0;65<4std35l4?:0y~j=da2908wp`7b883>4}zf1nn6=4<{|l;`=<728qvblol:182xhfk80;65<6stdjio4?:0y~jg6f2908wp`m0583>4}zfk3?6=4>{|laf6<72:qvbook:182xhel;0;6>urnca`>5<6stdij<4?:2y~jgce290:wp`l1183>6}zfj:j6=4>{|l`6c<72:qvbn<6:182xhdurnb6;>5<6stdh:i4?:2y~jf00290:wp`l8b83>6}zfj2=6=4>{|l`eg<72:qvbno::182xhdkh0;6>urnba7>5<6stdhi44?:2y~jfc4290:wp`k0983>6}zfm:96=4>{|lg62<72:qvbi<>:182xhcurne63>5<6stdo:84?:2y~ja3a290:wp`k8583>6}zfm=n6=4>{|lge6<72:qvbi7k:182xhck;0;6>urne``>5<6stdoi<4?:2y~jabe290:wp`j0183>6}zfmlj6=4>{|lf5c<72:qvbh?6:182xhb;l0;6>urnd1;>5<6stdn9i4?:2y~j`30290:wp`j7b83>6}zfl==6=4>{|lf=g<72:qvbh7::182xhbjh0;6>urnd`7>5<6stdnh44?:2y~j`b4290:wp`jf983>6}zfll96=4>{|l2663=83;pqc?=4`83>4}zf88>j7>51zm5713290:wp`>29;94?7|ug;95h4?:0y~j44e;3:1=vsa13a;>5<6std:>ij50;3xyk75n;0;6?l:182xh6;:;1<7?t}o3003<728qvb<=:b;295~{i9:=;6=4>{|l27=3=83;pqc?<9`83>4}zf89jj7>51zm56e3290:wp`>3d194?5|ug;8hi4?:0y~j427:3:1?vsa12d`>5<6std:8??50;1xyk739k0;6{|l204}zf8>io7>53zm51d1290:wp`>93d94?7|Rol1=v?k1;K67b5<6sSlm6d08~L74c3td:5>=50;3xyk7>;=0;6r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngr b/cpld/XC95144XL/WarpSE.ngr index 2080054..035e99a 100644 --- a/cpld/XC95144XL/WarpSE.ngr +++ b/cpld/XC95144XL/WarpSE.ngr @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$57e==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;13857=68;1:=?5>200857:10:30>LHW]]0O0<<50?37?45=AGZ^X7Jnt`C?57<76o1:?7B[ilgq844=87;:7<=5OTVSQQ58f3891]1?=:12;2=54=6;3Ujhi>?01>26?69i2;=6L2=3;:=55=6>3CE\XZ5A=00>=863;k0><4N<3395;773;;1EC^ZT;C?64<66l19?7OPFR@?66<668>0>>4FNQWW>DYA[K69?7?18:09@97=8730>7K}<083:`=52@D[YY4K<083:c=52F__\XZ5Q=394;><:3[7=7>11:1e?62?3=0O0>4?>b97>AgsiH686=0j;58JJUSS2M686=0>2:69MKVR\3NjxlO33;2=b>2=G\^[YY4^<283:42<<3E^X][[:Sgpqir;;3:546:5Q=194;b<<3Xnxb{<283:f=32]cfi2<:133;2=5>3?3<0O094?>b96>AgsiH6?6=06;48FW92=87o097GAPTV9@92=87;9784FNQWW>AgsiH6?6=0i;48LQQVR\3[787>11596>JSSX\^1^h}zlu>7>58?3<0Z094?>e96>Wct}e~787>1f:79[dbc89:;094?>0955>1631;0585N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566m2KGI=Qbuy234576l2KGI=Qbuy23454682KGI=Qbuy2345Yney>0MAK>4:CM@70GIL:H9:6OAD2@00>GIL=<0MCJ;B048EKB3J;<0MCJ;B248EKB3J=<0MCJ:B048EKB2J;<0MCJ:B248EKB2J=<0MCJ:B468EKB?<2KX~km4ARpe[kis89::=h5NSsd\jjr789;Te`~PARpe[kis89::=45N_GQA846912KTJ^L310<:?DYA[K6:>374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH753:4Abnq53=FkexTECXPilr\EfjuW@D]=;5NotvLA`=Fg|~DIRczx1234c=Fg|~DIRczx12344773He~xBKPmtz3456698:0Mb{{OD]nq}67898:j6O`uuMF[hs89:;?<<4AnwwK@Yj}q:;<=Qfmqf8EjssGLUd~=>?0328EjssGLUd~=>?0^kntZGh}}ENSb|?01220>DFK]o0NLM[_hos[GGD\8?0NLM[r59AAWTb3KOY^Rgbp^@FVW713KOY^h9;CGQVwtb3KOY^|Pnnv34575:2HN^_|}_omw4566W`g{SOK]Rsp\jjr789;:86LZFD:8FPUXAGLD=6M;;B35I3=D:9GNB;5L24OFJ6=D0D80OH=4CMI0?FJU;2IEX45LOLOJPQCC?2IYILZJD39@W3=Ddlky=h5Lldcq5Zgil9:;?0018Gim23Jf`Ic94Cmi\BVK6<2IggRGAV^kntZEkcVCEZ4?>59G86813M6?6=0;;E>7:1=C4<4?7I29>59G82833M63295K<8<6?ARFKB;;7IZNCJ]jiuYC\HI@=:5KT@AHvc1>259GPDELz{Uecy>?00]jiuYC\HI@~Qaou2344703Mkm1>17:Fbpd:66<1OmyoN8:FbpdG;8730HlznA=33:<=Ci}kJ01<364D`vbE92902NjxlO35?:8@drfI5<546Jnt`C?3;>?0101?Air|FOTmcj?012\mhvXLfCHQnne23457b3Me~xBKPaof34575:2NdyyAJ_`lg4566W`g{SIaztNG\ekb789;:h6J`uuMF[jt789:9<6J`uuMF[jt789:Te`~PDnwwK@Yhz9:;<4DnwwK@Yhz9:;=Rgbp^FlqqIBWfx;<=?>1:G6?@@fde;;7HHnlm]jiuYBNhfg=?5JS49FW969=2OX0<0:;DQ?6;3?003b?@UXign;<=?Pilr\AVYffm:;<?1e9FWZejxVoz<=>>219FWZejxVoz<=>>_hos[@UXkdzTi|>?003:?@UXg{:;<=?7;DQ\kw6789Uba}QJS^mq45679<1NXLMDd:GWEFMXign;<=>=0:GWEFMXign;<=>Pilr\AQGDCVkeh=>?0033?@RFKBUha}Qjq12347049Fv979<2LH@F<4FE68BAEB:2LM86HId058BCb6%@d37KHk1,Km5<=ANm;&Ec?>8:DE`4+Nf;30JKj>-Hl15==ANm;&Ec=m;GDg5(OiW`g{86HId358BCb5%@d37KHk2,Km5<=ANm8&Ec?>9:DE`7+Nf8827KHk2,Km56>8:DE`7+Nf=30JKj=-Hl75<=ANm8&Ec:=9:DE`7+Nf=937KHk2,Km1<=ANm8&Ec;>8:DE`7+Nf?h0JKj=-Hl\mhv33OLo?:5IFe1.Mk>9:DE`6+Nf8;27KHk3,Km57?399EBa5*Ag827KHk3,Km64>8:DE`6+Nf<30JKj<-Hl65<=ANm9&Ec8>8:DE`6+Nf>h0JKj<-Hl\mhv43OYI?6H\Mb9EWHY7%ykyiczl;GQN[4+wi{oexn5ISL]1)ugumg~h7K]B_2/sewci|81L?6IAD09J6>O7:2C:>6G=2:K06>O3:2C>>6G92:K40>OFKZ?0ELM\149JEFU5=2CJO^=7;HC@WZojx=1BBDZ:;HLJPV14:KMMQUSKVcf|RGAIUQWG4773@DBX^Qlmq]fu56788:0ECG[S^antZcv89::==5FNHVP[fkwWl{;<=<>0:KMMQUXkdzTi|>?0218MKP23@EJOYj4INC@PZgil9:;4INC@PZgil9:;>d:KLEFRXe|r;<=>j;HMBGQYj}q:;<=?i;HMBGQYj}q:;<=?>f:KLEFRXe|r;<=>=1d9JKDESWds<=>?3038MJGD\Vg~t=>?0^kntf=NGHI_Sb|?0122a>OHIJ^Tc>?01]jiuYNGHI_Sb|?01222>OHIJ^y:6G@BDPQa>OHJLXYS`{w0123b>OHJLXYS`{w0123546<119JKGCUZVg~t=>?023e?LIEM[XTaxv?012755=NGKOY^Rczx123417a3@EII_\Pmtz34562991BCOK]R^ov|5678<;:<6G@BDPQ[hs89:;:81g9JKGCUZVg~t=>?0933?LIEM[XTaxv?012;546=5FOCGQVZiu89:;Sdc_HMAAWTXg{:;<=?;;HMAI1=NGKYj7DAMS^Pfea6:2CDN^Q]e`fz[dhc89:;>l5FOCQ\V`gcqVkeh=>?0^kntZOHJZUYiljv_`lg456798k0EBL\_Sgb`|YneyUBCO]PRdcg}42?0107?LID[Vif|Rk~0123[lkwW@EH_Rmbp^gr45679o1BCN]Pclr\at67888?7DALS^antZcv89::Sdc_HM@WZejxVoz<=>>1g9JKFUXkdzTi|>?0307?LID[Vif|Rk~0121[lkwW@EH_Rmbp^gr45659o1BCN]Pclr\at678:8?7DALS^antZcv89:8Sdc_HM@WZejxVoz<=><1g9JKFUXkdzTi|>?0507?LID[Vif|Rk~0127[lkwW@EH_Rmbp^gr45639o1BCN]Pclr\at678<8?7DALS^antZcv89:>Sdc_HM@WZejxVoz<=>:1g9JKFUXkdzTi|>?0707?LID[Vif|Rk~0125[lkwW@EH_Rmbp^gr45619o1BCN]Pclr\at678>8?7DALS^antZcv89:81g9JKFUXkdzTi|>?0907?LID[Vif|Rk~012;[lkwW@EH_Rmbp^gr456?9o1BCN]Pclr\at67808?7DALS^antZcv89:2Sdc_HM@WZejxVoz<=>61g9JKFUXkdzTi|>?1107?LID[Vif|Rk~0133[lkwW@EH_Rmbp^gr4577911BCN]Pilr7?LIK8j1BCA>Pmtz3456c3@EGOHX^IX=?5FOQU@WZojxVCD\ZM\149JKWCVl2CD^H_Paof3456582CD^H_Paof3456XadzTEB\JQ^cm`56788n0EB\JQ^ov|5678l1BC_K^_lw{45679o1BC_K^_lw{456798l0EB\JQ^ov|5678;;n7DA]EP]nq}67899m7DA]EP]nq}67899:j6G@RDS\ip~789:?=h5FOSGR[hs89:;9=0:KLVR6Xign;<=>Pilr\MJTP8Vkeh=>?00f8MJTP8Vg~t=>?0d9JKWQ7Wds<=>?1g9JKWQ7Wds<=>?10d8MJTP8Vg~t=>?033f?LIU_9Ufyu>?01125>OHZ^:Taxv?012\mhvc3@EY[=Qaou2344473@EY[=Qaou2344YneyUBC_Y?_omw45669j1BC_Y?_np34566m2CD^Z>Pos2345YneyUBC_Y?_np34566k2CD^Z>Pos23447b3@EY[=Q`r1235ZojxVCD^Z>Pos2344723@EY[4INPT5Zgil9:;>c:KLVR7Xg{:;<=?j;HMQS4Yhz9:;0EB\jae{\ekb789:Te`~PINPfeaXign;<=>>f:KLV`gcqVddx=>?1368MJTbimsTbbz?013\mhvXAFXnmiwPnnv34576m2CD^hoky^mq4567:;1BC_kndx]lv5678Vcf|RG@Rdcg}Ziu89:;=>5FORg8MJUXkdzTi|>?01g8MJUXkdzTi|>?00g8MJUXkdzTi|>?03g8MJUXkdzTi|>?02g8MJUXkdzTi|>?05g8MJUXkdzTi|>?04g8MJUXkdzTi|>?0768MJS7k2CDY=Qbuy2345b5Fn518Mk343@d=i6EJEQ]KM@TFZJBR;6B@GHABHa=KXDUJHIQ\UC]2`>JWEVKOHR]ZB^0g?IVJWHNOS^[M_2`8HUKXJ\Y_^D?k;MRN[FIRF]OYSi5CPL]@KPHSM[U846B_M^FEBZ?3`9OTHYCNOU:8l5CPL]GBCY6=h1G\@QKFG]22d=KXDUOJKQ>7`9OTHYCNOU:4l5CPL]GBCY6101G\@QKFG]1e>JWEVNMJRJWEVNMJR<;a:NSIZBANV8>m6B_M^FEBZ41i2F[ARJIF^04e>JWEVNMJR<79:NSIZBANV927A^B_EDE[1?3EZFSIHI_9;8HUKXLOLT5l5CPL][KW4X9?1GXdcjr89OPlkbz5:5m6B[ilgq8469i2F_e`k}<03=g>JSadoy0<<50?c8HQojm{6:>374LUknaw:6601GXdcjr=0==>JSadoy0>06;MVji`t;<730@Ygbes>6:<=K\`gn~1819:NWmhcu4>427AZfmdp?<;?99OPlkbz]I:?6B[ilgqPFYdeyUn}=>?0068HQojm{^HSnc_ds345669=1GXdcjrUA\ghvXmx:;<=<>4:NWmhcu\JUha}Qjq12346703E^bah|[C^antZcv89:;Sdc5:Nlea7c3Eejh_omw45669m1FmijP_`fg4567n2GscQ]erwop9776o1Ftb|PRdqvhq:697l0Aua}_Sgpqir;9;4n7@v`r^Pfwpjs484n7@v`r^Pfwpjs4;4n7@v`r^Pfwpjs4:4n7@v`r^Pfwpjs4=4n7@v`r^Pfwpjs4<4n7@v`r^Pfwpjs4?4n7@v`r^Pfwpjs4>4n7@v`r^Pfwpjs414n7@v`r^Pfwpjs40497C>=;O31?K463F>0CIM\e:MGGVYneyUDHN]>3:MQ60=HZ;H:?6A]349LV6D6=2EY?O<<;NP77>IU=:1D^;=4OS:7?Jhdmj1DbnkPaof34566m2EeohQnne2345YneyUDbnkPaof34566j2EeohQ`r12344eIr|9o0Cxz?_hos[Jss88>0Cxz>e:Mvp4YneyUDyy?>4:Mvp7cVd3Y$9<<=>001\H1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF6>VTl2ZXSnc_ds3456c3YYTo`~Pep2344bVTWjg{Sck?0122?T2384Q=194;2W;<7>0]1;14:S?2;2?0^kntZWX9Vey<=>?149QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86823[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH34R@O@WZejxVoz<=>?279QEHETWjg{Sh?012\mhvXZHGH_Rmbp^gr456798:0^LCLS^kntZTFEJY:96\NMDLg?WGJMGUfyu>?01g8VDKBFVg~t=>?00d8VDKBFVg~t=>?003e?WGJMGUfyu>?0102a>TFELDTaxv?0120b>TFELDTaxv?01205`=UIDOES`{w01230c=UIDOES`{w012304`2XJA_kndx]bja6789Uba}Q]ALPfeaXign;<=>>119QEHTbimsTmcj?01312>TFE[ojhtQnne2344YneyUYM@\jae{\ekb789;:==5]ALPfeaXe|r;<=>>1:PBIWcflpUfyu>?01326>TFE[ojhtQbuy2345769;1YM@\jae{\ip~789:9=?3068VDKUmhnrS`{w0123[lkw12XJAR\jae{2<>TFEVXnmiwPilr\VDKXZlkou<;4R@QFHa=UIZOGS`{w0123a>TF[LFTaxv?0122b>TF[LFTaxv?01225c=UIZOGS`{w012364cPmtz345669l1YMR>Pmtz345659m1YMR>Pmtz34564991YMR>Pmtz3456Xadzh7_OP1^ov|5678m1YMR?Pmtz34566m2XJSRczx123447b3[KT>Rczx123477c3[KT>Rczx12346773[KT>Rczx1234Zojxj1YMR=Pmtz3456c3[KT?Rczx12344cTaxv?01225`=UIV>Taxv?01215a=UIV>Taxv?012055=UIV>Taxv?012\mhvd3[KT9Rczx1234a=UIV?Taxv?0122a>TFW?0132a>TFW?0102`>TFW?01124>TFW?01]jiueTFW?Ufyu>?013f?WGX>Vg~t=>?003f?WGX>Vg~t=>?033g?WGX>Vg~t=>?0233?WGX>Vg~t=>?0^kntf=UIV=Taxv?012g?WGX?Vg~t=>?00g8VDY0Wds<=>?10g8VDY0Wds<=>?20f8VDY0Wds<=>?3028VDY0Wds<=>?_hosg>TFW1Ujbi>?013f?WGX0Vkeh=>?0^kntZTFW1Ujbi>?013`?WGX0Vg~t=>?0e9QEZ>Xe|r;<=>>e:PB[=Yj}q:;<=?>e:PB[=Yj}q:;<=<>d:PB[=Yj}q:;<==>0:PB[=Yj}q:;<=Qfmqa8VDY>Wds<=>?d:PB[??;SC\=Zkrp9:;TB[{l>7_K\rsf8V@UuzVddx=>?1328V@UuzVddx=>?1^kntZTB[{xTbbz?01321>THEJYo7_ABCR]bja67898;7_ABCR]bja6789Uba}Q]OLAP[dhc89:;=<>4RNO@WZejxVoz<=>?279QKHETWjg{Sh?012\mhvXZFGH_Rmbp^gr456798:0^BCLS^kntZTHEJY:>6\\d:PP[fkwWl{;<=>k;SQ\ghvXmx:;<i5]S^antZcv89:8h6\\_bos[`w789>o7_]Pclr\at678?90^YB:;Sgb`|02Xnmiw=119Qadb~W`g{S_kndx3`?WcflpUd~=>?00g8V`gcqVey<=>?_hos[WcflpUd~=>?00a8V`gcqVey<=>>1d9Qadb~Wfx;<=?Pilr\V`gcqVey<=>>169QacBhflo0^hhKoog\kw6789897_kiDnlf[jt789:Te`~PRddGkkcXg{:;<=?n;SgeBwijZZ:n7_kiFsmnVV6Imq~::6\jfGpliWU7FlrSl`k012377=UmoLyc`\\0Og{pZgil9:;?0011?WcaN{ef^^>Aeyv\ekb789;Te`~PRddEvjkU[9DntyQnne234476>2XnjK|`mSQ3J`~sWhdo<=>=339Qac@ugdXX7_kiFsmnVV6Imq~Tc>?0113?WcaN{ef^^>Aeyv\kw6789Uba}Q]egDqkhTT8GosxRa}01235a=UmoLyc`\\0Qpf65=UmoLyc`\\0Qpf[lkwW[omJabRR2Sv`76i2XnjK|`mSQ3[lkwW[omJabRR22e>TbnOxda_]81`9Qac@ugdXX;Rgbp^PfbCthe[Y<=;5]egPfu==UmoXn}D`n;SgeV`wTqgin7_kiRds\ekb789:9>6\jfSgr[dhc89:;Sdc_SgeV`wXign;<=>>109QacTbyVif|Rk~01236==UmoXn}Rmbp^gr4567W`g{S_kiRds\ghvXmx:;<=?>1:PfbWcvWjg{Sh?0131<>Tbn[ozSnc_ds3457XadzT^hh]ep]`iuYby9:;=?003g?WcaZl{Tc>?0103?WcaZl{Tc>?01]jiuYUmoXn}Ra}012353=Umo_yk55]egWqcLhb3[omYiPaof34565:2XnjX|h_`lg4567W`g{S_kiUse\ekb789::m6\jgTpdW|hd>2Xnxb{9:Pfwpjs494j7_k|umv?558f3[oxyaz310<`?Wct}e~7=?4?>`9Qavsk|5;9245]erwop97912Xnxb{<319:Pfwpjs4:4i7_k|umv?0?6912Xnxb{<5<:?Wct}e~79374Rdqvhq:1601Yi~{ct=5==>Tb{|f0506;Sgpqir;178=7_k|umv\4Zhh|9:;?013a?VO@J\YTECH@a:QJCZDR[@NSn6]FG^@VWKGJM<1X[1?15:QT87803Z]7?7?15:QT86833Zig~95\crvb?VhcZHGH_Z\;;Rqfp1=SQYO>7Ygbes:8Plkbz5:546Zfmdp?5;><\`gn~1<1a:Vji`t;;3:546Zfmdp?7;1<\`gn~YM>2:Vji`tSKVif|Rk~01236d=SadoyXNQlmq]fu5678Vcf|RZfmdpWGZejxVoz<=>?1e9WmhcuWhdo<=>?219WmhcuWhdo<=>?_hos[Qojm{Ujbi>?0134?QtnLh~j?6XLC89UM@QX\PZN?6X_Ab9UTDYneyU]\L?:;WRBvc3<^YKy~i5YP@pq[kis89::>=5YP@pq[kis89::Sdc_WRBvwYig}:;<?_hos[SocZHGH_Z\94j]`iuYby9:;<?1003?SocZHGH_Z\94j]jiuYQamXJAN]XR76h543<^`nYM@M\WS]`iuYby9:;<>>4VhfQEHET_[Uha}Qjq1234ZojxV\bh_OBCRUQ[fkwWl{;<=>>149UmaTFEJY\^Rmbp^gr4566;91]ei\NMBQTVZejxVoz<=>>_hos[SocZHGH_Z\Pclr\at6788;:96XfdSCNGVQUWjg{Sh?01004>Pnl[KFO^Y]_bos[`w7898Te`~PVhfQEHET_[Uha}Qjq12364723_co^LCLSVP\ghvXmx:;<>=?;WkgVDKD[^XTo`~Pep2346YneyU]ei\NMBQTVZejxVoz<=><1078RlbUIDIX[_Qlmq]fu567<::0Zdj]ALAPSWYdeyUn}=>?4^kntZPnl[KFO^Y]_bos[`w789>:=85YiePBIFUPZVif|Rk~012675=QamXJAN]XR^antZcv89:>Sdc_WkgVDKD[^XTo`~Pep234076=2\bh_OBCRUQ[fkwWl{;<=8<0:Tj`WGJKZ]YSnc_ds3450XadzTZdj]ALAPSWYdeyUn}=>?6036?SocZHGH_Z\Pclr\at678>9;7[gkR@O@WRTXkdzTi|>?06]jiuYQamXJAN]XR^antZcv89:<=<;4VhfQEHET_[Uha}Qjq123<66<^`nYM@M\WS]`iuYby9:;4Rgbp^Tj`WGJKZ]YSnc_ds345>69<1]ei\NMBQTVZejxVoz<=>6319UmaTFEJY\^Rmbp^gr456>W`g{S[gkR@O@WRTXkdzTi|>?08321>Pnl[KFO^Y]_bos[`w788:8<6XfdSCNGVQUWjg{Sh?002\mhvX^`nYM@M\WS]`iuYby9::<2:Tj`WGJKZ]YSb|?012157=QamXJAN]XR^mq4567;8?0Zdj]ALAPSWYhz9:;0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj0=_g5:5:6V`<1<22>^h4949:6V`<1<0=>^h494Te`~<;Yqw=>^t|NGdhhbm;^cg`56785:5o6Qnde2345:687i0Sljk01238479m2Ujhi>?01>26?69k2Ujhi>?01>26;d?<0?01>6:g=Ximn;<=>36?`8[dbc89:;0:0m;^cg`5678525n6Qnde2345:>611Tmcj?01222>Yffm:;<=Qfmq]\ekb789::46Qnne2344713Vkeh=>?1^kntZYffm:;<?20:8[dhc89:8=;5Paof3455XadzTSl`k01205==Xign;<=:>6:]bja678=Uba}QPaof3452602Ujbi>?0435?Zgil9:;9Rgbp^]bja678<;37Road1232409_hos[Zgil9:;:<64_`lg45609?1Tmcj?015\mhvXWhdo<=>8199\ekb7892::6Qnne234=YneyUTmcj?01:2<>Yffm:;<4?9;^cm`5671Vcf|RQnne234<7?3Vkeh=>>0048[dhc89;;Sdc_^cm`56688=0Sb|?01220>Yhz9:;Yhz9:;=Rgbp^]lv56798?0mijjrd9bja6789Ud~=>?0308ekb789:Tc>?01]jiuYffm:;<=Q`r123445et;2nmj45kisg`plii;2lxn95foco`?lieeVddx=>?00g8mjdjWge<=>?_hos[lieeVddx=>?0068mjdt12dJHb{{LD22<>hFLf@H>Pilr\jDBh}}FN<?0348jDBh}}FN3:lBW2=iIZUM_O94n@Q\MJD6:2dJ_RG@B^lBWZOHJfm6`NS^KLFjss>2dJ_b{{e:lBWjssWge<=>>239mEVir|Vddx=>?1^kntZhF[fSca{01225a=iIZe~xRa}012365=iIZe~xRa}0123[lkwWgKXcxzPos2345703gKdyyAJ159mEjssGLUba}QaAnwwK@723gHN^_74nCGQVZ@TJ01eNH\]_HMA3>hEOVCDN:5aBS]JKG`>0:lAVZOHJVg~t=>?0032?kDUW@EIS`{w012354763gHYSDAM_lw{4567:8;;7cL]_HMA[hs89:;?<=4nCP\MJDXe|r;<=>Pilrf?kDUW@EISb|?01216>hEZVCDNRa}0123[lkwWgHYSDAM_np34566<2dHM^m4nBCP[kis89::=h5aC@Q\jjr789;Te`~PnBCP[kis89::=;5aDUC@Od=iL]KHGRH\B`9m@QGDCVCDN;5aDhlOAa=iL`dGIRa}012365=iL`dGIRa}0123[lkwWgNbbAKPos2345713gNbbBK>2:lGmkIBW`g{ScJfnNG23>hCg|~DIk5aDnwwK@Yffm:;<=<;;oFlqqIBWhdo<=>?_hos[kBh}}ENSl`k0123542hKLZUd~=>?0^kntZhKLZUd~=>?00`8jIBTWfx;<=?>c:lO@VYhz9:;=Rgbp^lO@VYhz9:;=<:4nMUFg>hK_LUjbi>?013f?kJPMVkeh=>?0^kntZhK_LUjbi>?013f?kJPMVcf|R`CWD30?kIBj2dDIRoad12344ehHMVcf|R`@E0:8jJpbzekr==5aOwgqhdXign;<=>=6:lLr`tkipUjbi>?01]jiuYiGoy`lwPaof34566?2dYM@BXE69mVDKR_L>0b_O\4:lQAVehUGD]NSl`k0123[lkwWgXDAZKPaof345669;1e^BCXE^kntZhUGD]N=95aUEQ;?kSC[VLXN55aUEQ\MJD6<2d^H^QFOC]mQAUXAFHdyyl4nTFP[LIEg|~h7c[KS^cm`56788o0bXJ\_`lg4567W`g{Sc[KS^cm`56788i0bXJ\_omw45669l1eYI]Pnnv3457XadzTbXJ\_omw45669=1eYZKl;oWTAZgil9:;<hQEHUBCO?;;oTNEZOHJVd]ALQFOCmvpg=i^DKTEBL`uua8jSKFWhdo<=>?1d9mRHGXign;<=>Pilr\jSKFWhdo<=>?1g9mRHGXkdzTi|>?0107?kPJIVif|Rk~0123[lkwWg\FMRmbp^gr45679j1eZ@OPnnv34576m2d]ALQaou2344YneyUeZ@OPnnv34576<2d]\L64nWRB[CUE02d]\LQFOCa8jSVFWhdo<=>?1d9mRUGXign;<=>Pilr\jSVFWhdo<=>?1d9mRUGXadzTb[^N129mS@13yeyxR}lls;8tjtsWzynx<5}3:pbi==u|eUu}ki;rmvvfcXn`fnblcjd:qlqwebWeceicl=;tr:?sgk}lUhec74v`nvaZiwj11so4;?0ynf?}e>=:7<6sZkm6>77:37a>453j9h=6<:;0dym7<7=92d85?49;%1:4?5?i2wXmh4<99811g<6;=h;n;4>451:?a5?13:1=7?tS`d97<>=::m0c495127m2|M?=?50;395?6|[hl1?46524`9562e8k<1=9:?e:&01a<6mj1/>8m544g8f6>>290?n7?<:71xH6>c2;qC=hl4$37`>13c3tF84h4>{%66>if?3:17b=i6;29?l5c03:17b:;2;29?l25j3:17b:=6;29?jg?2900c9?i:188m6c22900c9?k:188k6`52900c>k8:188m`e=831b==l50;9j7c1=831d?i750;9l7`7=831b8=?50;9l04c=831b89=50;9l07e=831d8<850;9je<<722cj:7>5;n1g5?6=3f9o97>5;h630?6=3`9n:7>5;n610?6=3f9m47>5;n631?6=3f>847>5;n1ef?6=3f9mh7>5;h675;n04g?6=,;?n6?9m;o06`?6<3f85$37f>71e3g8>h7?4;n0;1?6=,;?n6?9m;o06`?4<3f8387>5$37f>71e3g8>h7=4;n0;7?6=,;?n6?9m;o06`?2<3f83>7>5$37f>71e3g8>h7;4;n0;5?6=,;?n6?9m;o06`?0<3f83<7>5$37f>71e3g8>h794;n04b?6=,;?n6?9m;o06`?><3f85$37f>71e3g8>h774;n04`?6=,;?n6?9m;o06`?g<3f8<57>5$37f>71e3g8>h7l4;h:5>5<#:=n0=0;6)<:e;:6?k42l3;07d6<:18'60c=0<1e>8j52:9j<7<72-8>i76:;o06`?5<3`2;6=4+24g9<0=i:!42m32>7c<:d;78?l1b290/>8k5849m60b=>21b;i4?:%06a?>23g8>h794;h5`>5<#:=n?k0;6)<:e;:6?k42l3307d9n:18'60c=0<1e>8j5a:9j3<<72-8>i76:;o06`?d<3`=36=4+24g9<0=i:!42m32>7c<:d;f8?l>b290/>8k5849m60b=m21b4i4?:%06a?>23g8>h7h4;h:`>5<#:h5=m0:=65f8`83>!42m32>7c<:d;31?>o?13:1(?;j:978j73c28907d67:18'60c=0<1e>8j51598m=1=83.99h475:l11a<6=21b4<4?:%06a?>23g8>h7?9;:k00d<72-8>i7=;9:l11a<732c8854?:%06a?5312d99i4>;:k002<72-8>i7=;9:l11a<532h84n4?:083>5}#;=l1=9?4H2:a?j7383:17pl<8g83>4<729q/?9h534a8L6>e3f8>m7>5;|`0g<<728k1>54?68;jeg<722e:m;4?::m00a<722c2m7>5;h614?6=3f;3<7>5;h673?6=3f83;7>5;n0e0?6=3f;?n7>5;h73>5<#:=n8j52:9j0g<72-8>i7:i;o06`?5<3`>26=4+24g90c=i:!42m3>m7c<:d;78?l20290/>8k54g9m60b=>21b8;4?:%06a?2a3g8>h794;h66>5<#:=n<=0;6)<:e;6e?k42l3307d:<:18'60c=8j5a:9j07<72-8>i7:i;o06`?d<3`>:6=4+24g90c=i:!42m3>m7c<:d;f8?l3?290/>8k54g9m60b=m21b9:4?:%06a?2a3g8>h7h4;c1;b?6=93:1>oe<3:17dl::188m=`=831i;7>55;294~"4c28q/=8>54478ylgf2900elm50;9je0<722ci47>5;n051?6=3th2<7>52;294~"4522c8yvd22909wSl:;<59ed=z{;936=4={<596337p}=3883>7}Yj116;7l7;|a51`=83;:6>4>3z&00c<647>5;h63>5<>o3;3:17d:;:188m13=831b8;4?::k73?6=3`>36=44i5;94?=n50;9a51e=8331<7>t$26e>25<@:2i7d?;3;29?l73<3:17d?;5;29?l73>3:17d?;7;29?l7303:17d?;9;29?l73i3:17b<5<?6=44i066>5<=6=44i064>5<36=44i06:>5<j6=44o31b>5<53;294~"46F<8c9j515=831b=9:50;9l66g=831v<:m:181[73j27:8h4=3`9~w01=838pR894=06`>4243ty>47>52z\6<>;6vP;1:?20f<6h6<:8;|q77?6=:rT??63>4b820==z{=>1<727p};5;296~X3=27:8n4>4`9~w10=838pR984=06g>4243ty?;7>52z\73>;6vP;9:?20a<6o6<:8;|q7`?6=:rT?h63>4e820==z{=o1<727p}:0;296~X2827:8i4>4`9~w42d2909w0?;c;00e>;65rs06g>5<5s4;?h7<9`9l5=6=831b=5:50;9j5=0=831b=5650;9j5=g=831b=5m50;9j5=c=831b=4>50;9j5<4=831b=4:50;9j5<0=831b=4650;9j732=831i=5?50;;94?6|,:>m6?::;I1;f>o6<:0;66g>4583>>o6<<0;66g>4783>>o6<>0;66g>4983>>o6<00;66g>4`83>>i5;h0;66sm19094?3=83:p(>:i:361?M5?j2c:8>4?::k201<722c:884?::k203<722e9?l4?::a5=5=8391<7>t$26e>75c3A93n6g>4283>>o6<=0;66a=3`83>>{t91:1<7{t91<1<7{t91k1<7{t91o1<7{t9081<7{t90<1<7{t;?>1<752;9j70?73;370>{zj:236=4k:28e!53n39346a<8583>>o4>k0;66g<6e83>>o4>o0;66g<7083>>o4?:0;66g<7483>>o4?>0;66g<7883>>o4?k0;66g<7e83>>o4?o0;66g<8083>>d40<0;644?:1y'71`=:=?0D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;h373?6=3`;?47>5;h37=?6=3`;?m7>5;n00e?6=3th84;4?:483>5}#;=l1>9<4H2:a?l73;3:17d?;4;29?l73=3:17d?;6;29?j44i3:17pl<8683>6<729q/?9h522f8L6>e3`;??7>5;h370?6=3f88m7>5;|q0<1<72;qU?5:4=2:4>75f3ty8:o4?:3y]73d<5:2>6<:;;|q02a<72;qU?;j4=2:6>4243ty8:k4?:3y]73`<5:2>6<::;|q034<72;qU?:?4=2:6>4203ty8;>4?:3y]725<5:2>6<:9;|q030<72;qU?:;4=2:6>42?3ty8;:4?:3y]721<5:2>6<:n;|q03<<72;qU?:74=2:6>42>3ty8;o4?:3y]72d<5:2=6<:<;|q03a<72;qU?:j4=2:5>4223ty8;k4?:3y]72`<5:2=6<:;;|q0<4<72;qU?5?4=2:5>4213ty8484?:3y>7=3=::k01>68:060?xu40?0;6?u2394966g<5:2<6<:;;|a66e=83?1<7>t$26e>3e<@:2i7d?;3;29?l73<3:17d?;5;29?l73>3:17b<4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<55;294~"46<:180>5<7s-9?j78=;I1;f>o6<:0;66g>4583>>i5;h0;66sm2g;94?5=83:p(>:i:31g?M5?j2c:8>4?::k201<722e9?l4?::a5d1=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<53;294~"488:180>5<7s-9?j78=;I1;f>o6<:0;66g>4583>>i5;h0;66sm37;94?3=83:p(>:i:7;8L6>e3`;??7>5;h370?6=3`;?97>5;h372?6=3f88m7>5;|`02f<72<0;6=u+35d92f=O;1h0e<:<:188m4232900e<:::188m4212900c?=n:188yg51m3:197>50z&00c<1j2B84o5f15194?=n9=>1<75f15794?=n9=<1<75`22c94?=zj:=;6=4::183!53n3m6;l4H2:a?l73;3:17d?;4;29?l73=3:17d?;6;29?j44i3:17pl<7583>0<729q/?9h56c9K7=d86=44i067>5<>6=44i065>5<N40k1b=9=50;9j512=831b=9;50;9j510=831d>>o50;9~f61?290>6=4?{%17b?0e3A93n6g>4283>>o6<=0;66g>4483>>o6>{e;>k1<7;50;2x 62a2?k0D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;n00e?6=3th8;n4?:483>5}#;=l1:o5G39`8m4242900e<:;:188m4222900e<:9:188k75f2900qo=8e;291?6=8r.88k49a:J05<2290;w)=;f;4b?M5?j2c:8>4?::k201<722c:884?::k203<722e9?l4?::a7=4=83?1<7>t$26e>3?<@:2i7d?;3;29?l73<3:17d?;5;29?l73>3:17b<m1C?5l4i060>5<?6=44i066>5<=6=44o31b>5<55;294~"45<7s-9?j78m;I1;f>o6<:0;66g>4583>>o6<<0;66g>4783>>i5;h0;66sm19;94?3=83:p(>:i:7c8L6>e3`;??7>5;h370?6=3`;?97>5;h372?6=3f88m7>5;|`250z&00c<1j2B84o5f15194?=n9=>1<75f15794?=n9=<1<75`22c94?=zj82m6=4::183!53n3m6;o4H2:a?l73;3:17d?;4;29?l73=3:17d?;6;29?j44i3:17pl>9283>0<729q/?9h56c9K7=d86=44i067>5<>6=44i065>5<N40k1b=9=50;9j512=831b=9;50;9j510=831d>>o50;9~f4?0290>6=4?{%17b?0f3A93n6g>4283>>o6<=0;66g>4483>>o6>{e9031<7;50;2x 62a2?30D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;n00e?6=3th9j;4?:283>5}#;=l1:>5G39`8m4242900e<:;:188k75f2900q~om:181[ge34>:m7l;;|q2e3<72;qU=l84=0c4>75f3ty2m7>52z\:e>;39j0:8>5rs503>5<5sW>9<63;1`8;b>{t91:1<7i3;3<6s|45594?5|V=><70=9a;377>;6i>0:8>5rs3:4>5<3sW83;63=8`817d=:;?k1=9:4=0c4>4233ty9j94?:3y]6c2<5;l26?=n;|q20g<72;qU=9l4=06e>42e3ty:494?:3y>501<6::31b?xu60?0;6?u218c95=0<582<6?=n;|q2<=<72;q6=4o519:894>>2;9j7p}>8`83>7}:90k1=5o4=0:a>75f3ty:4n4?:3y>52;9j7p}>9183>7}:90k1=4>4=0;2>75f3ty:5?4?:3y>56?=n;|q2=3<72;q6=4o5184894?02;9j7p}=f483>7}::o31=9=4=3d5>75f3ty9?o4?:2y>66e=::k01?h6:067?826k3;?86s|2g594?4|5;l36?=n;<0e2?73<2wx?;850;0x960f28>>70=97;00e>{t;?21<7421349=57<=838p1?6n:060?84?1388m6s|39694?4|5:236>6;;<1;7?73<2wx870<7a;370>;5n?0:8>5rs246>5<5s49=m7<70:>c;00e>{t;?h1<760e349=o7<67:24g?851m388m6s|37d94?4|5:236>8i;<144?44i2wx?:?50;0x96>?2:=:70=82;00e>{t;>91<7614349<87<67:256?850>388m6s|36d94?4|5:236>9i;<1;4?44i2wx?;:50;0x94?f2:{t;1;1<76>63493>7<67:254?8500388m6s|36;94?4|5:236>96;<14e?44i2wx?:l50;0x96>?2:=i70=8c;00e>{t;>n1<761c3494=06e>06<5;9h6<::;<0;=?73<279j54>479>5=3=9=>01<68:067?87?13;??63>8c8203=:91n1=984=0:e>42134;2=7?;6:?2=6<6=70?69;372>"451`=>m5154897>>28>870;60<0:8;521959510<58226<:9;<3;f?73=27:4i4>459>5=`=9=>01<7>:060?87>;3;?963>948200=:90=1=9;4=0;:>4223-9?:7:i;o171?7o6=4>0z\7`>;642334;397?;3:?2<2<6<<16=575157894>e28>?70?7d;377>;60o0:88521839513<58386<:;;<3:1?73;27:5:4>459>50(>:9:5d8j6222;1v9l50;33[2e34;?j7:m;<00g?73;278::4>429>6c>=9=901<6::066?87??3;??63>888201=:91h1=9=4=0:g>42234;3j7?;3:?2=4<6<=16=4=5151894?228>?70?67;377>;6100:8>5+35490c=i;=?1?6s|4883>6}Y<016=9h5489>73?=9=<0(>:9:5d8j6222=1v9650;1xZ1><58>m6964=24:>4223-9?:7:i;o171?3<6=4<{_64?873n3><70=99;370>"43:1?vP;6:?20c<3>278:44>429'710=423349<<7?;5:?037<6<=16?::51568961128>870=88;372>;4?h0:8;5236a9510<5:=n6<:9;<1;4?73>2784?4>479'710=424349<<7?;6:?037<6=70=88;370>;4?h0:8>5236a9513<5:=n6<::;<1;4?73=2784?4>449'710=5215d906=:;?i1=984=24f>421349<<7?;4:?037<6<:16?::51578961128>>70=88;371>;4?h0:885236a9512<5:=n6<:<;<1;4?73<2784?4>459'710=422349<<7?;3:?037<6<<16?::51518961128>?70=88;377>;4?h0:895236a9515<5:=n6<:;;<1;4?73;2784?4>429'710={t<90;6?uQ419>51`=<91/?9854g9m713=l2wx954?:3y]1==:9=l1955+35490c=i;=?1i6s|5683>7}Y=>16=9h5569'710==838p1>6i:37b?826i3h37psm44494?542:919:uC39f95~N6mk1v@>6j:3ym00?=?6?uk:0`9ylge2900c9=<:188m6b02900c9<9:188k17a2900c>h=:188m:o50;&11`<5?k1e>8j51:9l6=3=83.99h4=7c9m60b=:21d>5:50;&11`<5?k1e>8j53:9l6=5=83.99h4=7c9m60b=<21d>5<50;&11`<5?k1e>8j55:9l6=7=83.99h4=7c9m60b=>21d>5>50;&11`<5?k1e>8j57:9l62`=83.99h4=7c9m60b=021d>:k50;&11`<5?k1e>8j59:9l62b=83.99h4=7c9m60b=i21d>:750;&11`<5?k1e>8j5b:9j0a<72-8>i7:l;o06`?6<3`>i6=4+24g90f=i:!42m3>h7c<:d;08?l2?290/>8k54b9m60b=;21b8:4?:%06a?2d3g8>h7:4;h65>5<#:=n<<0;6)<:e;6`?k42l3<07d:;:18'60c=8j57:9j06<72-8>i7:l;o06`?><3`>96=4+24g90f=i:!42m3>h7c<:d;c8?l27290/>8k54b9m60b=j21b954?:%06a?2d3g8>h7m4;h74>5<#:=n=?0;6)<:e;6`?k42l3o07d;::18'60c=8j5f:9j11<72-8>i7:l;o06`?7732c>?7>5$37f>1e5<#:h5=m0:?65f4`83>!42m3>h7c<:d;37?>d40o0;6<4?:1y'71`=;6m;n06e?6=3th9jl4?:g82>c}K;1n1=vF>ec9~H6>b28q/88655:'71`=9:i0eo:50;9l61d=831d>9j50;9l61`=831d>8?50;9l605=831d>8;50;9l601=831d>8750;9j5`2=831b=h;50;9j5`0=831b=h950;9j5`>=831b=h750;9a56d=83l1o7?<{M1;`?7|@8oi7p*<4g81bd=n9l>1<75f1d794?=n9l<1<75f1d594?=n9l21<75f1d;94?=nj?0;66a=4c83>>i5>i5=80;66a=5283>>i5=<0;66a=5683>>i5=00;66l>0g83>6<62:qG?5j51zJ2ag=z,:>m6<>j;h`;>5<6=44ic694?=e?3:1?7>50z&00c<68j1C?5l4L2:g>4}#9<:188;4}hc6>5<1<7>950;0x92<5><1U>;;4}r000;6>4>:2yO7=b=9rB:io5r$26e>46b3`h36=44o346>5<1<75m7;297?6=8r.88k4>0b9K7=d6=44ic:94?=h:??1<75rsc694?4|Vk>01:4n5:p661=838p1:4=649]63352z\a<>;02k20qpl>2883>6<62:qG?5j51zJ2ag=z,:>m6<>j;h`;>5<6=44ic694?=e?3:1?7>50z&00c<68j1C?5l4L2:g>4}#9<:188;4}hc6>5<1<7>950;0x92<5><1U>;;4}r005<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm10094?5=83:p(>:i:31g?M5?j2c:8>4?::k201<722e9?l4?::p541=838pR50;0xZ47734;:>7<51<75f1d:94?=n98=1<75f11d94?=n9l<1<75f1d;94?=n9;31<75`10:94?=e9;=1<7;50;2x 62a2?30D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;n00e?6=3th:=l4?:483>5}#;=l1:l5G39`8m4242900e<:;:188m4222900e<:9:188k75f2900qo?>b;297?6=8r.88k494:J05<4290;w)=;f;41?M5?j2c:8>4?::k201<722e9?l4?::a54c=8391<7>t$26e>34<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<7>55;294~"45<7s-9?j78;;I1;f>o6<:0;66g>4583>>i5;h0;66sm13694?5=83:p(>:i:708L6>e3`;??7>5;h370?6=3f88m7>5;|`263<72?0;6=u+35d9615<@:2i7d?;3;29?l73<3:17d?;5;29?l73>3:17d?;7;29?j44i3:17p}>e583>1}Y9l>01<<8:060?876i3;?963>238200=z{8o36=4<{_3f<>;6:>0:8952130951055z\252=:9;=1=9;4=03b>42434;9<7?;4:?267<6<:1v<>i:186[77n27:>:4>479>54d=9=>01a;370>;6:;0:895rs0g:>5<5sW;n563>1`8203=z{8826=4:{_31=>;69k0:8>5210g9515<588;6<:<;<317?73;2wx=<650;0xZ47?34;9:7<3;??6s|10c94?4|58;h6?=n;<312?73<2wx={t98l1<775f34;9:7?;6:p577=838p1<<;:31b?875>3;?;6s|10`94?4|58;j6?=n;<32g?73;2wx=c;370>{t9;81<775f34;987?;3:p575=838p1<<<:31b?875<3;?86srb01:>5v*<4g827<=n9;31<75f11d94?=n9l21<75f1d494?=n9l>1<75f1d794?=n9l=1<75f1d;94?=n98=1<75`13c94?=e9:21<7;50;2x 62a2?i0D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;n00e?6=3th:>n4?:483>5}#;=l1:o5G39`8m4242900e<:;:188m4222900e<:9:188k75f2900qo?=d;297?6=8r.88k494:J05<4290;w)=;f;41?M5?j2c:8>4?::k201<722e9?l4?::a566=83<1<7>t$26e>3`<@:2i7d?;3;29?l73<3:17d?;5;29?l73>3:17d?;7;29?j44i3:17pl>3383>6<729q/?9h5629K7=d86=44i067>5<N40k1b=9=50;9j512=831b=9;50;9l66g=831vn<=9:187>5<7s-9?j788;I1;f>o6<:0;66g>4583>>o6<<0;66a=3`83>>{e9:=1<7950;2x 62a2;>?7E=7b:k206<722c:894?::k200<722c:8;4?::k202<722c:854?::m17d<722wx=?750;4xZ44>34;847?;3:?26f<6<=16=>>51518945328>870?<6;377>{t99l1<78t^02e?87403;?863>2b8206=:9::1=9:4=011>42434;887?;5:p5`>=839pR27:?;4>449~w4c1290?wS?j6:?27=<6<<16=?j51568945728>=7p}>e583>1}Y9l>01<378201=z{8o>6=4={_3f1>;6:m0:8>5rs0g4>5<5sW;n;63>2b8203=z{8o26=4={_3f=>;6;90:8:5rs034>5<4sW;:;63>338201=:9:>1=9:4}r31e?6=:rT:>l52125966g52z?27=<5;h16=>951518yv75k3:1>v3>2d817d=:9:=1=9:4}r31b?6=:r7:?=4=3`9>561=9=?0q~?<1;296~;6;;09?l52125951052z?271<5;h16=>951558yv74=3:1>v3>37817d=:9:=1=964}r31`?6=:r7:>n4=3`9>57c=9=90q~?=e;296~;6:m09?l5213g95125}#;=l1::5G39`8m4242900e<:;:188m4222900c?=n:188yg43m3:187>50z&00c<1?2B84o5f15194?=n9=>1<75f15794?=h::k1<75rb373>5<3290;w)=;f;4;?M5?j2c:8>4?::k201<722c:884?::m17d<722wi>8<50;694?6|,:>m6;84H2:a?l73;3:17d?;4;29?l73=3:17b<>1C?5l4i060>5<?6=44i066>5<N40k1b=9=50;9j512=831b=9;50;9l66g=831v<>i:18b877n38=963>17824c=:9;21==h4=01:>46a348?o7?;3:?10`<6<<16>8>51578973528>>70<:4;377>;5=10:8>5rs034>516=?651058945>28;<70<;c;370>;5459~w44>290jw0?=9;051>;69?0:>45213:957?<58926<<6;<07g?73=2798h4>429>606=9=901?;=:060?842<3;?863=598200=z{8o?6=4<{_3f0>;6:10:i95212;95`252z\2a0=:9:31=h;4}r3f2?6=;rT:i;5213:95`0<589264c03ty:i54?:2y]5`><5883634;947?j9:?27<<6m01vo850;6xZg0<58:m6o:4=034>g2<58826o:4}r07f?6=:rT98o5225a966g52z\10a=::=o1>>o4}r07b?6=:rT98k52242966g=7>52z\114=::<81>>o4}r067?6=:rT99>52246966g;7>52z\112=::<21>>o4}r324?6=:r7:{t9;k1<7g><58926<i6=4={_07f>;6;k098o5rs36g>5<5sW8?h63>3c810a=z{;>m6=4={_07b>;6;k098k5rs372>5<5sW8>=63>3c8114=z{;?86=4={_067>;6;k099>5rs376>5<5sW8>963>3c8110=z{;?<6=4={_063>;6;k099:5rs37:>5<5sW8>563>3c811<=z{8o?6=4={_3f0>;6;k0:i95rs0g6>5<5sW;n963>3c82a0=z{8o=6=4={_3f2>;6;k0:i;5rs0g4>5<5sW;n;63>3c82a2=z{8o36=4={_3f<>;6;k0:i55rs0g:>5<5sW;n563>3c82a<=zuk3h6=4<:080I5?l3;pD>47;4}%17b?4782ci47>5;n051?6=3`h?6=44b683>6<729q/?9h511a8L6>e3`k>6=44ic:94?=h:??1<75rsc694?4|Vk>01:4n5:p661=838p1:4=649]63352z\a<>;02k20qpl<2383>6<62:qG?5j51zJ2ag=zD:2n6{#;=l1>=>4ic:94?=h:??1<75fb583>>d029086=4?{%17b?77k2B84o5fa483>>oe03:17b<95;29?xue<3:1>vPm4:?4>d352z?4>7023W8=96s|22:94?4|Vk201:4m8:~f65629086<4<{M1;`?7|@8oi7pB<8d82!2203?0q)=;f;034>oe03:17b<95;29?ld32900n:4?:283>5}#;=l1==m4H2:a?lg22900eo650;9l633=831vo:50;0xZg2<5>0j96s|22594?4|5>09:85Q2778yv4403:1>vPm8:?4>g>=4?:282>6}K;1n1=vF>ec9~H6>b28q/88655:'71`=:9:0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|qa0?6=:rTi8638:`78yv44?3:1>v38:346?[41=2wx>>650;0xZg><5>0i46srb20g>5<42808wA=7d;3xL4ce3tF84h4>{%665;h`7>5<0;6>4?:1y'71`=99i0D>6m;hc6>5<1<7>950;0x92<5><1U>;;4}r00d}#<<2196*;5680gd=#<=l1n55+4439633<,=>o6o:4n56:>4=#<=o1n85a45c95>"3=:088o5a45`94>{#;=l1>=o4ic694?=nj<0;66gm8;29?j41=3:17d=;b;29?g1=83?1>7;tL2:g>4}O9lh0q)=;f;3e0>oe03:17b<95;29?ld32900eo;50;9jef<722h<6=4::183!53n3;;h6F<8c9jed<722cjo7>5;hc6>5<:183!53n3;?=6F<8c9l516=831vo:50;0xZg2<5>0j96s|b483>7}Yj<16;7on;|q172<72;q6?5m5152892>650;0x92<5><1U>;;4}r00=?6=:rTi4638:c:8yxd4k80;6>4?:1y'71`=::o0D>6m;h377?6=3`;?87>5;n00e?6=3tyi87>52z\a0>;02k>0q~l::181[d2349h=7?;4:p633=838pR?8:;<5963353z\00g=:?3h370=l1;377>{t;j:1<7;4k809?l5r}c0;f?6=;3;1?vB<8e82M7bj2wG?5k51z&71=<23t.88k4=0c9jf=<722e9:84?::ka0?6=3k=1<7=50;2x 62a28:h7E=7b:N0<7::5:je0<722ci47>5;n051?6=3tyi87>52z\a0>;02h?0q~<<7;296~;02;<>7S<95:p66>=838pRo64=68a<>{zj;326=4<:080I5?l3;pD>47;4}%17b?47k2ci47>5;n051?6=3`h?6=44b683>6<729q/?9h511a8L6>e3E93h7?t$073>1323tcj97>5;h`;>5<6=44}r`7>5<5sWh?7095a49~w7502909w0952778Z7023ty9?54?:3y]f==:?3h37psm2`494?5=939p@>6k:0yK5`d0=z,:>m6?>k;h`;>5<6=44ic694?=e?3:1?7>50z&00c<68j1C?5l4L2:g>4}#9<:188;4}hc6>5<1<7>950;0x92<5><1U>;;4}r004=:5yO7=b=9rB:io5rL2:f>4}#<<2196s+35d965c5<7s-9?j7??c:J0599K7=d96=44o31b>5<97p}=3683>7}:?3k>70l8:31b?xu5;10;6?u27;051>X5><1v?=6:181[d?34=1n55r}c0:2?6=03<1hv*<4g81=3=h:1i1<75f2gf94?=n;8:1<75f9`83>>o50k0;66g=fd83>>o49l0;66g=9683>>d51<0;6>4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<53;294~"4t$26e>35<@:2i7d?;3;29?l73<3:17b<6m;h377?6=3`;?87>5;h371?6=3f88m7>5;|q15m4=3;7>75f3ty9ji4?:3y]6cb<5;2m6<::;|q055<72;qU?<>4=3:e>4243ty2m7>54z\:e>;51<0:8>5229g9515<5;3:6<:<;|q15l4=3;6>4233482?7?;4:p6cc=838pR?hj;<0:5?73=2wx?5j50;0x97?22;9j70<7e;370>{t::=1<775f3483j7?;4:p66>=838p1?6i:31b?84><3;??6s|28294?4|5;3:6?=n;<0:0?73<2wx>4<50;0x97?42;9j70<64;371>{zj;k86=47:28b!53n38j?6a=9d83>>o5nm0;66g<1083>>o49k0;66g=9`83>>o5nl0;66g<1583>>o51j0;66l=a383>0<729q/?9h56b9K7=d86=44i067>5<>6=44i065>5<N40k1b=9=50;9j512=831b=9;50;9l66g=831vn?o>:180>5<7s-9?j7<5<5sW82i63=a0817d=z{;lo6=4={_0e`>;5i;0:8;5rs232>5<5sW9:=63=a38206=z{:;i6=4={_12f>;5i;0:895rs3;b>5<5sW82m63=a38200=z{;ln6=4={_0ea>;5i90:885rs237>5<5sW9:863=a18201=z{;3h6=4={_0:g>;5i90:8>5rs3;e>5<5s48j>7<5a;79a~"41<75m2`g94?2=83:p(>:i:758L6>e3`;??7>5;h370?6=3`;?97>5;n00e?6=3th9m44?:283>5}#;=l1>>j4H2:a?l73;3:17d?;4;29?j44i3:17pl=a`83>6<729q/?9h5639K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f7gc290=6=4?{%17b?43;2B84o5f15194?=n9=>1<75f15794?=n9=<1<75f15594?=h::k1<75rs3c4>5<5sW8j;63=ae817d=z{;lo6=4={_0e`>;5ih0:8>5rs232>5<4sW9:=63=ad8206=::h31=9=4}r12f?6=:rT8=o522`g951252z\1=d=::ho1=9;4}r0eb?6=:rT9jk522`f951252z\1bg=::hn1=9;4}r134?6=:rT8<=522`f951052z\1b`=::hi1=9=4}r120?6=:rT8=9522`a951252z?1e`<5;h16>l751568yv44?3:1>v3=a8817d=::hk1=9:4}r006db=9=90q~3}#;=l1>o;4o35:>5<6<729q/?9h5639K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f7d429086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{;=26=4={_04=>;5j:09?l5rs3c5>5<4sW8j:63=b58206=::k81=9=4}r6b>5<5sW>j70{t<90;6?uQ419>6g4=9=>0q~52z?1f7<5;h16>o=51568yxd5jk0;694<:7y'71`=:kh0c?9k:188m7g12900e8?50;9j04<722h9nl4?:283>5}#;=l1:?5G39`8m4242900e<:;:188k75f2900qo5<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~w71c2909wS<8d:?1f<<5;h1v?o9:180[4f>279nl4>429>6g>=9=90q~;>:181[36348im7?;4:p04<72;qU8<522c:951252z?1fd<5;h16>o751518yv4e?3:1>v3=b9817d=::k31=9:4}|`1g4<72=086;u+35d96f75<>d5k90;6>4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<53;294~"45<7s-9?j7<5<5sW8;5k90:8>522cg95157}Y<;16>ok51568yv4ek3:1>v3=c1817d=::kl1=9=4}r0a`?6=:r79nh4=3`9>6g`=9=>0qpl=c683>1<42?q/?9h52b58k71a2900e?o9:188m05=831b8>4?::`1g3<72:0;6=u+35d927=O;1h0e<:<:188m4232900c?=n:188yg4d<3:1?7>50z&00c<1;2B84o5f15194?=n9=>1<75`22c94?=zj;i>6=4<:183!53n388h6F<8c9j515=831b=9:50;9l66g=831v?9i:181[40n279o84=3`9~w7g12908wSn:51518yv342909wS;<;<0`2?73<2wx8>4?:3y]06=::j>1=9:4}r0`6?6=:r79o;4=3`9>6f3=9=90q~3}#;=l1>nj4o3:3>5<6<729q/?9h5639K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f7ee29086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{;2;6=4={_0;4>;5kk09?l5rs3c5>5<4sW8j:63=cb8206=::jk1=9=4}r77>5<5sW??70{t<=0;6?uQ459>6fg=9=>0q~52z?1gd<5;h16>nl51568yxd5l:0;694<:7y'71`=:m90c?6>:188m7g12900e8;50;9j00<722h9h?4?:283>5}#;=l1:?5G39`8m4242900e<:;:188k75f2900qo5<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~w7>62909wS<71:?1`4<5;h1v?o9:180[4f>279h?4>429>6a6=9=90q~;::181[32348o>7?;4:p00<72;qU88522e2951252z?1`7<5;h16>i?51518yv4dn3:1>v3=d1817d=::m;1=9:4}|`1`<<72=086;u+35d96a?5<>d5l10;6>4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<53;294~"45<7s-9?j7<5<5sW83>63=d6817d=z{;k=6=4<{_0b2>;5l10:8>522e495157}Yi851568yv4c<3:1>v3=d9817d=::m=1=9=4}r0g1?6=:r79h;4=3`9>6a1=9=>0qpl=dg83>1<42?q/?9h52ed8k7>42900e?o9:188m01=831b8:4?::`1``<72:0;6=u+35d927=O;1h0e<:<:188m4232900c?=n:188yg4ck3:1?7>50z&00c<1;2B84o5f15194?=n9=>1<75`22c94?=zj;no6=4<:183!53n388h6F<8c9j515=831b=9:50;9l66g=831v?6<:181[4?;279hi4=3`9~w7g12908wSim51518yv302909wS;8;<0ga?73<2wx8:4?:3y]02=::mi1=9:4}r0ge?6=:r79hh4=3`9>6ab=9=90q~3}#;=l1>h94o3:7>5<6<729q/?9h5639K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f7c229086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{;2?6=4={_0;0>;5m<09?l5rs3g3>5<4sW8n<63=e78206=::l>1=9=4}r7;>5<5sW?370{t<10;6?uQ499>6`2=9=>0q~52z?1a1<5;h16>h;51568yxd5mm0;694<:7y'71`=:ln0c?6::188m7g12900e9l50;9j0<<722h9in4?:283>5}#;=l1:?5G39`8m4242900e<:;:188k75f2900qo5<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~w7>22909wS<75:?1ag<5;h1v?o9:180[4f>279in4>429>6`g=9=90q~:m:181[2e348no7?;4:p0<<72;qU84522dc951252z?1af<5;h16>hl51518yv4b13:1>v3=e`817d=::lh1=9:4}|`1e0<72:0;6=u+35d966c<@:2i7d?;3;29?l73<3:17b<6m;h376?6=3f88m7>5;|`773<72;0;6=u+35d950><@:2i7d?;2;29?j44i3:17pl;1483>7<729q/?9h514:8L6>e3`;?>7>5;n00e?6=3th?=44?:383>5}#;=l1=864H2:a?l73:3:17b<6m;h376?6=3f88m7>5;|`05c<72:0;6=u+35d927=O;1h0e<:<:188m4232900c?=n:188yg56l3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<56;294~"4>o50;9~f67?29086=4?{%17b?053A93n6g>4283>>o6<=0;66a=3`83>>{e;;>1<7=50;2x 62a2?90D>6m;h377?6=3`;?87>5;n00e?6=3th8?=4?:283>5}#;=l1:>5G39`8m4242900e<:;:188k75f2900qo=?f;297?6=8r.88k4=3e9K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f14?29086=4?{%17b?033A93n6g>4283>>o6<=0;66a=3`83>>{e<:?1<7;50;2x 62a2?i0D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;n00e?6=3th?=94?:483>5}#;=l1:n5G39`8m4242900e<:;:188m4222900e<:9:188k75f2900qo:>8;297?6=8r.88k493:J05<2290;w)=;f;4a?M5?j2c:8>4?::k201<722c:884?::k203<722e9?l4?::a743=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<0;694?:1y'71`=>?1C?5l4i060>5<?6=44i066>5<86=44i067>5<N40k1b=9=50;9j512=831b=9;50;9l66g=831vn?7k:180>5<7s-9?j78=;I1;f>o6<:0;66g>4583>>i5;h0;66sm3g694?4=83:p(>:i:07;?M5?j2c:8?4?::m17d<722wxmo4?:by]eg=::ok1n9529b8a0>;4:;0i863<308a0>;4:90i863<2e8a0>;48m0i863=8c8a0>;5100i863=a78a0>;4n;0i86s|42194?4|V=9870:<6;00e>{t;m=1<7;t^2f4?82503;??63;348206=:<8>1=9=4=53;>4243ty?>;4?:3y]070<5=826?=n;|q75c<72:qU873f349;h7l7;|q0b7<72;qU?k<4=2d1>7023ty2m7>5az\:e>;5nh0:i9529b8a<>;51?02m63<1b8201=:;891=9;4=236>424349:;7?;5:?1=g<6<:16>4j51518yv55m3:1>vP<2d9>767=j11v?o;:181[4f<279m84=3`9~w1712909wS:>6:?75<<5;h1v9><:181[27;27?=94>449~w6462909wS==1:?067947?;4:?770<6<=168<:51568917?28>?7p};2`83>7}Y<;k019=::066?xu50>0;65uQ295897`f28o=70;49j0:8;522d39512<5:;86<:;;<123?73;2795o4>459~w1432909wS:=4:?760<5;h1v?h;:181[4a<27?>84>439~w1752909wS:>2:?750<5;h1v>420349:97?;4:?052<6<=16>4j51568yv55n3:1>v3<2e8a<>;4;909?l5rs3;4>5<5s482:7<67:?1==<5;h1v4m50;1x97`f28o>707l:346?856;3;??6s|31f94?5|5::o6?8:;<110?73;278?=4>429~w1702909w0:>9;376>;39109?l5rs517>5<5s4>8:7?;2:?770<5;h1v?k?:18184b?38n<63=e0817d=z{:;i6=4;{<0b7?56j279mk4<1c9>74b=9=>01>?l:31b?xu5nk0;6>u22gc961d<5;km6?hm;<13b?73;2wx??>50;1x97`f28o<70==0;051>;49:0:8;5rs3d`>5<5s48mm7<;d:?04c<6<=1v?hk:18484ai38?j63=9781ba=::h91>kj4=3ce>7`c349:o7?;5:?05=<6<:16>4651518yv4>i3:1?v3=a281=d=::hl1>4o4=3;a>75f3ty9jh4?:7y>6cg=:<;01?79:3df?84f;38mi63=ag81b`=:;8l1=9=4=3;;>4233ty95n4?:3y>6d5=:0i01?7k:31b?xu5no0;6?u22gc9605<5;km6?hi;|q045<72;q6>ko5245897ga2::;7p};1283>7}:<8?1=9<4=537>75f3ty8j>4?:3y>7c4=j116?k:522c8yv4f>3:1ov3=a78120=::k?1>l84=3`a>7g1348h=7nj52`4897b42;k=70;5lo09m;522df96d0<5;o:6<:<;<1e0?73:2wx>l950;0x97g12k201?oi:3c4?xu4900;6?u230:9512<5:;j6?=n;|q055<72;q6>4853028967c2;9j7p}<3083>7}:;:;1>;;4=213>4233ty8=h4?:3y>6<0=;8o01>?i:31b?xu48l0;6?u231f9f0=:;9l1>>o4}r125?6=4<109>6d`=;8;01>?k:060?8560388m6s|29`94?1|5;lj674e=9=9019=::065?826<3;?:63=9c8200=z{:886=4={<114?d?349987<<=:346?857l39?n63<258201=z{:;96=4={<127?44i278=l4>429~w673290?w0;5io08=952307966g<5:;j6<:;;|q053<72;q6?<9522c8967f28>>7p}=9883>7}::031>;;4=3c6>4233ty95h4?:3y>6l=528g8yv25?3:1>v3;288207=:<;21>>o4}r0;g?6=:r794o4m8:?1=3<50j1v?6::181[4?=279ii4=849'710=:>h0b>:::39~w7>32909wS<74:?1a2<50=1/?98526`8j6222:1v?6<:181[4?;279hk4=829'710=:>h0b>:::59~w7>52909wS<72:?1`<<50;1/?98526`8j6222<1v?6>:181[4?9279h>4=809'710=:>h0b>:::79~w7>72909wS<70:?1ga<5091/?98526`8j6222>1v?9i:181[40n279o:4=7g9'710=:>h0b>:::99~w71b2909wS<8e:?1g4<5?l1/?98526`8j622201v?9k:181[40l279no4=7e9'710=:>h0b>:::`9~w71>2909wS<89:?1f0<5?01/?98526`8j6222k1v9j50;0xZ1b5<4sW>27S<8c:?1aa<312.88;4;c:l000<53ty?47>52z\7<>;5m>0?46*<4787g>h4<<087p};7;296~X3?279hk4;7:&003<3k2d8884;;|q72?6=:rT?:63=d8872>"4vP;5:?1`6<3=2.88;4;c:l000<13ty?87>52z\70>;5km0?86*<4787g>h4<<0<7p};3;296~X3;279o:4;3:&003<3k2d88847;|q76?6=:rT?>63=c0876>"4vP;1:?1fg<392.88;4;c:l00052z\74>;5j<0?<6*<4787g>h4<<0i7p}:8;296~X20279i:4:8:&003<3k2d8884l;|q63?6=:rT>;63=dg863>"43:1>vP:6:?1`<<2>2.88;4;c:l00097>52z\61>;5l:0>96*<4787g>h4<<0m7p}:4;296~X2<279oi4:4:&003<3k2d8884>0:p16<72;qU9>522b5916=#;=<18n5a357954=z{<81<7:9:5a8j6222880q~;>:181[36348in7;>;%172?2d3g9?97?<;|q7e?6=:rT?m63=b487e>"4{zj:n;6=4>3;04>63|D:2o6:i:05a?lge2900e<8::188m4g12900e<;6:188m6b02900e4o50;9l5d>=831b8==50;9j5=6=831d=o;50;9j011=831b8?o50;9l55;n1f0?6=3k8>j7>5b;39f~J40m0:wE?jb:O7=c=9r.?954;;|&00c<6;m1bn94?::m10g<722e98i4?::m10c<722e99<4?::m116<722c:i94?::k2a0<722c:i;4?::k2a2<722c:i54?::`27g<72k026kuC39f95~N6mk1v(>:i:37e?l7b<3:17d?j5;29?l7b>3:17d?j7;29?l7b03:17dl9:188k72e2900c?:k:188k72a2900c?;>:188k7342900n<>i:180>4<4sE93h7?tH0ga?x"4>i5><0;66gm4;29?g1=8391<7>t$26e>46d3A93n6B<8e82!7283>>96sfa483>>oe03:17b<95;29?xue<3:1>vPm4:?4>d352z?4>7023W8=96s|22:94?4|Vk201:4m8:~f47029086<4<{M1;`?7|@8oi7p*<4g824`=nj10;66a=6483>>oe<3:17o950;194?6|,:>m6<>l;I1;f>J40m0:w)?:0;661>{ni<0;66gm8;29?j41=3:17p}m4;296~Xe<27<6l;4}r003?6=:r7<6?8:;_051>{t::21<77<2s-9?j7?>6:k24c<722c:i54?::k252<722e:==4?::`256<72:0;6=u+35d927=O;1h0e<:<:188m4232900c?=n:188yg76:3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<52z\24c=:9891=9=4}r3f52z\252=:9881=9:4}r324?6=:rT:==52100966g52z?256<5;h16=<<51518yxd6:10;6549:ey'71`=9;20e5<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm10c94?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`25`<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg7583:187>50z&00c<1>2B84o5f15194?=n9=>1<75f15794?=h::k1<75rb001>5<2290;w)=;f;4a?M5?j2c:8>4?::k201<722c:884?::k203<722e9?l4?::a570=83<1<7>t$26e>7243A93n6g>4283>>o6<=0;66g>4483>>o64683>>i5;h0;66s|1d694?4|V8o?70?=7;370>{t99l1<7;t^02e?875?3;??63>1`8206=:9;:1=9:4=001>4233ty:=:4?:5y]541<58;j6<:;;<32a?73<27:>=4>429~w4c?2908wS?j8:?25`<6<:16=?>51578yv7b=3:1>vP>e49>574=9=?0q~?j6;296~X6m?16=?<51548yv7b?3:1>vP>e69>574=9=90q~?>8;296~X69116=?8522c8yv7613:1>v3>26817d=:9;<1=9=4}r32e?6=:r7:=l4=3`9>570=9=>0q~?>d;296~;69l09?l52134951352z?265<5;h16=?851548yv7593:1>v3>23817d=:9;<1=994}|`10f<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg43m3:1?7>50z&00c<1:2B84o5f15194?=n9=>1<75`22c94?=zj;?;6=4<:183!53n3<87E=7b:k206<722c:894?::m17d<722wi>8<50;194?6|,:>m6;:4H2:a?l73;3:17d?;4;29?j44i3:17pl=5583>6<729q/?9h522f8L6>e3`;??7>5;h370?6=3f88m7>5;|q24c<721q6==h52778947128:m70?=8;33b>;55225g9512<5;?;6<:;;<066?73<279994>459~w4702903w0?>7;051>;69?0:=:5213:9541<5;>h6<:;;<07a?73;2799=4>429>604=9=901?;;:060?xu6m=0;6?uQ1d68944?28o?7p}>e483>7}Y9l?01<<7:0g6?xu6m?0;6?uQ1d48944?28o=7p}>e683>7}Y9l=01<<7:0g4?xu6m10;6>uQ1d:8947128o370?=8;3f<>{tj?0;6>uQb79>55`=j=16=<95b59~w72e2909wS<;b:?10f<5;h1v?:k:181[43l2798h4=3`9~w72a2909wS<;f:?115<5;h1v?;>:181[4292799?4=3`9~w7342909wS<:3:?111<5;h1v6;324>{t9821<7g><58836i6=4={_07f>;6;k098o5rs36g>5<5sW8?h63>3c810a=z{;>m6=4={_07b>;6;k098k5rs372>5<5sW8>=63>3c8114=z{;?86=4={_067>;6;k099>5rs0g7>5<5sW;n863>3c82a1=z{8o>6=4={_3f1>;6;k0:i85rs0g5>5<5sW;n:63>3c82a3=z{8o<6=4={_3f3>;6;k0:i:5rs0g;>5<5sW;n463>3c82a==zuk;=87>53;397~J40m0:wE?jb:O7=c=9r.?954;;|&00c<58o1bn54?::m120<722ci87>5;c594?5=83:p(>:i:02`?M5?j2F84i4>{%364?22=2wbm84?::ka5;|qa0?6=:rTi8638:`78yv44?3:1>v38:346?[41=2wx>>650;0xZg><5>0i46srb0a7>5<22;0>wA=7d;3xL4ce3tF84h4>{%660:ka5;h`7>5<>d0290>6=4?{%17b?77l2B84o5fa`83>>ofk3:17do::188mg>=831d>;;50;9~f6>d290:6=4?{%17b?7392B84o5`15294?=z{k>1<77}:;1i1=9>4=68bg>{t::21<76j:9y'00>=<2.?9:40b9:6:09'005=;=h0b9:m:09~ 62a28l=7dl;:188mg>=831d>;;50;9j71d=831i;7>53;397~J40m0:wE?jb:'71`=9o>0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|qa0?6=:rTi8638:`78yv44?3:1>v38:346?[41=2wx>>650;0xZg><5>0i46srb2ca>5<5290;w)=;f;36<>N40k1b=9<50;9l66g=831vo:50;0xZg2<5>0i86s|27794?4|V;<>70952778yv53j3:1>vP<4c9>7dd=9=80q~=na;296~;02k201>om:31b?x{ej80;694>:2yO7=b=9rB:io5rL2:f>=}#<<2186*;5680gd=#<=l1n55+4439633<,=>o6o:4n56:>4=#<<91?9l4n56a>5=z,:>m65<{I3ff>{#;=l1=k:4ic:94?=h:??1<75fb583>>d029086=4?{%17b?77k2B84o5fa483>>oe03:17b<95;29?xue<3:1>vPm4:?4>d352z?4>7023W8=96s|22:94?4|Vk201:4m8:~wg2=838pRo:4=68a0>{t:??1<76j:`y'00>=<2.?9:40b9:6:09'01c=j<1e89o51:&711<45;n051?6=3`9?i7>5;c594?3=:3?p@>6k:0yK5`d5;h`7>5<>d0290>6=4?{%17b?77l2B84o5fa`83>>ofk3:17do::188mg>=831d>;;50;9~f6>d290:6=4?{%17b?7392B84o5`15294?=z{k>1<77}:;1i1=9>4=68bg>{t::21<7vPm4:?4>g26=4={_`6?85d;3;?86s|27794?4|V;<>70952778yv53m3:1?vP<4d9>3?d?349h?7?;3:p7f4=838p1:4m5:?0g6<5;h1vqo?n2;291?4==rF84i4>{I3ff>{K;1o1=v*;5987?x"4>i5><0;66gm4;29?ld22900elm50;9a3?6==3:15;h`;>5<6=44}c1;g?6=93:1>950;0x96>d28>;7095ab9~w75?2909w0952778Z7023ty9?44?:3y]f==:?3h37psm1ed94?3=:3?p@>6k:0yK5`d1=z,:>m6???;h`;>5<6=44ic694?=nj<0;66gnc;29?g1=83?1<7>t$26e>46c3A93n6gna;29?lgd2900el;50;9jf=<722e9:84?::a7=e=83;1<7>t$26e>4263A93n6a>4183>>{tj=0;6?uQb59>3?g23tyi97>52z\a1>;02hk0q~<<7;296~;40j0:8=527;c`?xu5;10;6?u27;051>X5><1v?=6:181[d?34=1n55r}c3b5<c28qC=hl4}M1;a?7|,=?3695r$26e>7773`h36=44o346>5<1<75fb483>>ofk3:17o950;794?6|,:>m6<>k;I1;f>ofi3:17dol:188md3=831bn54?::m120<722wi?5m50;394?6|,:>m6<:>;I1;f>i6<90;66s|b583>7}Yj=16;7o:;|qa1?6=:rTi9638:`c8yv44?3:1>v3<8b8205=:?3kh7p}=3983>7}:?38=96P=649~w75>2909wSl7;<59f==zuk?26=4<:080I5?l3;pD>47:4}%17b?4782ci47>5;n051?6=3`h?6=44b683>6<729q/?9h511a8L6>e3`k>6=44ic:94?=h:??1<75rsc694?4|Vk>01:4n5:p661=838p1:4=649]63352z\a<>;02k20qpl>9c83>0<52{#;=l1><>4ic:94?=h:??1<75fb583>>oe=3:17dol:188f2<72<0;6=u+35d955b<@:2i7don:188mde=831bm84?::ka5;|`0vPm4:?4>d36=4={_`6?81=ih1v?=8:18185?k3;?<638:`a8yv4403:1>v38:346?[41=2wx>>750;0xZg><5>0i46srb0f;>5<22;0>wA=7d;3xL4ce3tF84h4>{%660:ka5;h`7>5<>d0290>6=4?{%17b?77l2B84o5fa`83>>ofk3:17do::188mg>=831d>;;50;9~f6>d290:6=4?{%17b?7392B84o5`15294?=z{k>1<77}:;1i1=9>4=68bg>{t::21<76j:`y'00>=<2.?9:40b9:6:09'01c=j<1e89o51:&716<45;n051?6=3`9?n7>5;c594?3=:3?p@>6k:0yK5`d5;h`7>5<>d0290>6=4?{%17b?77l2B84o5C39f95~"6=90?985ri`c94?=nij0;66gn5;29?ld?2900c?8::188yg5?k3:1=7>50z&00c<6<81C?5l4o063>5<0jm6s|22594?4|5:2h6<:?;<59ef=z{;936=4={<596337p}=3883>7}Yj116;7l7;|a7f7=8391<7>t$26e>75b3A93n6g>4283>>o6<=0;66a=3`83>>{tj=0;6?uQb59>3?d33tyi97>52z\a1>;4k80:895rs346>5<5sW8=9638:346?xu4uQ35`892429~w6e72909w095b49>7f7=::k0qpl>c883>0<42>qG?5j51zJ2ag=zD:2n6lu+44:90>"3=>08ol5+45d9f==#<<;1>;;4$56g>g226<5+45g9f0=i<=k1=6*;52800g=i<=h1<6s+35d965g1<75fb483>>oe03:17b<95;29?l53j3:17o950;796?3|D:2o65<2290;w)=;f;33`>N40k1bml4?::kbg?6=3`k>6=44ic:94?=h:??1<75rb2:`>5<6290;w)=;f;375>N40k1d=9>50;9~wg2=838pRo:4=68b1>{tj<0;6?uQb49>3?gf3ty9?:4?:3y>7=e=9=:01:4nc:p66>=838p1:4=649]63352z\a<>;02k20qpl6<729q/?9h5639K7=d86=44i067>5<?7p}m8;296~Xe0278mo4>459~w7022909wS<95:?4>7023ty88o4?:2y]71d<5:ki6<:<;<1`5?73;2wx?lo50;0x927f7=::k0qpl0<42>qG?5j51zJ2ag=zD:2n6lu+44:90>"3=>08ol5+45d9f==#<<;1>;;4$56g>g226<5+45g9f0=i<=k1=6*;55800`=i<=i1<6s+35d95cg1<75fb483>>oe03:17b<95;29?l53m3:17o950;796?3|D:2o65<2290;w)=;f;33`>N40k1bml4?::kbg?6=3`k>6=44ic:94?=h:??1<75rb2:`>5<6290;w)=;f;375>N40k1d=9>50;9~wg2=838pRo:4=68b1>{tj<0;6?uQb49>3?gf3ty9?:4?:3y>7=e=9=:01:4nc:p66>=838p1:4=649]63352z\a<>;02k20qpl6<729q/?9h522g8L6>e3`;??7>5;h370?6=3f88m7>5;|`0g6<72:0;6=u+35d966c<@:2i7d?;3;29?l73<3:17b<vPm4:?4>g26=4={_`6?85d;3;?86s|b983>7}Yj116?n?51568yv41=3:1>vP=649>3?41=2wx?9k50;1xZ62b349h=7?;3:?0g6<6<:1v>m=:18181=j<16?n=522c8yv5d83:1>v38:c:896e62;9j7psm1c194?1==3hp(>:i:0`0?j7fj3:17d<93;29?l062900e:188m4032900n5<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm1`f94?5=83:p(>:i:31g?M5?j2c:8>4?::k201<722e9?l4?::a5dc=8391<7>t$26e>34<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>:1C?5l4i060>5<?6=44o31b>5<54;294~"4vP>ac9>5g7=::k0q~<93;296~X5>:16=lk51518yv062908wS8>;<3a6?73;27:mi4>429~w4g>2909wS?n9:?2f7<6<=1v?8?:181[41827:n<4>459~w7062909wS<91:?2f5<6<=1v<8;:181[71<27:n=4>429~w4gd2909w0?m2;00e>;6im0:895rs314>5<5s4;jh7<b08206=z{8km6=4={<3a4?44i27:n<4>449~yg7el3:187=56z&00c<6jm1d=o650;9j24<722c:o94?::k702<722h:nn4?:283>5}#;=l1:?5G39`8m4242900e<:;:188k75f2900qo?ma;297?6=8r.88k493:J05<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~w4d?2909wS?m8:?2fg<5;h1v;?50;1xZ37<58hh6<:<;<3ae?73;2wx=n:50;0xZ4e334;io7?;4:p011=838pR9:8;<3ae?73<2wx=o750;0x94dd2;9j70?mb;377>{t9kk1<775f34;in7?;4:~f4g6290?6>49{%17b?7f92e:5n4?::k55?6=3`;j>7>5;h637?6=3k;j<7>53;294~"46F<8c9j515=831b=9:50;9l66g=831vn<7j:180>5<7s-9?j78;;I1;f>o6<:0;66g>4583>>i5;h0;66sm18d94?5=83:p(>:i:31g?M5?j2c:8>4?::k201<722e9?l4?::p54243ty:m?4?:3y]5d4<58k;6<:;;|q746<72;qU8==4=0;f>4233ty:5i4?:3y>5d6=::k01<7i:060?xu61l0;6?u218g966g<583m6<:;;|a5ac=83>1?78t$26e>4bb3f;o57>5;h42>5<5<m6;:4H2:a?l73;3:17d?;4;29?j44i3:17pl>db83>6<729q/?9h522f8L6>e3`;??7>5;h370?6=3f88m7>5;|q2`<<72;qU=i74=0f`>75f3ty==7>53z\55>;6lm0:8>521e`951552z\2`c=:9mn1=9:4}r61e?6=:rT?>l521e`951252z?2`a<5;h16=im51518yv7cj3:1>v3>dc817d=:9mi1=9:4}|`23c<72=0;6=u+35d9616<@:2i7d?;3;29?l73<3:17d?;5;29?j44i3:17pl1<729q/?9h5679K7=d86=44i067>5<>6=44o31b>5<56;294~"4>o50;9~fg5=8391<7>t$26e>34<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<55;294~"45<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm1b:94?2=83:p(>:i:31e?M5?j2c:8>4?::k201<722c:884?::m17d<722wi=lo50;694?6|,:>m6;84H2:a?l73;3:17d?;4;29?l73=3:17b<6m;h377?6=3`;?87>5;h371?6=3f88m7>5;|`2f2<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg7d;3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<53;294~"486=44i067>5<599K7=d96=44o31b>5<52;294~"47>50z&00c<6=11C?5l4i061>5<g2<58k96o:4=0fe>g2<58k36o:4=0`6>g2<5<31n95218`9f1=:9m21n9522549f1=:9j31n9523d69f1=z{8<>6=4={_351>;6km0:8?5rs0c5>5<5sW;j:63>7d8201=z{8?26=4={_36=>;6>=0i46s|3e594?4|V:n<70=ic;377>{t1h0;65uQ9`9>60`=9l?01?:9:26a?87d139?n6342234;h=7?;3:p5d>=838pRe79>52`=9=901>hl:067?87d>3;?;63=498206=:9hk1=9:4=0a2>4233ty:n84?:3y]5g3<58h>6?8:;|q702<72=qU8994=0a7>g><58ho69:8;<1eg?73=2wx8?o50;1xZ14f34;oi7:=a:?2a4<6<;1v<7m:181[7>j27:5o4=649~w41d2909wS?8c:?23c<5;h1v>hm:181[5aj278jn4=3`9~w4b?2909wS?k8:?2`=<5><1v8750;0xZ0?<5<31>;;4}r42>5;1938=963>b2855>;6jm0==63>a0855>;6ll0==63>c78206=:j:0:89521b`9512<58=n6<:<;<3a3?73;2wx?h:50;0xZ6c3349n87<95:p5fe=839p1?751508yv7e>3:1>v3>b6817d=:9j91=9=4}r350?6=e99>532=:??01f4<44=3`9~w721290=w0<:f;3f3>;5449~wg7=838p1o?52778937=j<1v<9k:180870n3;?963>7d817d=::=k1=9:4}r01:4<4c9>67?=::k0q~<;9;296~;5<10:895225c966g52z?11c<5=:16=n=51568yv7di3:1?v3>c88a1>;4m=0i963>cc817d=z{8k86=4={<3b6?d?34;j87<6o;4=0`e>75f3ty9:=4?:6y>60`=:=h01875b99>55g5=:?:01o=5151894e?28>87p}>c683>7}:9j<1=984=0a;>75f3ty9:<4?:2y>60`=:=n01459~w704290?w0<:f;065>;6j:09:>5225c9515<58im6<:<;|q2g1<72;q6=n:5277894dc28i?7p}=4683>7}::=<1n85225:966g54z?162<5><16:<4<4d9>5d4=j<16=ih5b49~w4g52909w0?n2;051>;6i80:m?5rs0;`>5<5s4;2n7l7;<3b5?7>k2wx=o650;0x94d22k2015g1=9=>0q~?l2;296~;6k:09?l521cd951452z?2`c;6ll0:hk5rs0a:>5<5s4;h57<95:?23c<6<=1vqo=ld;2950<4n3?>wA=7d;3xL4ce3tF84h4={o66=?173->>4784}%17b?70i2P8894>{269yj71=3:17d:;1;29?j5ck3:17d=i5;29?l7b;3:17b?:9;29?lcd2900e94?::k2e0<722c2=7>5;n61e?6=3`;n>7>5;h1f7?6=3f9m47>5;n1e`?6=3f?26=44o514>5<0;3955}K;1n1=vF>ec9~H6>b28q/88653:'71`=9:o0eo:50;9l61d=831d>9j50;9l61`=831d>8?50;9l605=831d>8;50;9l601=831d>8750;9j5`2=831b=h;50;9j5`0=831b=h950;9j5`>=831b=h750;9j5`g=831i=>l50;33>a<6=rF84i4>{I3ff>{#;=l1=i>4i0g7>5<6=44i0g5>5<5<5<6k:0yK5`d5;h`7>5<0;6>4?:1y'71`=99i0D>6m;M1;`?7|,8?;69;:;|kb1?6=3`h36=44o346>5<c28qC=hl4}%17b?77m2ci47>5;n051?6=3`h?6=44b683>6<729q/?9h511a8L6>e3E93h7?t$073>1323tcj97>5;h`;>5<6=44}r`7>5<5sWh?7095a49~w7502909w0952778Z7023ty9?54?:3y]f==:?3h37psm13;94?5=939p@>6k:0yK5`d5;h`7>5<0;6>4?:1y'71`=99i0D>6m;M1;`?7|,8?;69;:;|kb1?6=3`h36=44o346>5<5;297?6=8r.88k493:J05<4290;w)=;f;41?M5?j2c:8>4?::k201<722e9?l4?::a542=8391<7>t$26e>75c3A93n6g>4283>>o6<=0;66a=3`83>>{t9;31<7=t^00:?876=3;??63>138206=z{8;<6=4={_323>;69<0:895rs02e>5<5sW;;j63>138201=z{8;;6=4={_324>;69=09?l5rs032>5<5s4;:97<158201=zuk;947>59;79`~"455f10594?=n9l>1<75f13;94?=n99l1<75f1d594?=n9l21<75f1d;94?=n9lk1<75`10:94?=e9;?1<7=50;2x 62a2?90D>6m;h377?6=3`;?87>5;n00e?6=3th:=l4?:283>5}#;=l1:>5G39`8m4242900e<:;:188k75f2900qo?>e;297?6=8r.88k493:J05<1290;w)=;f;52?M5?j2c:8>4?::k201<722c:884?::k203<722c:8:4?::m17d<722wi=?<50;794?6|,:>m6?:=;I1;f>o6<:0;66g>4583>>o6<<0;66g>4783>>i5;h0;66s|10594?2|V8;<70?=5;370>;69l0:8952132951152z\2a1=:9;?1=9=4}r31=?6=;rT:>45210c9512<58;n6<:<;|q24c<72;qU==h4=03b>4243ty:i:4?:3y]5`1<588;6<:<;|q2a=<72;qU=h64=003>4233ty:i44?:3y]5`?<588;6<::;|q2ad<72;qU=ho4=003>4213ty:=54?:3y]54><58896?=n;|q25<<72;q6=?;522c8944528>87p}>1`83>7}:98k1>>o4=001>4233ty:=i4?:3y>54c=::k01<<=:066?xu69o0;6?u2132966g<58896<:9;|a56?=83=187ot$26e>45>3`;:;7>5;h33b?6=3`;957>5;h3f0?6=3`;n97>5;h3f2?6=3f;9m7>5;c307?6=;3:1N40k1b=9=50;9j512=831d>>o50;9~f44d290?6=4?{%17b?003A93n6g>4283>>o6<=0;66g>4483>>i5;h0;66sm12294?0=83:p(>:i:628L6>e3`;??7>5;h370?6=3`;?97>5;h372?6=3`;?;7>5;n00e?6=3th:??4?:583>5}#;=l1>>h4H2:a?l73;3:17d?;4;29?l73=3:17b<0;69uQ1058945428>870?=c;370>;6;90:8>5rs02e>5<3sW;;j63>328201=:9;i1=9=4=013>4223ty:>44?:3y]57?<588h6<::;|q2a1<72;qU=h:4=013>4233ty:i84?:3y]5`3<589;6<:9;|q2a3<72;qU=h84=013>4203ty:>l4?:3y]57g<58996?=n;|q26g<72;q6=>=522c8945528>87p}>2b83>7}:9;i1>>o4=011>4233ty:>k4?:3y>566=::k01<==:066?x{e:=i1<7:50;2x 62a2?20D>6m;h377?6=3`;?87>5;h371?6=3f88m7>5;|`10`<72=0;6=u+35d922=O;1h0e<:<:188m4232900e<:::188k75f2900qo<:0;290?6=8r.88k496:J01<7>t$26e>30<@:2i7d?;3;29?l73<3:17d?;5;29?j44i3:17pl=5783>1<729q/?9h5649K7=d86=44i067>5<>6=44o31b>5<47>54;294~"4>o50;9~w46a290iw0??f;051>;69?0:i;<07g?73=2798h4>429>606=9=901?;=:060?842<3;?963=578200=::<21=9:4}r323?6=jr7:=:4=649>540=98=01<<7:034?87413;:;63=4b8201=::=o1=9:4=373>423348>>7?;5:?111<6<=16>8851568973?28>87p}>2883>g}:9;31>;;4=035>44>34;947?=9:?27<<6:016>9m51518972b28>>70<:0;371>;5=;0:89522469515<5;?=6<:<;<06e49~w4c12909wS?j6:?27<<6m?1v54>e69~w4c?2909wS?j8:?26=<6m11v54>e89~w4cf2909wS?ja:?26=<6mh1vo850;6xZg0<58:m6o:4=034>g2<58826o:4}r07f?6=:rT98o5225a966g52z\10a=::=o1>>o4}r07b?6=:rT98k52242966g=7>52z\114=::<81>>o4}r067?6=:rT99>52246966g97>52z\110=::<<1>>o4}r063?6=:rT99:5224:966g52z?24c119~w47?2909w0?>7;`;?87503;:46s|13c94?4|58826o64=01:>44f3twxn94?:3y]f1=:9:h1n;5rs36a>5<5sW8?n63>3c810g=z{;>o6=4={_07`>;6;k098i5rs36e>5<5sW8?j63>3c810c=z{;?:6=4={_065>;6;k099<5rs370>5<5sW8>?63>3c8116=z{;?>6=4={_061>;6;k09985rs374>5<5sW8>;63>3c8112=z{;?26=4={_06=>;6;k09945rs0g7>5<5sW;n863>3c82a1=z{8o>6=4={_3f1>;6;k0:i85rs0g5>5<5sW;n:63>3c82a3=z{8o<6=4={_3f3>;6;k0:i:5rs0g;>5<5sW;n463>3c82a==z{8o26=4={_3f=>;6;k0:i45rs0gb>5<5sW;nm63>3c82ad=zuk;i87>53;090~J40m0:wE?jb:O7=c=9r.?954<;|&00c<6nk1bn54?::m120<722ci87>5;c594?5=83:p(>:i:02`?M5?j2F84i4>{%364?22=2wbm84?::ka5;|`a3?6=:3:175f3ty9?54?:3y>3?41=2T9:85rs31:>5<5sWh37095b99~ygec29086<4<{M1;`?7|@8oi7pB<8d82!220390q)=;f;034>oe03:17b<95;29?ld32900n:4?:283>5}#;=l1==m4H2:a?lg22900eo650;9l633=831vo:50;0xZg2<5>0j96s|22594?4|5>09:85Q2778yv4403:1>vPm8:?4>g>6}K;1n1=vF>ec9~H6>b28q/88653:'71`=:9:0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|qa0?6=:rTi8638:`78yv44?3:1>v38:346?[41=2wx>>650;0xZg><5>0i46srb`294?5=939p@>6k:0yK5`d6=z,:>m6?>?;h`;>5<6=44ic694?=e?3:1?7>50z&00c<68j1C?5l4i`794?=nj10;66a=6483>>{tj=0;6?uQb59>3?g23ty9?:4?:3y>3?41=2T9:85rs31;>5<5sWh37095b99~yg4a93:1?7?53zN0>oe<3:17o950;194?6|,:>m6<>l;I1;f>of=3:17dl7:188k7022900q~l;:181[d334=1m85rs314>5<5s4=1>;;4^346?xu5;10;6?uQb99>3?d?3twion4?:281>1}K;1n1=vF>ec9~H6>b28q/88653:'71`=:9o0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|`a3?6=:3:175f3ty9?54?:3y>3?41=2T9:85rs31:>5<5sWh37095b99~yg5183:1?7<54zN0>oe<3:17o950;194?6|,:>m6<>l;I1;f>of=3:17dl7:188k7022900qol8:181>5<7s-9?j7?:8:J01<7v38:346?[41=2wx>>750;0xZg><5>0i46srb8d94?5=:3>p@>6k:0yK5`d6=z,:>m6?>j;h`;>5<6=44ic694?=e?3:1?7>50z&00c<68j1C?5l4i`794?=nj10;66a=6483>>{ej>0;6?4?:1y'71`=9<20D>6m;h376?6=3f88m7>5;|qa0?6=:rTi863m7;376>{t::=1<7;e?388m6s|22:94?4|5>09:85Q2778yv4413:1>vPm8:?4>g>1}K;1n1=vF>ec9~H6>b28q/88653:'71`=:9o0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|`a3?6=:3:175f3ty9?54?:3y>3?41=2T9:85rs31:>5<5sWh37095b99~yg77>3:1?7<54zN0>oe<3:17o950;194?6|,:>m6<>l;I1;f>of=3:17dl7:188k7022900qol8:181>5<7s-9?j7?:8:J01<7v38:346?[41=2wx>>750;0xZg><5>0i46srb024>5<42808wA=7d;3xL4ce3tF84h4>{%665;h`7>5<0;6>4?:1y'71`=99i0D>6m;hc6>5<1<7>950;0x92<5><1U>;;4}r00"4kk0?9l5+4439633<,=>o6o:4n56:>4=#<<91?9l4n56a>4=z,:>m6;[c7>4}4?3wQ?9:52z1:>6d=u`9?o7>5;h`7>5<i6=44o352>5<#::>4n37g>5=5<#::>4n37g>7=54o345>5<#::>4n37g>1=0;6i49:dyO7=b=9rB:io5rL2:f>2}#<<=1?no4$56e>g><,=?:6?8:;%67`?d33g>?57?4$570>62e3g>?n7?4}%17b?7ak2Pj871>v=6:209yl53k3:17dl;:188m62e2900c?9>:18'60c=:>:0b?;k:198k70a290/>8k52628j73c2810c?8k:18'60c=:>:0b?;k:398k70d290/>8k52628j73c2:10c?89:18'60c=:>:0b?;k:598mf2=83.99h4l3:l11a<732ch>7>5$37f>f5oen3:1(?;j:b18j73c2:10eo750;&11`5<22:0<1/89j5b59m01?=92.?9>4<4c9m01d=92.?994<4d9m01e=92w/?9h51gf8mg2=831bn54?::m120<722c88h4?::k00g<722h<6=4<:080I5?l3;pD5;c594?5=83:p(>:i:02`?M5?j2cj97>5;h`;>5<6=44}r`7>5<5sWh?7095a49~w7502909w0952778Z7023ty9?54?:3y]f==:?3h37psm3``94?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0g3<72:0;6=u+35d966b<@:2i7d?;3;29?l73<3:17b<vPm4:?4>g23;?86s|27794?4|V;<>70952778yv53m3:1>vP<4d9>7f0=9=90q~=;b;296~X4v3>o4}r1be?6=:r7<6o64=2ca>75f3twi47>54;091~J40m0:wE?jb:O7=c=?r.?9:40b9:6:09'005=;=h0b9:m:09~ 62a28l=7dl;:188mg>=831d>;;50;9j71d=831i;7>53;397~J40m0:wE?jb:'71`=9o>0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|qa0?6=:rTi8638:`78yv44?3:1>v38:346?[41=2wx>>650;0xZg><5>0i46srb2ca>5<4290;w)=;f;40?M5?j2c:8>4?::k201<722e9?l4?::pf1<72;qUn9527;`7?xue03:1>vPm8:?0eg<6<=1v?8::181[41=27<6?8:;|q00g<72;qU?9l4=2ca>4243ty8ml4?:3y>3?d?349jn7<1>7;tL2:g>4}O9lh0qA=7e;5x 1302:ij7):;f;`;?!22938=96*;4e8a0>h3<00:7)::3;17f>h34}O9lh0q)=;f;3e0>oe03:17b<95;29?ld32900n:4?:283>5}#;=l1==m4H2:a?lg22900eo650;9l633=831vo:50;0xZg2<5>0j96s|22594?4|5>09:85Q2778yv4403:1>vPm8:?4>g>5}#;=l1:>5G39`8m4242900e<:;:188k75f2900q~l;:181[d334=1n95rsc:94?4|Vk201>om:067?xu5><0;6?uQ277892<5><1v>:m:181[53j278mo4>429~w6gf2909w095b99>7dd=::k0qpl=4;290?4==rF84i4>{I3ff>{K;1o1;v*;5680gd=#<=l1n55+4439633<,=>o6o:4n56:>4=#<<91?9l4n56a>4=z,:>m65<{I3ff>{#;=l1=k:4ic:94?=h:??1<75fb583>>d029086=4?{%17b?77k2B84o5fa483>>oe03:17b<95;29?xue<3:1>vPm4:?4>d352z?4>7023W8=96s|22:94?4|Vk201:4m8:~f6ge29086=4?{%17b?043A93n6g>4283>>o6<=0;66a=3`83>>{tj=0;6?uQb59>3?d33tyi47>52z\a<>;4ik0:895rs346>5<5sW8=9638:346?xu487p}7}:?3h370=nb;00e>{zj;<1<7:52;7xH6>c28qC=hl4}M1;a?1|,=?<6>mn;%67b?d?3->>=7<95:&70a;%667?53j2d?8o4>;|&00c<6n?1bn94?::ka5;h17f?6=3k=1<7=51;1xH6>c28qC=hl4}%17b?7a<2ci47>5;n051?6=3`h?6=44b683>6<729q/?9h511a8L6>e3`k>6=44ic:94?=h:??1<75rsc694?4|Vk>01:4n5:p661=838p1:4=649]63352z\a<>;02k20qpl6<729q/?9h5629K7=d86=44i067>5<5<5sWh?7095b59~wg>=838pRo64=2ca>4233ty9:84?:3y]633<5>09:85rs26a>5<5sW9?n63>o4}|`13=<72:0;6=u+35d966b<@:2i7d?;3;29?l73<3:17b<uQ35a892<4:651568yvd3290=wSl;;<59f1=:03h?70?n:c68972=j=16>;4m4:p71d=839pR>:m;<5971d<5;=36<:<;|q132<72;h50;0xZ70a348?6?8:;%172?4082d8884>;|q12a<72;qU>;j4=0c9633<,:>=6?9?;o171?452z\12f=:038=96*<478135=i;=?1?6s|27494?4|V;<=70952778 6212;=;7c=;5;68yve32909wSm;;<05>g><,:>=6n=4n266>5=z{j81<7h4<<097p}mf;296~Xen2736o64$265>f5>6>5rsc;94?4|Vk301:4m8:&0034}O9lh0qA=7e;7x 1302:i?7)::0;f1?!22k320(9;=:211?!22j3=0q)=;f;3f`>\f<38p?54<6;Y712=:r9j6>=5}n10`?6=,;?n6>=l;o06`?6<3f98n7>5$37f>65d3g8>h7?4;n10=?6=,;?n6>=l;o06`?4<3f9847>5$37f>65d3g8>h7=4;n107?6=,;?n6>=l;o06`?2<3`no6=4+24g9`f=i:!42m3nh7c<:d;38?lb>290/>8k5db9m60b=:21bh54?:%06a?bd3g8>h7=4;hf0>5<#:=e:;o1<7=51;1xH6>c28qC=hl4}%17b?4692co<7>5;hf2>5<5<42:0>w)=;f;1:3>oc83:17dj>:188k6552900n>7;:180>5<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm38794?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0=3<72:0;6=u+35d966b<@:2i7d?;3;29?l73<3:17b<;<1:0?73;278584>459~w6552909wS=<2:?0=3<5;h1v>7<:18185><388m63<978206=z{:3?6=4={<1:1?44i2785;4>459~yvb72909wSj?;<1:3?b73tyo=7>52z\g5>;41>0o=6s|32094?4|V:9970=67;106>{zj;8m6=4<:080I5?l3;pD7>5;c1:3?6=;3919v*<4g80=2=nl90;66gk1;29?j54:3:17o=64;297?6=8r.88k493:J05<4290;w)=;f;40?M5?j2c:8>4?::k201<722e9?l4?::a7<0=8391<7>t$26e>75c3A93n6g>4283>>o6<=0;66a=3`83>>{tl90;6>uQd19>7<2=9=>01>7::060?xuc93:1?vPk1:?0=1<6<:16?4;51568yv54:3:1>vP<339>7<0=::k0q~=63;296~;41=09?l52384951552z?0=0<5;h16?4851568yxuc83:1>vPk0:?0=25<5sW98>63<968077=zuk88<7>53;397~J40m0:wE?jb:'71`=:8;0ei>50;9j`4<722e8??4?::`0=2<72:0868u+35d97<1>i4;;0;66l<9583>6<729q/?9h5629K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f6?129086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{m:1<7=t^e2896?328>?70=65;377>{tl80;6>uQd09>7<2=9=901>7::067?xu4;;0;6?uQ320896?12;9j7p}<9283>7}:;0>1>>o4=2;5>4243ty8594?:3y>7<3=::k01>79:067?x{tl90;6?uQd19>7<1=l91vi?50;0xZa7<5:3<6i?4}r106?6=:rT8??5238597646}K;1n1=vF>ec9~ 62a2;;:7dj?:188ma7=831d?><50;9a7<1=8391?7;t$26e>6?03`n;6=44ie394?=h;:81<75m38694?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0=0<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg5>>3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<<3;?863<948206=z{m;1<7=t^e3896?328>870=65;370>{t;:81<7>388m6s|38194?4|5:3?6?=n;<1:2?73;2wx?4:50;0x96?22;9j70=66;370>{z{m:1<7:181[b63492;7j>;|q077<72;qU?><4=2;4>6553twi?ll50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl6<729q/?9h5639K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f62429096=4?{%17b?7202B84o5f15094?=h::k1<75rs2cb>5<4s489j7j?;<1bf?44i278mi4>459~w6gd2908w0<<0;f3?85fl388m63=k;<005?54:2.88;4<3b9m713=82wx?>l50;0xZ65e3488<7=<2:&003<4;j1e?9;51:p76?=838pR>=6;<01b?54:2.88;4<3b9m713=:2wx?>650;0xZ65?3489i7=<2:&003<4;j1e?9;53:p765=838pR>=<;<177?44i2.88;4<3b9m713=<2wxhi4?:3y]`a=:::;1h<5+3549`f=i;=?1<6s|dc83>6}Ylk16>>>5d09>7d`=9=90(>:9:ea8j622281vi750;1xZa?<5;8m6i?4=2cg>4243-9?:7jl;o171?4"4459>715=9=80(>:9:ea8j6222=1vq~=;c;296~X4:180[40927<6?9>;<01e?bc3-9?:7<80:l000<73ty9:k4?:2y]63`<5>09:k5223c9`g=#;=<1>:>4n266>4=z{;;02;38<<6`<4481?xu5>j0;6>uQ27a892<5>j16>?o5d99'710=:>:0b>:::29~w7012908wS<96:?4>7013489m7j<;%172?4082d8884;;|q0e1<72;q6;7m;;<01e?54l2.88;4l4<3c9'710=;h90b>:::09~w6g72909w095c19>67g=;:30(>:9:2c0?k53=380q~=6f;296~;02kl01?39j?6`<4480?xu41h0;6?u27;`:?845i398?6*<4780e6=i;=?186srb023>5<42808wA=7d;3xL4ce3tF84h4>{%665;h`7>5<0;6>4?:1y'71`=99i0D>6m;M1;`?7|,8?;69;:;|kb1?6=3`h36=44o346>5<c28qC=hl4}M1;a?g|,=?36>5+44597fg<,=>m6o64$572>7023->?h7l;;o67=?7<,=>n6o;4n56b>5=#<<91?9l4n56a>4=z,:>m65<>i5><0;66g<4c83>>d0290>6?4:{M1;`?7|@8oi7p*<4g82b1=nj10;66a=6483>>oe<3:17dl::188mde=831i;7>55;294~"45<51;294~"46l:063?81=ij1v?=7:18181=:??0R?8:;|q17<<72;qUn5527;`;?x{e;hh1<7<50;2x 62a28?37E=7b:k207<722e9?l4?::a7f7=8391<7>t$26e>75b3A93n6g>4283>>o6<=0;66a=3`83>>{tj=0;6?uQb59>3?d33tyi97>52z\a1>;4k80:8>5rs346>5<5sW8=9638:346?xu4uQ35`896ge28>970=l1;370>{t;hk1<7;4ik09?l5rs2a3>5<5s4=1n8523b3966g6}K;1n1=vF>ec9~H6>b28q/88653:'71`=:9:0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|qa0?6=:rTi8638:`78yv44?3:1>v38:346?[41=2wx>>650;0xZg><5>0i46srb4;94?5=939p@>6k:0yK5`d6=z,:>m6?>?;h`;>5<6=44ic694?=e?3:1?7>50z&00c<68j1C?5l4i`794?=nj10;66a=6483>>{tj=0;6?uQb59>3?g23ty9?:4?:3y>3?41=2T9:85rs31;>5<5sWh37095b99~yg71=3:1?7?53zN0>oe<3:17o950;194?6|,:>m6<>l;I1;f>of=3:17dl7:188k7022900q~l;:181[d334=1m85rs314>5<5s4=1>;;4^346?xu5;10;6?uQb99>3?d?3twi?k650;196?2|D:2o6<@:2i7d?;2;29?j44i3:17p}m4;296~Xe<27i;7?;2:p661=838p1:4n5:?a3?44i2wx>>650;0x92<5><1U>;;4}r00=?6=:rTi4638:c:8yxdb;3:1?7?53zN0>oe<3:17o950;194?6|,:>m6<>l;I1;f>J40m0:w)?:0;661>{ni<0;66gm8;29?j41=3:17p}m4;296~Xe<27<6l;4}r003?6=:r7<6?8:;_051>{t::21<7jl:180>7<3sE93h7?tH0ga?xJ40l0:w)::8;18y!53n38;i6gm8;29?j41=3:17dl;:188f2<72:0;6=u+35d955e<@:2i7do::188mg>=831d>;;50;9~fg1=8381<7>t$26e>43?3A93n6g>4383>>i5;h0;66s|b583>7}Yj=16n:4>439~w7502909w095a49>f2<5;h1v?=7:18181=:??0R?8:;|q17<<72;qUn5527;`;?x{e<991<7=52;6xH6>c28qC=hl4}M1;a?7|,=?36>5r$26e>76b3`h36=44o346>5<1<75m7;297?6=8r.88k4>0b9K7=d>i5><0;66smb683>7<729q/?9h514:8L6>e3`;?>7>5;n00e?6=3tyi87>52z\a0>;e?3;?>6s|22594?4|5>0j963m7;00e>{t::21<76j:0y'00>=;2w/?9h521g8mg>=831d>;;50;9jf1<722h<6=4<:183!53n3;;o6F<8c9je0<722ci47>5;n051?6=3thi;7>52;294~"44253ty9?:4?:3y>3?g234h<6?=n;|q17=<72;q6;7<95:\120=z{;926=4={_`;?81=j11vqo?91;29=?5=jr.88k4>609l50e=831b=i?50;9j5g2=831b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j50g=831i=;>50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl>5d83>6<729q/?9h5629K7=d86=44i067>5<vP>5b9>50`=::k0q~?k1;296~X6l816=;>51518yv7e<3:1>vP>b59>536=9=>0q~?k2;296~X6l;16=8h51568yv7c;3:1>vP>d29>50`=9=?0q~?k4;296~X6l=16=8h51548yv7c=3:1>vP>d49>50`=9==0q~?k6;296~X6l?16=8k51568yv72i3:1>vP>5`9>50c=9=90q~?:d;296~;6>909?l5214d9515i7>52z?21`<5;h16=8h515:8yxd183:147<59z&00c<182e>o7>5;h3g5?6=3`;i87>5;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3k?m6=4<:183!53n3<97E=7b:k206<722c:894?::m17d<722wi9h4?:683>5}#;=l1>9:4H2:a?l73;3:17d?;4;29?l73=3:17d?;6;29?l73?3:17d?;8;29?j44i3:17p}:c;296~X2k27>i7<;<7e>4243ty:n94?:3y]5g2<5;2m3;?96s|1e694?4|V8n?70;j:065?xu6l<0;6?uQ1e7890c=9==0q~?k6;296~X6l?169h4>499~w0b=838p18h522c890c=9=90qpl>7683>d:i:054?j71>3:17d?k1;29?l71=3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?:a;29?l5b;3:17d?88;29?g7103:1?7>50z&00c<1:2B84o5f15194?=n9=>1<75`22c94?=zj8<26=4<:183!53n3<97E=7b:k206<722c:894?::m17d<722wi=;l50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl>6e83>6<729q/?9h5639K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f41629086=4?{%17b?043A93n6g>4283>>o6<=0;66a=3`83>>{e9>81<7=50;2x 62a2;9o7E=7b:k206<722c:894?::m17d<722wi=:=50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl>7483>6<729q/?9h5629K7=d86=44i067>5<3:1>vP>679>520=::k0q~?k1;296~X6l816=;651518yv71=3:1;vP>649>53>=9=>01<86:067?871j3;?863>6e8201=:9>;1=9:4=056>4233ty:h>4?:3y]5a5<58<26<:<;|q2`1<72;qU=i:4=04a>4243ty:h84?:3y]5a3<584243ty:9l4?:2y]50g<58=838pR<97;<341?73;2wx=;950;0x940?2;9j70?86;377>{t9?31<775f34;<:7?;4:p53g=838p1<8m:31b?870>3;?96s|17a94?4|582wx=;k50;0x940a2;9j70?82;377>{t9>:1<775f34;<>7?;4:p661=838p1<9=:31b?870;3;?86s|22:94?4|58=86?=n;<342?73?2wx=::50;0x94122;9j70?86;37<>{zj=:;6=4=:183!53n3;>46F<8c9j514=831d>>o50;9~f7ca29086=4?{%17b?053A93n6g>4283>>o6<=0;66a=3`83>>{e;6m;h377?6=3`;?87>5;n00e?6=3th2i7>53;294~"46F<8c9j515=831b=9:50;9l66g=831vnnl50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pli7;297?6=8r.88k493:J0:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`247<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg24k3:187>50z&00c<1=2B84o5f15194?=n9=>1<75f15794?=h::k1<75rb2db>5<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~f`?=8391<7>t$26e>75c3A93n6g>4283>>o6<=0;66a=3`83>>{enk0;6;4?:1y'71`=?;1C?5l4i060>5<?6=44i066>5<=6=44i064>5<5<1290;w)=;f;4e?M5?j2c:8>4?::k201<722c:884?::k203<722c:8:4?::m17d<722wi==:50;494?6|,:>m6:?4H2:a?l73;3:17d?;4;29?l73=3:17d?;6;29?l73?3:17b<o1C?5l4i060>5<?6=44i066>5<=6=44i064>5<N40k1b=9=50;9j512=831d>>o50;9~fc`=8391<7>t$26e>75c3A93n6g>4283>>o6<=0;66a=3`83>>{e9?91<7;50;2x 62a2;>97E=7b:k206<722c:894?::k200<722c:8;4?::m17d<722wi=8l50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl>7883>2<729q/?9h52568L6>e3`;??7>5;h370?6=3`;?97>5;h372?6=3`;?;7>5;h375;|`ff?6=?3:14283>>o6<=0;66a=3`83>>{em>0;694?:1y'71`=>?1C?5l4i060>5<?6=44i066>5<3:186=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f176290>6=4?{%17b?43:2B84o5f15194?=n9=>1<75f15794?=n9=<1<75`22c94?=zj=:i6=4<:183!53n3<97E=7b:k206<722c:894?::m17d<722wi8>>50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pllf;296?6=8r.88k4>599K7=d96=44o31b>5<52;294~"45<5290;w)=;f;36<>N40k1b=9<50;9l66g=831vn9:?:181>5<7s-9?j7?:8:J046F<8c9j514=831d>>o50;9~f16c29096=4?{%17b?7202B84o5f15094?=h::k1<75rb511>5<5290;w)=;f;36<>N40k1b=9<50;9l66g=831v<8::180[71=27::84=649>521=9??0q~:;1;296~X3<816?;=51508yv5ck3:1>vP7ae=:??0q~=i5;296~X4n<16ok4>439~w4c42908wS?j3:?f1?73;27?<44>429~w43>2908wS?:9:?21<<5><168>m51568yvcd2909wSkl;<332?d?3ty?>=4?:3y]076<5;l86<:=;|q2e=<72;qU=l64=0`7>g>078a0>;6=k0:8>5rs`494?70sWk=70?k0;`7?87e<3h?70mk:c6896062k>01l>5b59>6c7=j=16on4m4:?025g2<58:;6o:4=514>g2<58?26o:4=4;9f1=:9??1n9523g:9f1=:m:0i863;38:0i863;2`8a0>{t<991<770:?b;377>{t180;69uQ909>5a6=9l<01>hi:060?8c028>>7p};2`83>7}Y<;k01987p}6}Y;l901<98:2g0?8g528>97p}7}Y;o201>h7:346?xu4nm0;6?uQ3gf891672;9j7p}:9;296~X2127>57<95:p061=839pR9=8;<603?41=27:429~w4642909w0??2;370>;68=09?l5rsd:94?4|5l91n552e8817d=z{lk1<75<4s4;o<7?j7:?`f?44i27::>4>429~w`5=838p1h=5277896`a28>?7p};2g83>7}:<::1>>o4=511>4253ty:<;4?:2y>550=:??01<>8:c:89c?=9=90q~=90;296~;4>909:85234d95127>52z?226<5;h16=8l51568yv?c2908w0?k0;3f=>;>m388m63>628200=z{8::6=4={<334?d?34;;>7<8>:346?852n3;??6s|cd83>6}:km0i463lc;`;?8ea2;9j7p}i6;296~;bn39?n63i7;00e>{tn10;6>u2eg800f=:n>0:8>52f8817d=z{oo1<7>o4}r1gb?6=:r78i=4=3`9>7ac=9=80q~7i:1818?a2;<>707j:067?xu3;k0;6?u2425971d<5=9h6?=n;|q242<72;q6==9527789c?=9=>0q~:?8;296~;38009?l524039515;m7>52z?74g<5;h168=j51508yved2909w0ml:346?8ee28>?7p}n0;296~;f838=9636e;377>{t;o31<7g><5:lj6?=n;|q245<72:q6=i>51d:894672;<>70?93;370>{t9k>1<7;t=0f3>4c234;i87<95:?224<6j=16:=4>b59>a2<6<:1vh:50;0x9`?=9=901h;522c8yvc12909w0k6:067?8c02;9j7p}ld;296~;dl38=963lb;377>{t9?<1<7g><58=<6<89;|q1b5<72;q6>k>5277897ca28>?7p}7}:<9:1=9<4=2de>75f3ty8:?4?:2y>737=j116?;>5b99>735=::k0q~?:c;296~;6=00i463>60821f=z{;l:6=4={<0e5?41=279ik4>429~w63b2909w0=:f;00e>;3;j0:885rs4a94?4|5<31n5526186g>{tnh0;6>u2fc817d=:no0:8>52452951475f34lm6<:;;|q2`4<72?q6=i>525`8940628n:708?:0f2?870?3;o=63>788206=:m>0:895rs0f1>5<0s4;o<7<;d:?224<6l;16:=4>d39>52?=9=>01hl5151896c728>870:?f;377>{t9m91<76t=0f3>72a34;==7?k3:?54?7c;27:;:4>d29>52?=9=?01hl5156896c728>?70:?f;370>{t9m>1<76t=0f3>73634;==7?k4:?54?7c<27:;:4>d59>52?=9=<01hl5157896c728>>70:>1;370>{t9m?1<77t=0f3>73434;==7?k5:?54?7c=27:;:4>d49>7cg=9=901<96:064?8ce28>=70=j0;372>;3980:885rs0f5>5<>s4;o<7<:5:?224<6l?16:=4>d79>521=9m<01>hn:067?87013;?463jb;373>;4m90:8:52403951052z?2`5<5=>16io4>499~w6bc2909w0=kc;`;?85cm388m6s|42394?4|5=8j6o64=511>75f3ty9j?4?:2y>6c7=j116>k>5b99>6c5=::k0q~o>:1808g72k2014h5b99>e7<5;h1v9=i:181824?3h>70:;0;00e>{t<9o1<775f34>;57?;4:p046=839p19?>:31b?827j3;?863;318201=z{=:h6=4={<637?d?34>;h7<628203=z{8?j6=4<{<355?72i27:;:4>5`9>50d=::k0q~?88;296~;6?>0:;55216;966g8h7>52z?77f<6<:168>k522c8yv`2290>w0ki:352?8`e28><70hk:064?877<3;?;63;3d8206=#;=<1j95a35794>{tn:0;68u2eg812c=:nk0:8;52fe8206=:99>1=984=51f>4233-9?:7h;;o171?770c34li6<::;42334;;87?;5:?77`<6<>1/?985f59m713=:2wxj<4?:4y>ac<5>j16jo4>459>ba<6<<16==:51568915b28>>7)=;6;d7?k53=390q~h?:1868ca2;<=70hm:060?8`c28>=70??4;377>;3;l0:8;5+3549b1=i;=?186srb2a4>5kuC39f95~N6mk1v@>6j:3ym00?=?k1/88656:'71`=im1Q?9:53z0e>76=9;0vc>50;9l7`1=831bin4?::k744<722e?>>4?::m:5?6=3k;>=7>57;393~J40m0:wE?jb:O7=c=9r.?954?;|&00c<6;o1bn94?::m10g<722e98i4?::m10c<722e99<4?::k2a1<722c:i84?::`27g<72>036ouC39f95~N6mk1v(>:i:072?l7b<3:17d?j5;29?ld12900c?:m:188k72c2900c?:i:188k7362900n<>i:180>4<4sE93h7?tH0ga?x"4>i5><0;66gm4;29?g1=8391<7>t$26e>46d3A93n6B<8e82!7283>>96sfa483>>oe03:17b<95;29?xue<3:1>vPm4:?4>d352z?4>7023W8=96s|22:94?4|Vk201:4m8:~f47029086<4<{M1;`?7|@8oi7p*<4g824`=nj10;66a=6483>>oe<3:17o950;194?6|,:>m6<>l;I1;f>J40m0:w)?:0;661>{ni<0;66gm8;29?j41=3:17p}m4;296~Xe<27<6l;4}r003?6=:r7<6?8:;_051>{t::21<77<1s-9?j7?>6:k24c<722c:i94?::k2a0<722c:=:4?::m255<722h:=>4?:583>5}#;=l1:85G39`8m4242900e<:;:188m4222900c?=n:188yg76:3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<52z\24c=:9881=9=4}r3f0?6=:rT:i952101951552z\2a0=:9891=9:4}r323?6=:rT:=:52101951352z\255=:9881>>o4}r325?6=:r7:=>4=3`9>544=9=>0qpl>2983>1<42?q/?9h513:8m4c32900e4283>>o6<=0;66a=3`83>>{e98k1<7=50;2x 62a2?90D>6m;h377?6=3`;?87>5;n00e?6=3th:=h4?:283>5}#;=l1>>j4H2:a?l73;3:17d?;4;29?j44i3:17p}>e583>6}Y9l>01f;370>{t99l1<7e;00e>{t9831<775f34;:i7?;3:p54g=838p15<4290;w)=;f;47?M5?j2c:8>4?::k201<722e9?l4?::a61c=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<>7>53;294~"4i:184877n38=963>17824c=:9;21==h4=36`>423348?i7?;3:?115<6<=16>8<51568yv76?3:1;v3>168120=:98<1=<94=00;>470348?o7?;3:?10`<6<=16>8>51518973528>87p}>e583>6}Y9l>0170?>6;3f1>{tj?0;6>uQb79>55`=j=16=<95b59~w72e2909wS<;b:?10f<5;h1v?:k:181[43l2798h4=3`9~w72a2909wS<;f:?115<5;h1v?;>:181[4292799?4=3`9~w4772909w0??f;`;?876>3;:<6s|10:94?4|58;<6o64=00;>47?3twxn94?:3y]f1=:9:h1n;5rs36a>5<5sW8?n63>3c810g=z{;>o6=4={_07`>;6;k098i5rs36e>5<5sW8?j63>3c810c=z{;?:6=4={_065>;6;k099<5rs0g7>5<5sW;n863>3c82a1=z{8o>6=4={_3f1>;6;k0:i85r}c332?6==3819vB<8e82M7bj2wG?5k56z&71=<73->>;7=la:&70c77=uS9?87!42m38=n6`=5e83?>i5>?0;6)<:e;05f>h5=m0:76gmf;29 73b2ko0b?;k:198mg?=83.99h4me:l11a<632h<6=4<:080I5?l3;pD5;c594?5=83:p(>:i:02`?M5?j2cj97>5;h`;>5<6=44}r`7>5<5sWh?7095a49~w7502909w0952778Z7023ty9?54?:3y]f==:?3h37psm8;297?7=;rF84i4>{I3ff>{#;=l1>=>4ic:94?=h:??1<75fb583>>d029086=4?{%17b?77k2B84o5fa483>>oe03:17b<95;29?xue<3:1>vPm4:?4>d352z?4>7023W8=96s|22:94?4|Vk201:4m8:~wg2=839pRo:4=68a0>;?2k>0q~<9c;296~X5>j1647<95:&003<5>k1e?9;50:p630=838pR?89;<59633<,:>=6?8m;o171?7=j11/?985bd9m713=82wxn44?:3y]f<=:?3h37)=;6;`f?k53=3;0qpl<5183>2<52kqG?5j51zJ2ag=zD:2n6lu+44:94>"3=>08o55+3b`900g<,=?:6?8:;%67`?d33g>?57?4$56f>g3j6<5+441971di6<5r$26e>4`53Sk?6>5}h`7>5<=h:?i1<7*=5d812`=i:65`27494?"5=l09:h5a24f97>=e?3:1n7:5bzN008ol5+45d9f==#<<;1>;;4$56g>g226<5+45g9f0=i<=k1=6*;52800g=i<=h1=6s+35d96551>vi4=7;jf1<722ci97>5;h17f?6=3f8=j7>5$37f>70b3g8>h7>4;n05`?6=,;?n6?8j;o06`?7<3f8=o7>5$37f>70b3g8>h7<4;n052?6=,;?n6?8j;o06`?5<3`i96=4+24g9g4=i:!42m3i:7c<:d;38?lda290/>8k5c09m60b=:21bn44?:%06a?e63g8>h7=4;c594?3=;3=p@>6k:0yK5`d6ef3->?j7l7;%665?41=2.?8i4m4:l70<<63->?i7l:;o67e?7<,=?86>:m;o67f?76=44ic:94?=h:??1<75f35`94?=e?3:197<55zN05}#;=l1==j4H2:a?I5?l3;p(<;?:576?xofi3:17dol:188md3=831bn54?::m120<722wi?5m50;394?6|,:>m6<:>;I1;f>i6<90;66s|b583>7}Yj=16;7o:;|qa1?6=:rTi9638:`c8yv44?3:1>v3<8b8205=:?3kh7p}=3983>7}:?38=96P=649~w75>2909wSl7;<59f==zuk9jn7>53;294~"4m>:180>5<7s-9?j7<01:4m4:pf0<72;qUn8523b3951270952778yv53j3:1?vP<4c9>7dd=9=901>m>:060?xu4ih0;6?u27;`;?85fj388m6s|3b294?4|5>0i963c28qC=hl4}M1;a??|,=?<6>mn;%67b?d?3->>=7<95:&70a;%67a?d23g>?m7?4$570>62e3g>?n7?4}%17b?47=2ci87>5;h`6>5<{I3ff>{#;=l1=k:4ic:94?=h:??1<75fb583>>oe=3:17dol:188f2<72<0;6=u+35d955b<@:2i7A=7d;3x 4372=?>7pgna;29?lgd2900el;50;9jf=<722e9:84?::a7=e=83;1<7>t$26e>4263A93n6a>4183>>{tj=0;6?uQb59>3?g23tyi97>52z\a1>;02hk0q~<<7;296~;40j0:8=527;c`?xu5;10;6?u27;051>X5><1v?=6:181[d?34=1n55r}c1bf?6=;3:1N40k1b=9=50;9j512=831d>>o50;9~f6e629086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{k>1<75<5sWh370=nb;370>{t:??1<787p}7}:?3h370=nb;00e>{t;j:1<7;4k809?l5r}c3b>5<22:0<1/89j5b59m01?=92.?8h4m5:l70d<63->>?7=;b:l70g<63t.88k4=079jf1<722ci97>5;h`;>5<6=44i26a>5<0;684=:4yO7=b=9rB:io5r$26e>4`33`h36=44o346>5<1<75fb483>>ofk3:17o950;794?6|,:>m6<>k;I1;f>J40m0:w)?:0;661>{nih0;66gnc;29?lg22900eo650;9l633=831vn>6l:182>5<7s-9?j7?;1:J001:4n5:pf0<72;qUn8527;cb?xu5;>0;6?u239a9516<5>0jo6s|22:94?4|5>09:85Q2778yv4413:1>vPm8:?4>g>5}#;=l1:>5G39`8m4242900e<:;:188k75f2900qo=l1;297?6=8r.88k4=3e9K7=d86=44i067>5<5<5sWh?7095b59~wg3=838pRo;4=2a2>4233tyi47>52z\a<>;4ik0:895rs346>5<5sW8=9638:346?xu4uQ35`896ge28>870=l1;377>{t;hk1<7;4ik09?l5rs2a3>5<5s4=1n8523b3966g55;193~J40m0:wE?jb:O7=c=1r.?9:40b9:6:09'01c=j<1e89o51:&716<45;n051?6=3`9?n7>5;c594?3=:3?p@>6k:0yK5`d5;h`7>5<>d0290>6=4?{%17b?77l2B84o5C39f95~"6=90?985ri`c94?=nij0;66gn5;29?ld?2900c?8::188yg5?k3:1=7>50z&00c<6<81C?5l4o063>5<0jm6s|22594?4|5:2h6<:?;<59ef=z{;936=4={<596337p}=3883>7}Yj116;7l7;|a7dd=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=::n0D>6m;h377?6=3`;?87>5;n00e?6=3tyi87>52z\a0>;02k>0q~l::181[d2349h=7?;4:pf=<72;qUn5523``951252z\120=:?38=96s|35`94?5|V:>i70=nb;377>;4k80:8>5rs2cb>5<5s4=1n5523``966g52z?4>g3<5:i:6?=n;|pf1<72=j=16=l4m4:?10?d33tyi97>55z\a1>;02k?0154m5:?2e?d2348?6o;4}r17f?6==rT88o527;17f>;?2:>i70?n:26a?8432:>i7p}=6g83>7}Y:?l01?:52778 6212;vP=6e9>5d<5><1/?98527g8j622281v?8l:181[41k2736?8:;%172?41m2d8884=;|q123<72;qU>;84=68120=#;=<1>;k4n266>6=z{j81<7h4<<0:7p}mf;296~Xen2736o64$265>f7>6?5rsc;94?4|Vk301:4m8:&0034}O9lh0qA=7e;7x 1302:i?7)::0;f1?!22k320(9;=:211?!22j3=0q)=;f;3fa>\f<38p>n4=a;Y712=:r8n6?65}n10f?6=,;?n6>=n;o06`?6<3f9857>5$37f>65f3g8>h7?4;n10=n;o06`?4<3f98?7>5$37f>65f3g8>h7=4;hfa>5<#:=nl00;6)<:e;fb?k42l3;07dj7:18'60c=lh1e>8j52:9j`6<72-8>i7jn;o06`?5<3k89i7>53;397~J40m0:wE?jb:'71`=:8;0ei>50;9j`4<722e8??4?::`0=2<72:0868u+35d97<1>i4;;0;66l<9583>6<729q/?9h5629K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f6?129086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{m:1<7=t^e2896?328>?70=65;377>{tl80;6>uQd09>7<2=9=901>7::067?xu4;;0;6?uQ320896?12;9j7p}<9283>7}:;0>1>>o4=2;5>4243ty8594?:3y>7<3=::k01>79:067?x{tl90;6?uQd19>7<1=l91vi?50;0xZa7<5:3<6i?4}r106?6=:rT8??523859764k4?:282>6}K;1n1=vF>ec9~ 62a2;;:7dj?:188ma7=831d?><50;9a7<1=8391?7;t$26e>6?03`n;6=44ie394?=h;:81<75m38694?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0=0<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg5>>3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<<3;?863<948206=z{m;1<7=t^e3896?328>870=65;370>{t;:81<7>388m6s|38194?4|5:3?6?=n;<1:2?73;2wx?4:50;0x96?22;9j70=66;370>{z{m:1<7:181[b63492;7j>;|q077<72;qU?><4=2;4>6553twi>>>50;195?5|D:2o6==:188f6?029086>4:{%17b?5>?2co<7>5;hf2>5<5<4290;w)=;f;40?M5?j2c:8>4?::k201<722e9?l4?::a7<3=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=::n0D>6m;h377?6=3`;?87>5;n00e?6=3tyo<7>53z\g4>;41=0:89523879515<3;??63<948201=z{:996=4={_106>;41?09?l5rs2;0>5<5s49287<7;:18185>=388m63<978201=zuzn;6=4={_f3?85>?3n;7p}k1;296~Xc92785:4k1:p764=838pR>==;<1:3?54:2wvn>om:180>5<7s-9?j78=;I1;f>o6<:0;66g>4583>>i5;h0;66sm3`f94?5=83:p(>:i:708L6>e3`;??7>5;h370?6=3f88m7>5;|`006<72;0;6=u+35d950><@:2i7d?;2;29?j44i3:17p}6}::;l1h=523``966g<5:ko6<:;;|q0ef<72;q6>>>5d19>7db=::k0q~=>>53208 6212:9j7c=;5;28yv5413:1>vP<389>67`=;:80(>:9:21b?k53=3;0q~=<8;296~X4;116>?k53208 6212:9j7c=;5;08yv54;3:1>vP<329>715=::k0(>:9:21b?k53=390q~jm:181[be3488<7j>;%172?bf3g9?97>4}rf:>5<4sWn270<=f;f2?85fl3;??6*<478ge>h4<<0:7p}k8;297~Xc0279>h4k1:?0eg<6<:1/?985d`9m713=:2wxh>4?:5y]`6=::;o1h=523``9512<5:>86<:=;%172?bf3g9?97=4}|qa0?6=:rTi8638:c68yvd22909wSl:;<59f0=z{:>i6=4={_17f>;02:>i7p}=6g83>6}Y:?l01:4=6g9>67g=lk1/?98527g8j622291v?8k:180[41l27<6?8k;<01e?b>3-9?:7<9e:l000<63ty9:n4?:2y]63e<5>09:n5223c9`==#;=<1>;k4n266>7=z{;<=6=4<{_052>;02;<=70<=a;f0?!53>38=i6`<4480?xu4i;0;6?u27;a1?845i398n6*<4780e4=i;=?1<6s|3`294?4|5>0h<63=2`807<=#;=<1?l?4n266>4=z{:3m6=4={<59fc=::;k1?>64$265>6g63g9?97<4}r1:e?6=:r7<6o74=30b>6543-9?:7=n1:l000<43twi??>50;796?3|D:2o65;c594?3=83:p(>:i:02g?M5?j2cjm7>5;hc`>5<>i5><0;66sm39a94?7=83:p(>:i:062?M5?j2e:8=4?::pf1<72;qUn9527;c6?xue=3:1>vPm5:?4>dg52z?0{I3ff>{K;1o1=v*;5983?x"4>i5><0;66gm4;29?ld22900elm50;9a3?6==3:15;h`;>5<6=44}c1;g?6=93:1>950;0x96>d28>;7095ab9~w75?2909w0952778Z7023ty9?44?:3y]f==:?3h37psm20094?`=:3;hwA=7d;3xL4ce3tF84h47{%66m7;%1`f?22i2.?9<4=649'01b=j=1e89751:&70`;|&00c<6n:1Qm94>{019y_53<38p=84>7;jf1<722ci97>5;n05e?6=,;?n6?86;o06`?6<3f8=47>5$37f>70>3g8>h7?4;n053?6=,;?n6?86;o06`?4<3f8<:7>5$37f>70>3g8>h7=4;n041?6=,;?n6?86;o06`?2<3f8<87>5$37f>70>3g8>h7;4;n047?6=,;?n6?86;o06`?0<3f8<>7>5$37f>70>3g8>h794;n045?6=,;?n6?86;o06`?><3f8=j7>5$37f>70>3g8>h774;n05`?6=,;?n6?86;o06`?g<3f8=o7>5$37f>70>3g8>h7l4;n052?6=,;?n6?86;o06`?e<3k=1<7?l:e82g~J40m0:wE?jb:O7=c=?r.?9:40b9:6:09'01c=j<1e89o51:'71`=:920Vl:52z30>46=uS9?87xoe<3:17dl::188k70f290/>8k527;8j73c2910c?87:18'60c=:?30b?;k:098k700290/>8k527;8j73c2;10c?99:18'60c=:?30b?;k:298k712290/>8k527;8j73c2=10c?9;:18'60c=:?30b?;k:498k714290/>8k527;8j73c2?10c?9=:18'60c=:?30b?;k:698k716290/>8k527;8j73c2110c?8i:18'60c=:?30b?;k:898k70c290/>8k527;8j73c2h10c?8l:18'60c=:?30b?;k:c98k701290/>8k527;8j73c2j10eoj50;&11`4=h5=m0976gl9;29 73b2ki0b?;k:298mf>=83.99h4mc:l11a<332ch;7>5$37f>geod=3:1(?;j:ca8j73c2>10en:50;&11`<=h5=m0j76gmf;29 73b2ki0b?;k:c98mg?=83.99h4mc:l11a5;h`6>5<0e9K7=d>of=3:17dl7:188k7022900qo=7c;295?6=8r.88k4>409K7=d;6=44}r`7>5<5sWh?7095a49~wg3=838pRo;4=68be>{t::=1<742734=1mn5rs31;>5<5s4=1>;;4^346?xu5;00;6?uQb99>3?d?3twi47>55;091~J40m0:wE?jb:'71`=:8:0eo650;9l633=831bn94?::ka1?6=3`kh6=44b683>0<729q/?9h511f8L6>e3`kj6=44i`a94?=ni<0;66gm8;29?j41=3:17pl<8b83>4<729q/?9h51538L6>e3f;?<7>5;|qa0?6=:rTi8638:`78yvd22909wSl:;<59ed=z{;9<6=4={<1;g?73827<6lm4}r00{t::31<75<2290;w)=;f;33`>N40k1bml4?::kbg?6=3`k>6=44ic:94?=h:??1<75rb2:`>5<6290;w)=;f;375>N40k1d=9>50;9~wg2=838pRo:4=68b1>{tj<0;6?uQb49>3?gf3ty9?:4?:3y>7=e=9=:01:4nc:p66>=838p1:4=649]63352z\a<>;02k20qpl=4;291?4==rF84i4>{I3ff>{#;=l1><>4ic:94?=h:??1<75fb583>>oe=3:17dol:188f2<72<0;6=u+35d955b<@:2i7don:188mde=831bm84?::ka5;|`0vPm4:?4>d36=4={_`6?81=ih1v?=8:18185?k3;?<638:`a8yv4403:1>v38:346?[41=2wx>>750;0xZg><5>0i46srb3494?3=:3?p@>6k:0yK5`d0:ka5;h`7>5<>d0290>6=4?{%17b?77l2B84o5fa`83>>ofk3:17do::188mg>=831d>;;50;9~f6>d290:6=4?{%17b?7392B84o5`15294?=z{k>1<77}:;1i1=9>4=68bg>{t::21<7=831d>;;50;9jf1<722ci97>5;hc`>5<0;684?:1y'71`=99n0D>6m;hcb>5<>oe03:17b<95;29?xd40j0;6<4?:1y'71`=9=;0D>6m;n374?6=3tyi87>52z\a0>;02h?0q~l::181[d234=1ml5rs314>5<5s493o7?;0:?4>de52z?4>7023W8=96s|22;94?4|Vk201:4m8:~f6e=83?1>7;tL2:g>4}O9lh0q)=;f;024>oe03:17b<95;29?ld32900eo;50;9jef<722h<6=4::183!53n3;;h6F<8c9jed<722cjo7>5;hc6>5<:183!53n3;?=6F<8c9l516=831vo:50;0xZg2<5>0j96s|b483>7}Yj<16;7on;|q172<72;q6?5m5152892>650;0x92<5><1U>;;4}r00=?6=:rTi4638:c:8yxd4l3:197<55zN05}#;=l1==j4H2:a?lgf2900elm50;9je0<722ci47>5;n051?6=3th84n4?:083>5}#;=l1=9?4H2:a?j7383:17p}m4;296~Xe<27<6l;4}r`6>5<5sWh>7095a`9~w7502909w0=7c;374>;02hi0q~<<8;296~;02;<>7S<95:p66?=838pRo64=68a<>{zj:o1<7;52;7xH6>c28qC=hl4}%17b?4682ci47>5;n051?6=3`h?6=44ic794?=nij0;66l8:186>5<7s-9?j7??d:J06l:182>5<7s-9?j7?;1:J001:4n5:pf0<72;qUn8527;cb?xu5;>0;6?u239a9516<5>0jo6s|22:94?4|5>09:85Q2778yv4413:1>vPm8:?4>g>55;091~J40m0:wE?jb:'71`=:8:0eo650;9l633=831bn94?::ka1?6=3`kh6=44b683>0<729q/?9h511f8L6>e3`kj6=44i`a94?=ni<0;66gm8;29?j41=3:17pl<8b83>4<729q/?9h51538L6>e3f;?<7>5;|qa0?6=:rTi8638:`78yvd22909wSl:;<59ed=z{;9<6=4={<1;g?73827<6lm4}r00{t::31<70}K;1n1=vF>ec9~ 62a2;;;7dl7:188k7022900eo:50;9jf0<722cjo7>5;c594?3=83:p(>:i:02g?M5?j2cjm7>5;hc`>5<>i5><0;66sm39a94?7=83:p(>:i:062?M5?j2e:8=4?::pf1<72;qUn9527;c6?xue=3:1>vPm5:?4>dg52z?05<2290;w)=;f;33`>N40k1bml4?::kbg?6=3`k>6=44ic:94?=h:??1<75rb2:`>5<6290;w)=;f;375>N40k1d=9>50;9~wg2=838pRo:4=68b1>{tj<0;6?uQb49>3?gf3ty9?:4?:3y>7=e=9=:01:4nc:p66>=838p1:4=649]63352z\a<>;02k20qplm:186>7<2sE93h7?tH0ga?x"4>i5><0;66gm4;29?ld22900elm50;9a3?6==3:15;h`;>5<6=44}c1;g?6=93:1>950;0x96>d28>;7095ab9~w75?2909w0952778Z7023ty9?44?:3y]f==:?3h37ps|b583>`}Yj=16;7l;;<:9f1=:9h0i863=4;`7?8412k>01>?5b59>7fg2<5:l1n9529;`7?8g=j=16n7l;;|qa1?6=mrTi9638:c789=g3<5;<1n852308a1>;4k3h>70=k:c7896c=j<16?k4m5:?:>g3<5h0i963m:c78yv41i3:1>vP=6`9>f?41=2.88;4=689m713=82wx>;650;0xZ70?34k1>;;4$265>70>3g9?97?4}r053?6=:rT9::529;051>"4{t:><1<77)=;6;05=>h4<<087p}=7483>7}Y:>?01>k52778 6212;<27c=;5;68yv40<3:1>vP=759>7a<5><1/?98527;8j6222<1v?9<:181[40;278o7<95:&003<5>01e?9;56:p624=838pR?9=;<12>7023-9?:7<99:l000<03ty9;<4?:3y]627<5;<1>;;4$265>70>3g9?9764}r05b?6=:rT9:k52258120=#;=<1>;74n266><=z{;;6i38=96*<47812<=i;=?1m6s|27a94?4|V;3:1>vP=679>3?41=2.88;4=689m713=k2wxni4?:3y]fa=:j3h37)=;6;``?k53=3:0q~lm:181[de34k1n55+3549ff=i;=?1=6s|b`83>7}Yjh1657l7;%172?dd3g9?97<4}ra:>5<5sWi270=i:c:8 6212ki0b>:::29~wf>=838pRn64=2g9f==#;=<1nn5a35790>{tk>0;6?uQc69>7a52z\`2>;4k3h37)=;6;``?k53=3<0q~m::181[e2349:6o64$265>ge>6:5rsb694?4|Vj>01?85b99'710=jj1e?9;58:pg7<72;qUo?52258a<>"4vPl0:?2e?d?3-9?:7ll;o171?g=j11/?985bb9m713=j2wxn44?:3y]f<=:?3h37)=;6;``?k53=3i0qpl=2`83>4g=91099vB<8e82M7bj2wG?5k55z&712<4k=1/88>5d39'00e=02.?9?4<339'00d=?2w/?9h51dd8^d2=:r;?6!42m398:6`=5e83?>i4;<0;6)<:e;102>h5=m0:76a<3583>!42m398:6`=5e81?>i4<;0;6)<:e;102>h5=m0876a<4083>!42m398:6`=5e87?>i4<90;6)<:e;102>h5=m0>76a<3g83>!42m398:6`=5e85?>i4;l0;6)<:e;102>h5=m0<76a<3e83>!42m398:6`=5e8;?>i4;k0;6)<:e;102>h5=m0276a<3883>!42m398:6`=5e8b?>i4;10;6)<:e;102>h5=m0i76a<3283>!42m398:6`=5e8`?>oc?3:1(?;j:e48j73c2910ei;50;&11`2d99i4>;:kg0?6=,;?n6i84n37g>7=h5=m0876gj1;29 73b2m<0b?;k:598m`6=83.99h4k6:l11a<232coj7>5$37f>a0ocl3:1(?;j:e48j73c2110eil50;&11`2d99i46;:kg=?6=,;?n6i84n37g>d=h5=m0i76gk3;29 73b2m<0b?;k:b98f74b29086<4<{M1;`?7|@8oi7p*<4g8154=nl90;66gk1;29?j54:3:17o=67;297?5==r.88k4<969j`5<722co=7>5;n106?6=3k9287>53;294~"47::180>5<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm38494?5=83:p(>:i:31g?M5?j2c:8>4?::k201<722e9?l4?::p`5<72:qUh=523869512<5:3>6<:<;|qg5?6=;rTo=63<958206=:;0?1=9:4}r106?6=:rT8??52384966g52z?0=1<5;h16?4851518yv5><3:1>v3<94817d=:;0<1=9:4}|qg4?6=:rTo<63<968g4>{tl80;6?uQd09>7<1=l81v>==:181[54:2785:4<339~yg45n3:1?7?53zN0>i4;;0;66l<9683>6<425}#;=l1:>5G39`8m4242900e<:;:188k75f2900qo=65;297?6=8r.88k493:J05<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~wa6=839pRi>4=2;7>423349297?;3:p`4<72:qUh<523869515<5:3>6<:;;|q077<72;qU?><4=2;5>75f3ty85>4?:3y>7<2=::k01>79:060?xu41=0;6?u2387966g<5:3=6<:;;|p`5<72;qUh=523859`5=z{m;1<74>:2yO7=b=9rB:io5r$26e>7763`n;6=44ie394?=h;:81<75m38594?5=;3?p(>:i:2;4?lb72900ei?50;9l764=831i?4:50;194?6|,:>m6;=4H2:a?l73;3:17d?;4;29?j44i3:17pl<9483>6<729q/?9h5629K7=d86=44i067>5<429~wa7=839pRi?4=2;7>424349297?;4:p764=838pR>==;<1:2?44i2wx?4=50;0x96?32;9j70=66;377>{t;0>1<775f3492:7?;4:~wa6=838pRi>4=2;4>a6?3n:7p}<3383>7}Y;:801>78:211?x{e::;1<7=51;1xH6>c28qC=hl4}%17b?4692co<7>5;hf2>5<5<42:0>w)=;f;1:3>oc83:17dj>:188k6552900n>7;:180>5<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm38794?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0=3<72:0;6=u+35d966b<@:2i7d?;3;29?l73<3:17b<;<1:0?73;278584>459~w6552909wS=<2:?0=3<5;h1v>7<:18185><388m63<978206=z{:3?6=4={<1:1?44i2785;4>459~yvb72909wSj?;<1:3?b73tyo=7>52z\g5>;41>0o=6s|32094?4|V:9970=67;106>{zj;996=4<:080I5?l3;pD7>5;c1:3?6=;3919v*<4g80=2=nl90;66gk1;29?j54:3:17o=64;297?6=8r.88k493:J05<4290;w)=;f;40?M5?j2c:8>4?::k201<722e9?l4?::a7<0=8391<7>t$26e>75c3A93n6g>4283>>o6<=0;66a=3`83>>{tl90;6>uQd19>7<2=9=>01>7::060?xuc93:1?vPk1:?0=1<6<:16?4;51568yv54:3:1>vP<339>7<0=::k0q~=63;296~;41=09?l52384951552z?0=0<5;h16?4851568yxuc83:1>vPk0:?0=25<5sW98>63<968077=zuk88?7>53;397~J40m0:wE?jb:'71`=:8;0ei>50;9j`4<722e8??4?::`0=2<72:0868u+35d97<1>i4;;0;66l<9583>6<729q/?9h5629K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f6?129086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{m:1<7=t^e2896?328>?70=65;377>{tl80;6>uQd09>7<2=9=901>7::067?xu4;;0;6?uQ320896?12;9j7p}<9283>7}:;0>1>>o4=2;5>4243ty8594?:3y>7<3=::k01>79:067?x{tl90;6?uQd19>7<1=l91vi?50;0xZa7<5:3<6i?4}r106?6=:rT8??5238597646}K;1n1=vF>ec9~ 62a2;;:7dj?:188ma7=831d?><50;9a7<1=8391?7;t$26e>6?03`n;6=44ie394?=h;:81<75m38694?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0=0<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg5>>3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<<3;?863<948206=z{m;1<7=t^e3896?328>870=65;370>{t;:81<7>388m6s|38194?4|5:3?6?=n;<1:2?73;2wx?4:50;0x96?22;9j70=66;370>{z{m:1<7:181[b63492;7j>;|q077<72;qU?><4=2;4>6553twi>>;50;195?5|D:2o6==:188f6?029086>4:{%17b?5>?2co<7>5;hf2>5<5<4290;w)=;f;40?M5?j2c:8>4?::k201<722e9?l4?::a7<3=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=::n0D>6m;h377?6=3`;?87>5;n00e?6=3tyo<7>53z\g4>;41=0:89523879515<3;??63<948201=z{:996=4={_106>;41?09?l5rs2;0>5<5s49287<7;:18185>=388m63<978201=zuzn;6=4={_f3?85>?3n;7p}k1;296~Xc92785:4k1:p764=838pR>==;<1:3?54:2wvn?=9:180>4<4sE93h7?tH0ga?x"4>oc93:17b=<2;29?g5>?3:1?7=55z&00c<41>1bh=4?::kg5?6=3f98>7>5;c1:0?6=;3:1N40k1b=9=50;9j512=831d>>o50;9~f6?229086=4?{%17b?043A93n6g>4283>>o6<=0;66a=3`83>>{e;0<1<7=50;2x 62a2;9o7E=7b:k206<722c:894?::m17d<722wxh=4?:2y]`5=:;0>1=9:4=2;6>4243tyo=7>53z\g5>;41=0:8>5238795127>52z\077=:;0<1>>o4}r1:7?6=:r78594=3`9>7<0=9=90q~=64;296~;41<09?l52384951252z\g4>;41>0o<6s|d083>7}Yl816?495d09~w6552909wS=<2:?0=2<4;;1vqo<=b;297?7=;rF84i4>{I3ff>{#;=l1>>d41>0;6>4<:4y'71`=;0=0ei>50;9j`4<722e8??4?::`0=1<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg5>=3:1?7>50z&00c<1;2B84o5f15194?=n9=>1<75`22c94?=zj:3=6=4<:183!53n388h6F<8c9j515=831b=9:50;9l66g=831vi>50;1xZa6<5:3?6<:;;<1:1?73;2wxh<4?:2y]`4=:;0>1=9=4=2;6>4233ty8??4?:3y]764<5:3=6?=n;|q0=6<72;q6?4:522c896?128>87p}<9583>7}:;0?1>>o4=2;5>4233twxh=4?:3y]`5=:;0=1h=5rse394?4|Vm;01>78:e38yv54:3:1>vP<339>7<1=;:80qpl=2b83>6<62:qG?5j51zJ2ag=z,:>m6??>;hf3>5<<50;9a7<2=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>:1C?5l4i060>5<?6=44o31b>5<53;294~"4<50;0xZ6553492:7<7;:31b?85>>3;??6s|38694?4|5:3>6?=n;<1:2?73<2wvi>50;0xZa6<5:3<6i>4}rf2>5<5sWn:70=67;f2?xu4;;0;6?uQ320896?02:997psm23f94?5=939p@>6k:0yK5`d1:kg4?6=3`n:6=44o211>5<==:188f6?329086=4?{%17b?043A93n6g>4283>>o6<=0;66a=3`83>>{e;0?1<7=50;2x 62a2?90D>6m;h377?6=3`;?87>5;n00e?6=3th85;4?:283>5}#;=l1>>j4H2:a?l73;3:17d?;4;29?j44i3:17p}k0;297~Xc8278594>459>7<3=9=90q~j>:180[b6349287?;3:?0=0<6<=1v>==:181[54:2785;4=3`9~w6?42909w0=64;00e>;41?0:8>5rs2;7>5<5s49297<{t;:81<7?398>6srb2ca>5<4290;w)=;f;41?M5?j2c:8>4?::k201<722e9?l4?::a7db=8391<7>t$26e>34<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<53;294~"46F<8c9j515=831b=9:50;9l66g=831vn>l<:180>5<7s-9?j78=;I1;f>o6<:0;66g>4583>>i5;h0;66sm3c794?5=83:p(>:i:708L6>e3`;??7>5;h370?6=3f88m7>5;|`0f2<72:0;6=u+35d927=O;1h0e<:<:188m4232900c?=n:188yg5e13:1?7>50z&00c<1:2B84o5f15194?=n9=>1<75`22c94?=zj:hi6=4<:183!53n3<97E=7b:k206<722c:894?::m17d<722wi?oj50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl6<729q/?9h5639K7=d86=44i067>5<;4io09?l523c3951253z?1777g5=9=>0q~=m2;297~;5;:0o<63v3=2e8g4>;4jo09?l5rs2`5>5<4s48897j?;<1a3?44i278n44>459~w6d?2908w0<<6;f3?85e1388m63ll:180845k3n;70=md;00e>;4jo0:895rs214>5<5sW98;63=2e8077=#;=<1?>84n266>5=z{:9>6=4={_101>;5:j08??5+3549760>6<5rs217>5<5sW98863=2c8077=#;=<1?>84n266>7=z{:>96=4={_176>;5;?08??5+3549760>6>5rs262>5<5sW9?=63=348077=#;=<1?>84n266>1=z{:>;6=4={_174>;5;=08??5+3549760>685rs21e>5<5sW98j63=328077=#;=<1?>84n266>3=z{:9n6=4={_10a>;5;;08??5+3549760>6:5rs21g>5<5sW98h63=308077=#;=<1?>84n266>==z{:9i6=4={_10f>;5;908??5+3549760>645rs21:>5<5sW98563=2g8077=#;=<1?>84n266>d=z{:936=4={_10<>;5:l08??5+3549760>6o5rs210>5<5sW98?63<42817d=#;=<1?>84n266>f=z{m=1<7:9:e48j622291vi;50;1xZa3<5;8h6i?4=2`e>4243-9?:7j9;o171?7"4429'710=l?1e?9;53:pa4<72:qUi<522279`4=:;k31=9=4$265>a0>695rsd294?5|Vl:01?=;:e3896d028>87)=;6;f5?k53=3?0q~ji:180[ba3488?7j>;<1a1?73;2.88;4k6:l000<13tyoi7>53z\ga>;5;;0o=63{tlm0;6>uQde9>667=l816?o?51518 6212m<0b>:::99~wad=839pRil4=313>a7<5:km6<:<;%172?b13g9?9774}rf:>5<4sWn270<=f;f2?85fl3;??6*<478g2>h4<<0j7p}k8;297~Xc0279>h4k1:?0eg<6<:1/?985d79m713=j2wxh>4?:5y]`6=::;o1h=523``9512<5:>86<:=;%172?b13g9?97m4}|qa0?6=:rTi8638:c68yvd22909wSl:;<59f0=z{;;02;38=56`<4483?xu5>10;6>uQ27:892<5>116>?o5d49'710=:?30b>:::09~w7002908wS<97:?4>7003489m7j;;%172?4112d8884=;|q133<72:qU>:84=68133=::;k1i?5+354963?>6>5rs356>5<4sW8<9638:356?845i3o:7)=;6;05=>h4<<0?7p}=7583>6}Y:>>01:4=759>67g=m91/?98527;8j6222<1v?9<:180[40;27<6?9<;<01e?ba3-9?:7<99:l000<13ty9;?4?:2y]624<5>09;?5223c9``=#;=<1>;74n266>2=z{;=:6=4<{_045>;02;=:70<=a;fg?!53>38=56`<448;?xu5>o0;6>uQ27d892<5>o16>?o5dc9'710=:?30b>:::89~w70c2908wS<9d:?4>70c3489m7j6;%172?4112d8884n;|q12f<72:qU>;m4=6812f=::;k1h55+354963?>6o5rs345>5<4sW8=:638:345?845i3n87)=;6;05=>h4<<0h7p}<9d83>7}:?3ho70<=a;103>"4{t;0i1<7;5:h08?85+35497>6<5rs2;a>5<5s4=1nl5223c9762<,:>=6>7k;o171?452z?4>f?<5;8j6>:=;%172?5>l2d8884<;|q0e=<72;q6;7m7;<01e?5392.88;4<9e9m713=<2wx?l950;0x92l4<419'710=;0n0b>:::49~w6g12909w095c79>67g=;:l0(>:9:2;g?k53=3<0q~=n5;296~;02j?01?392h6`<4484?xu4i=0;6?u27;a7?845i398h6*<4780=a=i;=?146s|3`094?4|5>0h>63=2`807g=#;=<1?4j4n266><=z{:k;6=4={<59g5=::;k1?>74$265>6?c3g9?97o4}r1:b?6=:r7<6oh4=30b>65?3-9?:7=6d:l0003?d>3489m7=<3:&003<41m1e?9;5c:~f746290>6?4:{M1;`?7|@8oi7pB<8d82!2203:0q)=;f;024>oe03:17b<95;29?ld32900eo;50;9jef<722h<6=4::183!53n3;;h6F<8c9jed<722cjo7>5;hc6>5<:183!53n3;?=6F<8c9l516=831vo:50;0xZg2<5>0j96s|b483>7}Yj<16;7on;|q172<72;q6?5m5152892>650;0x92<5><1U>;;4}r00=?6=:rTi4638:c:8yxd38;0;6>4>:2yO7=b=9rB:io5rL2:f>4}#<<21<6s+35d96565<7s-9?j7??c:J0{t::21<70;6?u27;051>X5><1v?=7:181[d?34=1n55r}c617?6=;3;1?vB<8e82M7bj2wG?5k51z&71=<73t.88k4=019jf=<722e9:84?::ka0?6=3k=1<7=50;2x 62a28:h7E=7b:kb1?6=3`h36=44o346>5<c28qC=hl4}M1;a?7|,=?36=5r$26e>7673`h36=44o346>5<1<75m7;297?6=8r.88k4>0b9K7=d>i5><0;66s|b583>7}Yj=16;7o:;|q172<72;q6;7<95:\120=z{;936=4={_`;?81=j11vqo<=6;29a?5=99q/?9h52348k7452900e??<:188m77?2900e??6:188m77f2900e??m:188m77d2900e??k:188m77b2900e??i:188m7472900e??;:188m7722900e??8:188f74429026=4?{%17b?143A93n6g>4283>>o6<=0;66g>4483>>o64683>>o6<10;66g>4883>>o6>{e:;>1<7850;2x 62a2?o0D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;h373?6=3f88m7>5;|`160<72:0;6=u+35d927=O;1h0e<:<:188m4232900c?=n:188yv45:3:1>vP=239>673=::k0q~<>3;296~X59:16>?:51518yv4603:1>vP=199>675=9=90q~<>9;296~X59016>?=51568yv46i3:1>vP=1`9>675=9=?0q~<>b;296~X59k16>?=51558yv46k3:1>vP=1b9>675=9=<0q~<>d;296~X59m16>?=515:8yv46m3:1>vP=1d9>675=9=k0q~<>f;296~X59o16>?=515;8yv4583:1>vP=219>672=9=>0q~<>4;296~X59=16>?:51548yv46=3:1>vP=149>672=9=?0q~<>7;296~X59>16>?:51558yv45;3:1>v3=22817d=::;?1=9=4}r010?6=:r79>94=3`9>673=9=>0qpl67;291?5=?r.88k467:m:6?6=3`;>:7>5;h1f3?6=3`336=44i8394?=e1?0;6>4?:1y'71`=>:1C?5l4i060>5<?6=44o31b>5<5}#;=l1>>j4H2:a?l73;3:17d?;4;29?j44i3:17p}62;296~X>:27297<4233ty8i:4?:3y]7`1<50<1=9=4}r;;>5<5sW33707;:060?xu>93:1>vP61:?:0?73<2wx5>4?:3y>=3<5;h16584>429~w<2=838p14:522c89<3=9=>0qpl0<42>q/?9h53dd8k6cf2900e<;::188m6c?2900e>h?:188m6c02900n>kj:180>5<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm3da94?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0aa<72:0;6=u+35d966b<@:2i7d?;3;29?l73<3:17b<5483>7}Y9kj:067?xu4m10;6?uQ3d:896cb28>87p}7}Y;o:01>kl:060?xu4m>0;6?uQ3d5896cd28>?7p}7}:;lo1>>o4=2gg>4243ty8in4?:3y>7`e=::k01>kk:067?x{e9<91<7:50;2x 62a2??0D>6m;h377?6=3`;?87>5;h371?6=3f88m7>5;|`fa?6=;3:1N40k1b=9=50;9j512=831d>>o50;9~f63f29086=4?{%17b?053A93n6g>4283>>o6<=0;66a=3`83>>{e;<21<7;50;2x 62a2?h0D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;n00e?6=3th8>;4?:483>5}#;=l1:i5G39`8m4242900e<:;:188m4222900e<:9:188k75f2900qo==8;291?6=8r.88k49c:J05<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~f1<7>t$26e>75a3A93n6g>4283>>o6<=0;66g>4483>>i5;h0;66sm3d;94?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0b4<72:0;6=u+35d966b<@:2i7d?;3;29?l73<3:17b<6m;h376?6=3f88m7>5;|qbf?6=krTjn63>508a0>;68?0i863<518a0>;4:90i863<578a0>;59;0i863=208a0>;38;0i86361;`7?825;3h?70=j7;`7?xu4:90;6?uQ332896472;<>7p}0}Y;l=01>k8:346?8?02:o<70=jf;1f3>;4m00:8>5rsda94?4|Vli01<>9:c;8yv2793:1>vP;009>054=j11v9<<:181[25;27?>>4=649~w<7=839pR4?4=839633<50=15<5rs071>5<5s4;>=7?j4:?216<5;h1v><::181855>388m63<2b8206=z{:8<6=4={<11n4>459~w4332908w0?:1;07f>;>13;??636=4;{<365?43l278ik4>549>=<<6<=16?k?51568yv72>3:1?v3>50810c=:1>0:9;52988200=z{:826=4={<114?d?3499m7<:372?825;3h37p}7}:;ll1?k>4=2d2>75f3ty8il4?:3y>7`1=j116?hh53dc8yvcc290=w0=:0;`6?85583h>70=:6;`6?872;3;??63je;00e>;4=h0:895rs27:>5<3s49><7=;b:?157<4m5:?01d<5;h1v4<50;0x9<7=j1165:462:p==<72;q65:468:?:=?44i2wx>?<50;0x97462k201?<9:301?xu4=?0;6>u23449633<58?86<:;;<16e?73;2wx8=<50;1x943628o>70:?2;051>;4m00:895rs274>5<5s49>:7l7;<16{t;;h1<775f3499m7?;2:p677=838p1?<>:346?872;3;?96s|34794?3|V:8o70=:0;05b>;4=10:8;523349510<5:836<::;%172?52<2d8884?;|q016<72=q6?8>527f8963?28>?70==6;371>;4:10:895+3549702>6<5rs271>5<3s49><7<9c:?01=<6<:16??851568964?28>87)=;6;160>h4<<097p}<5083>1}:;<:1>;84=27;>4223499:7?;3:?06=<6n:181877>38=o63je;370>"4{t9921<7=t=025>g`<58:=6?89;4243-9?:7??9:l000<63ty9=:4?:3y>644=:?k01?<9:334?!53>38::6`<4483?xu59<0;6?u2200963><5;8=6??:;%172?46>2d8884>;|q151<72;q6><<5275897412;;?7)=;6;022>h4<<097p}=2183>7}::881>:84=305>7473-9?:7<>6:l000<43ty9=k4?:3y>644=:>?01?<9:33e?!53>38::6`<4487?xu59l0;6?u22009622<5;8=6??j;%172?46>2d8884:;|q15a<72;q6><<5261897412;;o7)=;6;022>h4<<0=7p}=1b83>7}::881>:<4=305>77d3-9?:7<>6:l000<03ty9=o4?:3y>644=:>;01?<9:33a?!53>38::6`<448;?xu59h0;6?u2200963`<5;8=6??n;%172?46>2d88846;|q15<<72;q6><<527f897412;;27)=;6;022>h4<<0j7p}=1983>7}::881>;m4=305>77?3-9?:7<>6:l0004?:3y>644=:?<01?<9:330?!53>38::6`<448`?x{e;ji1<775c;32I5?l3;pD>576:;%665;h33f?6=3`9;?7>5;h131?6=3`9;;7>5;h37f?6=3k=?6=4<:387I5?l3;pD>47<4}%17b?4712ci47>5;n051?6=3`h?6=44b683>6<729q/?9h511a8L6>e3E93h7?t$073>1323tcj97>5;h`;>5<6=44}c`4>5<5290;w)=;f;36<>N40k1b=9<50;9l66g=831vo:50;0xZg2<5k=1=9<4}r003?6=:r7<6l;4=c5966g52z?4>7023W8=96s|22;94?4|Vk201:4m8:~f663290>6?4:{M1;`?7|@8oi7pB<8d8b!220380(9;8:2ab?!23n3h37)::1;051>"37c:;a;38 1342:>i7c:;b;28y!53n38;m6gm4;29?ld22900eo650;9l633=831b?9l50;9a3?6==3819vB<8e82M7bj2w/?9h51g68mg>=831d>;;50;9jf1<722ci97>5;hc`>5<0;684?:1y'71`=99n0D>6m;hcb>5<>oe03:17b<95;29?xd40j0;6<4?:1y'71`=9=;0D>6m;n374?6=3tyi87>52z\a0>;02h?0q~l::181[d234=1ml5rs314>5<5s493o7?;0:?4>de52z?4>7023W8=96s|22;94?4|Vk201:4m8:~f6e629086=4?{%17b?44m2B84o5f15194?=n9=>1<75`22c94?=z{k>1<7{t;=h1<7=t^26a?81=j116?n?51518yv5d83:1>v38:c7896e62;9j7psm31494?3=:3?p@>6k:0yK5`d7=#<<=1?no4$56e>g><,=?:6?8:;%67`?d33g>?57?4$56f>g3j6<5+441971di6=5r$26e>76f3`h?6=44ic794?=nj10;66a=6483>>o47<2sE93h7?tH0ga?x"4>i5><0;66gm4;29?ld22900elm50;9a3?6==3:15;h`;>5<6=44}c1;g?6=93:1>950;0x96>d28>;7095ab9~w75?2909w0952778Z7023ty9?44?:3y]f==:?3h37psm3b394?5=83:p(>:i:31f?M5?j2c:8>4?::k201<722e9?l4?::pf1<72;qUn9527;`7?xue=3:1>vPm5:?0g4<6<=1v?8::181[41=27<6?8:;|q00g<72:qU?9l4=68a<>;4k80:8>5rs2a3>5<5s4=1n8523b3966g2}K;1n1=vF>ec9~H6>b2hq/88652:&712<4kh1/89h5b99'007=:??0(9:k:c68j12>281/89k5b49m01g=92.?994<4d9m01e=82w/?9h51gc8mg2=831bn84?::ka5;h17a?6=3k=1<7;52;7xH6>c28qC=hl4}%17b?7a<2ci47>5;n051?6=3`h?6=44ic794?=nij0;66l8:186>5<7s-9?j7??d:J06l:182>5<7s-9?j7?;1:J001:4n5:pf0<72;qUn8527;cb?xu5;>0;6?u239a9516<5>0jo6s|22:94?4|5>09:85Q2778yv4413:1>vPm8:?4>g>5}#;=l1>>k4H2:a?l73;3:17d?;4;29?j44i3:17pl6<729q/?9h522g8L6>e3`;??7>5;h370?6=3f88m7>5;|qa0?6=:rTi8638:c68yvd22909wSl:;<1`7?73<2wxn54?:3y]f==:;j;1=9:4}r051?6=:rT9:8527;051>{t;=o1<7=t^26f?85d93;??63>o4}r1`4?6=:r7<6o64=2a2>75f3twi?8k50;797?1|D:2o67):;d;`7?k2313;0(9:j:c78j12f281/88=535`8j12e291v(>:i:32b?ld32900eo;50;9jf=<722e9:84?::k00g<722h<6=4::386I5?l3;pD5;h`6>5<0e9K7=d>of=3:17dl7:188k7022900qo=7c;295?6=8r.88k4>409K7=d;6=44}r`7>5<5sWh?7095a49~wg3=838pRo;4=68be>{t::=1<742734=1mn5rs31;>5<5s4=1>;;4^346?xu5;00;6?uQb99>3?d?3twi?ll50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl6<729q/?9h522g8L6>e3`;??7>5;h370?6=3f88m7>5;|qa0?6=:rTi8638:c68yvd22909wSl:;<1`5?73<2wxn54?:3y]f==:;hh1=9:4}r051?6=:rT9:8527;051>{t;=h1<7=t^26a?85fj3;??63>o4}r1`4?6=:r7<6o;4=2a2>75f3twi5o4?:283>5}#;=l1>>k4H2:a?l73;3:17d?;4;29?j44i3:17pl<0983>6<729q/?9h5639K7=d86=44i067>5<86=44i067>5<50z&00c<1;2B84o5f15194?=n9=>1<75`22c94?=zj><1<7<50;2x 62a28?37E=7b:k207<722e9?l4?::p017=838pR9:>;<672?44i2wx?k;50;0xZ6`2349m97<95:p7a1=83>pR>j8;<;a>42434>?97?;3:?42?73:2wx5l4?:7y]=d=:;9>1?9l4=225>62e349m97=;e:?01`<44?:2y]755<5::?6o;4=22b>4243ty8<84?:2y]753<5::=6o;4=22`>4243ty:8o4?:2y]51d<5:l>6o64=27f>g>702343i6<:;;|q04<<72;q6?=651518966f2;9j7p}<0c83>7}:;921=9:4=22`>75f3ty89h4?:3y>70c=:??019:::067?xu48=0;6?u23169633<5::j6<:;;|q043<72;q6?=852778966d28>?7p};4583>7}:<=<1=9<4=566>75f3ty87c3=j<16?8k5b49>75>=::k0q~9::1818132k201:8522c8yxd3:90;6>4>:2yO7=b=9rB:io5rL2:f>4}#<<21:6s+35d95c66=44i27a>5<6<729q/?9h5a29K7=d96=44ida94?=h::k1<75rs026>5<5sW;;9638:da8yv44?3:1>v38:31b?[54:2wx>>650;0xZ63e34=1=9<4}|`0`d<72:0:6>uC39f95~N6mk1v@>6j:0y'00>=>2w/?9h51g28m4622900e>;m:188k6552900n:4?:283>5}#;=l1m>5G39`8m4252900ehm50;9l66g=831v<>::181[77=27<6hm4}r003?6=:r7<6?=n;_106>{t::21<76<62:qG?5j51zJ2ag=zD:2n6{#;=l1=k>4i026>5<5<0;6>4?:1y'71`=i:1C?5l4i061>5<6=4={_331>;02li0q~<<7;296~;02;9j7S=<2:p66>=838pR>;m;<59514i4?:282>6}K;1n1=vF>ec9~H6>b28q/88656:'71`=9o:0e<>::188m63e2900c>==:188f2<72:0;6=u+35d9e6=O;1h0e<:=:188m`e=831d>>o50;9~w4622909wS??5:?4>`e52z?4>75f3W98>6s|22:94?4|V:?i70951508yxd3;00;6>4>:2yO7=b=9rB:io5rL2:f>4}#<<21:6s+35d95c66=44i27a>5<6<729q/?9h5a29K7=d96=44ida94?=h::k1<75rs026>5<5sW;;9638:da8yv44?3:1>v38:31b?[54:2wx>>650;0xZ63e34=1=9<4}|`0`7<72;0;6=u+35d950><@:2i7d?;2;29?j44i3:17pl7<729q/?9h514:8L6>e3`;?>7>5;n00e?6=3th8i?4?:383>5}#;=l1=864H2:a?l73:3:17b<4?:1y'71`=::n0D>6m;h377?6=3`;?87>5;n00e?6=3th8ok4?:383>5}#;=l1=864H2:a?l73:3:17b<6m;h376?6=3f88m7>5;|q765<72=qU8?>4=2a:>147349hh7:=0:?765<4;;1v>h9:181[5a>278on416?i>53e5896ed2:n<7p};4383>7}Y<=801>ml:562?xu3:k0;6>uQ43`891312=8j70=k0;61e>{t<;<1<73>9:6s|a983>1}Yi11Um:5239d960g<5:ih6>>8;|q75c<72;qU817a3ty8i84?:3y]7`3<5:io6>k<;|q75a<72;qU81753ty8j?4?:3y]7c4<5=?=6>h=;|q0a2<72;qU?h94=2a4>6c03tyno7>53z\fg>;4km0no63{t99h1<78t^02a?85d13ki70::6;ca?85c83ki70=l7;ca?85dk3;;n6s|3g594?4|V:l<70=ld;1e1>{t;m31<76s|3d394?4|V:o:70=j2;00e>{t<9;1<7;=6s|40g94?4|V=;n70::6;607>{t<=91<7?=6s|43a94?4|V=8h70:=d;106>{t<8<1<73>::6s|a883>7}Yi016?nj5a89~wd0=838pRl84=2ag>d052z\0`4=:;m81>>o4}r1g1?6=:rT8h8523e4966g;87>53z\741=:<<<18==4=2f3>1643ty?>94?:3y]072<5=?=69<;;|q0b=<72;qU?k64=2ag>6`?3ty?<84?:3y]053<5=:=6>==;|q77=<72;qU8>64=51:>6553ty8jo4?:3y]7cd<5:n;6>hm;|q0ba<72;qU?kj4=2ag>6`c3ty?854?:5y]01><5:i269:8;<662?23?278h=4;469~w6c32909wS=j4:?0`5<4m=1v>399i636=4={<1g4?71=278oi4>649~w4g12909w0=l9;3b2>;4l90:m;5rs07:>5<5s49o<7?:9:?0ga<6=01v9<>:18182583;;963;23817d=z{0?<5:n?6<:;;|q6f?6=:r78h=4:9:?0`1<6<:1v9>8:18185dl3>;?63;07801g=z{:8;6=4={<662?559278o:4<219~wp1>m6:8c8913120k01>j?:8c896ed20k0q~=le;296~;4km0:i>523bd966g52z?0`5<6i116?nj51`:8yv4f<3:1>v3;5781e1=:;ji1?==4}r617?6=:r78o:4;229>074=9=80q~7>:18485dl33:70=l7;;2?85ci3;;963;078240=:<;n1==;4=51:>462349n>7?;2:p5=6=838p1>m6:0:3?85c83;3<6s|1c794?4|5:n;6{t;m91<7425349o87<j?:0;a?85dl3;j96s|29594?4|5:i26?68;<662?4??2wx?il50;0x96ec2:nh70=ka;16f>{t:o>1<77`334>>:7j?:05`?85dk39;96s|15`94?4|5:i26<:m;<1`g?73j2wx8>o50;0x96ec2=9<70:<9;16f>{t9m21<74b?349hh7?j2:p24<72;q6?i>5609>7a0=9=80q~<<7;296~;40j0:8=52432970d52z\13f=:<<<1>:m4$265>71e3g9?97>4}r04e?6=:rT9;l52444962g<,:>=6?9m;o171?752z\1<0=:<<<1>5;4$265>71e3g9?97<4}r0;0?6=:rT9495244496=2<,:>=6?9m;o171?552z\1<6=:<<<1>5=4$265>71e3g9?97:4}r0;6?6=:rT94?5244496=4<,:>=6?9m;o171?352z\1<4=:<<<1>5?4$265>71e3g9?9784}r0;4?6=:rT94=5244496=6<,:>=6?9m;o171?152z\13c=:<<<1>:h4$265>71e3g9?9764}r04a?6=:rT9;h52444962c<,:>=6?9m;o171??52z\13a=:<<<1>:j4$265>71e3g9?97o4}r04=?6=:rT9;452444962?<,:>=6?9m;o171?d3349h57:j;%172?>23g9?97?4}r:0>5<4sW2870=l9;6g?822>3>o7)=;6;:6?k53=380q~6=:180[>5349h57:m;<662?2e3-9?:76:;o171?5270::6;6:?!53>32>7c=;5;68yv1a2908wS9i;<1`=?2?34>>:7:7;%172?>23g9?97;4}r5f>5<4sW=n70=l9;64?822>3><7)=;6;:6?k53=3<0q~9k:180[1c349h57:9;<662?213-9?:76:;o171?1>70::6;66?!53>32>7c=;5;:8yv1e2908wS9m;<1`=?2334>>:7:;;%172?>23g9?9774}r5b>5<4sW=j70=l9;60?822>3>87)=;6;:6?k53=3k0q~96:180[1>349h57:=;<662?253-9?:76:;o171?d:70::6;62?!53>32>7c=;5;a8yv102908wS98;<1`=?2734>>:7:?;%172?>23g9?97j4}r:f>5<4sW2n70=l9;7;?822>3?37)=;6;:6?k53=3o0q~6k:180[>c349h57;8;<662?303-9?:76:;o171?`3?=7)=;6;:6?k53=3;;7p}7b;296~X?j27?9;4:5:&0031:p:9:978j6222890q~67:181[>?34>>:7;=;%172?>23g9?97?;;|q;3?6=:rT3;63;57865>"4{t080;6?uQ809>000=

j1|BCF~6zHIZpqMN \ No newline at end of file +$54b==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;13857=68;1:=?5>200857:10:30>LHW]]0O0<<50?37?45=AGZ^X7Jnt`C?57<76o1:?7B[ilgq844=87;:7<=5OTVSQQ58f3891]1?=:12;2=54=6;3Ujhi>?01>26?69i2;=6L2=3;:=55=6>3CE\XZ5A=00>=863;k0><4N<3395;773;;1EC^ZT;C?64<66l19?7OPFR@?66<668>0>>4FNQWW>DYA[K69?7?18:09@97=8730>7K}<083:`=52@D[YY4K<083:c=52F__\XZ5Q=394;><:3[7=7>11:1e?62?3=0O0>4?>b97>AgsiH686=0j;58JJUSS2M686=0>2:69MKVR\3NjxlO33;2=b>2=G\^[YY4^<283:42<<3E^X][[:Sgpqir;;3:546:5Q=194;b<<3Xnxb{<283:f=32]cfi2<:133;2=5>3?3<0O094?>b96>AgsiH6?6=06;48FW92=87o097GAPTV9@92=87;9784FNQWW>AgsiH6?6=0i;48LQQVR\3[787>11596>JSSX\^1^h}zlu>7>58?3<0Z094?>e96>Wct}e~787>1f:79[dbc89:;094?>0955>1631;0585N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566m2KGI=Qbuy234576l2KGI=Qbuy23454682KGI=Qbuy2345Yney>0MAK>4:CM@70GIL:H9:6OAD2@00>GIL=<0MCJ;B048EKB3J;<0MCJ;B248EKB3J=<0MCJ:B048EKB2J;<0MCJ:B248EKB2J=<0MCJ:B468EKB?<2KX~km4ARpe[kis89::=h5NSsd\jjr789;Te`~PARpe[kis89::=45N_GQA846912KTJ^L310<:?DYA[K6:>374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH753:4Abnq53=FkexTECXPilr\EfjuW@D]=;5NotvLA`=Fg|~DIRczx1234c=Fg|~DIRczx12344773He~xBKPmtz3456698:0Mb{{OD]nq}67898:j6O`uuMF[hs89:;?<<4AnwwK@Yj}q:;<=Qfmqf8EjssGLUd~=>?0328EjssGLUd~=>?0^kntZGh}}ENSb|?01220>DFK]o0NLM[_hos[GGD\8?0NLM[r59AAWTb3KOY^Rgbp^@FVW713KOY^h9;CGQVwtb3KOY^|Pnnv34575:2HN^_|}_omw4566W`g{SOK]Rsp\jjr789;:86LZFD:8FPUXAGLD=6M;;B35I3=D:9GNB;5L24OFJ6=D0D80OH=4CMI0?FJU;2IEX45LOLOJPQCC?2IYILZJD39@W3=Ddlky=h5Lldcq5Zgil9:;?0018Gim23Jf`Ic94Cmi\BVK6<2IggRGAV^kntZEkcVCEZ4?>59G86813M6?6=0;;E>7:1=C4<4?7I29>59G82833M63295K<8<6?ARFKB;;7IZNCJ]jiuYC\HI@=:5KT@AHvc1>259GPDELz{Uecy>?00]jiuYC\HI@~Qaou2344703Mkm1>17:Fbpd:66<1OmyoN8:FbpdG;8730HlznA=33:<=Ci}kJ01<364D`vbE92902NjxlO35?:8@drfI5<546Jnt`C?3;>?0101?Air|FOTmcj?012\mhvXLfCHQnne23457b3Me~xBKPaof34575:2NdyyAJ_`lg4566W`g{SIaztNG\ekb789;:h6J`uuMF[jt789:9<6J`uuMF[jt789:Te`~PDnwwK@Yhz9:;<4DnwwK@Yhz9:;=Rgbp^FlqqIBWfx;<=?>1:G6?@@fde;;7HHnlm]jiuYBNhfg=?5JS49FW969=2OX0<0:;DQ?6;3?003b?@UXign;<=?Pilr\AVYffm:;<?1e9FWZejxVoz<=>>219FWZejxVoz<=>>_hos[@UXkdzTi|>?003:?@UXg{:;<=?7;DQ\kw6789Uba}QJS^mq45679<1NXLMDd:GWEFMXign;<=>=0:GWEFMXign;<=>Pilr\AQGDCVkeh=>?0033?@RFKBUha}Qjq12347049Fv979<2LH@F<4FE68BAEB:2LM86HId058BCb6%@d37KHk1,Km5<=ANm;&Ec?>8:DE`4+Nf;30JKj>-Hl15==ANm;&Ec=6;GDg5(Oi;830JKj>-Hl75==ANm;&Ec;m;GDg5(OiW`g{86HId358BCb5%@d37KHk2,Km5<=ANm8&Ec?>8:DE`7+Nf;30JKj=-Hl15==ANm8&Ec=6;GDg6(Oi;820JKj=-Hl7=>@Al;'Bb9?7;GDg6(Oi=01MJi<"Io72<>@Al;'Bb;74FGf1)Lh1901MJi<"Io52<>@Al;'Bb5l4FGf1)LhXadz?7KHk369EBa5*Ag20JKj<-Hl2=>@Al:'Bb@Al:'Bb>74FGf0)Lh4911MJi="Io6:?C@c;$Ce8<74FGf0)Lh2911MJi="Io4a?C@c;$CeSdc3:DPF6=A[Di0J^CP0,rbv`hsk2LXAR?"p`pfjqe ~nrdlwg>@TEV9&|l|jnu38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ6?LGD[8?0ELM\249JEFU402CJO^Qfmq68MKOS=2CEEY]8;HLJPVRD9=1BBDZ\TB]jiuYNF@^XXN?>0:KMMQUXkdzTi|>?0133?LHN\ZUha}Qjq123546>0028MJDBZ[Ufyu>?0132b>OHJLXYS`{w01236464IN@FVWYj}q:;<=:>f:KLF@TUWds<=>?5028MJDBZ[Ufyu>?017255=NGKOY^Rczx123437682CDNH\]_lw{4567?8l0EBLJRS]nq}67892:<6G@BDPQ[hs89:;461008MJDBZ[Ufyu>?01]jiubOHJZUYiljv139JKGUXZlkouRoad12347g?003b?LIE[VXnmiwPilr\MJDTW[ojht?;;HM@W0=NGJY:96G@CR06?LID[:l0EBM\_bos[`w789:986G@CR]`iuYby9:;?00d8MJETWjg{Sh?01310>OHKZUha}Qjq1235ZojxVCDO^Qlmq]fu56798l0EBM\_bos[`w7898986G@CR]`iuYby9:;>Rgbp^KLGVYdeyUn}=>?20d8MJETWjg{Sh?01110>OHKZUha}Qjq1237ZojxVCDO^Qlmq]fu567;8l0EBM\_bos[`w789>986G@CR]`iuYby9:;8Rgbp^KLGVYdeyUn}=>?40d8MJETWjg{Sh?01710>OHKZUha}Qjq1231ZojxVCDO^Qlmq]fu567=8l0EBM\_bos[`w789<986G@CR]`iuYby9:;:Rgbp^KLGVYdeyUn}=>?60d8MJETWjg{Sh?01510>OHKZUha}Qjq1233ZojxVCDO^Qlmq]fu567?8l0EBM\_bos[`w7892986G@CR]`iuYby9:;4Rgbp^KLGVYdeyUn}=>?80d8MJETWjg{Sh?01;10>OHKZUha}Qjq123=ZojxVCDO^Qlmq]fu56718l0EBM\_bos[`w788:986G@CR]`iuYby9::>00:8MJETW`g{86G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?j;HMO4Zkrp9:;<??;HMO4Zkrp9:;?219JKWCVWhdo<=>?_hos[LIUMXUjbi>?013g?LIUMXUfyu>?01g8MJTBYVg~t=>?00d8MJTBYVg~t=>?003e?LIUMXUfyu>?0102a>OHZL[Taxv?0120b>OHZL[Taxv?01205c=NG[OZS`{w012304c?10a8MJTP8Vey<=>?1d9JKWQ7Wfx;<=>Pilr\MJTP8Vey<=>?1b9JKWQ7Wfx;<=?>e:KLVR6Xg{:;<5:KLVR7c3@EY[_`lg45679j1BC_Y>_np34566m2CD^Z?Pos2345YneyUBC_Y>_np34566?2CD^hokyg9JKWcflpUjbi>?0107?LIUmhnrSl`k0123[lkwW@EYiljv_`lg45679o1BC_kndx]mkq67888?7DA]e`fz[kis89::Sdc_HMQadb~Wge<=>>1d9JKWcflpUd~=>?0308MJTbimsTc>?01]jiuYNG[ojhtQ`r123445n7DA\_bos[`w789?n7DA\_bos[`w789k;HMV4Zkrp9:;<4INW3[hs89:;Sdc4:KLQ4e87D`:3:Km2`=LMLZTDDK]ASAK]2=KGNCHMAj4LQO\EABX[\HT=i5CPL]B@AYT]KU9h6B_M^CG@ZURJV9i7A^B_CWPPWO6l2F[ARM@UOVFVZ7c3EZFSNAZNUGQ[7bk0@]CPDGD\5=gl5CPL]GBCY58h1G\@QKFG]15d=KXDUOJKQ=2`9OTHYCNOU9?l5CPL]GBCY527A^B_EDE[0?>3EZFSIHI_8c8HUKXPFX9S<84LUknaw?`9OPlkbz5;;2l5CThofv9766j1GXdcjr=31>58f3E^bah|313<:?IRnelx7=374LUknaw:5601GXdcjr=1==>JSadoy0906;MVji`t;=730@Ygbes>5:<=K\`gn~1919:NWmhcu41427AZfmdp?=;>?0^knt0=Kghn:h6B`ae3\jjr789;9<6B`ae3\jjr789;Te`~PLncg5Zhh|9:;=H6:2D9=6A;;NF@W`=HLJYTe`~POEAP56=HZ;?0C_IU<:1D^8=4OS40?JT0;2EY495@nbg`?JhdmVkeh=>?00g8KkebWhdo<=>?_hos[JhdmVkeh=>?00`8KkebWfx;<=>>c:Mmg`Yhz9:;Ir|9Uba}Q@uu220>Ir|8o0Cxz>_hos[Jss98>0Cxz=e:Mvp7YneyUDyy<>4:Mvp6c:86Azt4g8Kpr2W`g{SB{{5068Kpr1m2E~x;Qfmq]Lqq06<2E~x::4Otv;a>Ir|1Uba}Q@uu:25>Vd3Y$9<<=>001\H1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF6>VTl2ZXSnc_ds3456c3YYTo`~Pep2344bVTWjg{Sck?0122?T2384Q=194;2W;<7>0]1;14:S?2;2?0^kntZWX9Vey<=>?149QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86823[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH34R@O@WZejxVoz<=>?279QEHETWjg{Sh?012\mhvXZHGH_Rmbp^gr456798:0^LCLS^kntZTFEJY:96\NMDLg?WGJMGUfyu>?01g8VDKBFVg~t=>?00d8VDKBFVg~t=>?003e?WGJMGUfyu>?0102a>TFELDTaxv?0120b>TFELDTaxv?01205`=UIDOES`{w01230c=UIDOES`{w012304`2XJA_kndx]bja6789Uba}Q]ALPfeaXign;<=>>119QEHTbimsTmcj?01312>TFE[ojhtQnne2344YneyUYM@\jae{\ekb789;:==5]ALPfeaXe|r;<=>>1:PBIWcflpUfyu>?01326>TFE[ojhtQbuy2345769;1YM@\jae{\ip~789:9=?3068VDKUmhnrS`{w0123[lkw12XJAR\jae{2<>TFEVXnmiwPilr\VDKXZlkou<;4R@QFHa=UIZOGS`{w0123a>TF[LFTaxv?0122b>TF[LFTaxv?01225c=UIZOGS`{w012364cPmtz345669l1YMR>Pmtz345659m1YMR>Pmtz34564991YMR>Pmtz3456Xadzh7_OP1^ov|5678m1YMR?Pmtz34566m2XJSRczx123447b3[KT>Rczx123477c3[KT>Rczx12346773[KT>Rczx1234Zojxj1YMR=Pmtz3456c3[KT?Rczx12344cTaxv?01225`=UIV>Taxv?01215a=UIV>Taxv?012055=UIV>Taxv?012\mhvd3[KT9Rczx1234a=UIV?Taxv?0122a>TFW?0132a>TFW?0102`>TFW?01124>TFW?01]jiueTFW?Ufyu>?013f?WGX>Vg~t=>?003f?WGX>Vg~t=>?033g?WGX>Vg~t=>?0233?WGX>Vg~t=>?0^kntf=UIV=Taxv?012g?WGX?Vg~t=>?00g8VDY0Wds<=>?10g8VDY0Wds<=>?20f8VDY0Wds<=>?3028VDY0Wds<=>?_hosg>TFW1Ujbi>?013f?WGX0Vkeh=>?0^kntZTFW1Ujbi>?013`?WGX0Vg~t=>?0e9QEZ>Xe|r;<=>>e:PB[=Yj}q:;<=?>e:PB[=Yj}q:;<=<>d:PB[=Yj}q:;<==>0:PB[=Yj}q:;<=Qfmqa8VDY>Wds<=>?d:PB[??;SC\=Zkrp9:;TB[{l>7_K\rsf8V@UuzVddx=>?1328V@UuzVddx=>?1^kntZTB[{xTbbz?01321>THEJYo7_ABCR]bja67898;7_ABCR]bja6789Uba}Q]OLAP[dhc89:;=<>4RNO@WZejxVoz<=>?279QKHETWjg{Sh?012\mhvXZFGH_Rmbp^gr456798:0^BCLS^kntZTHEJY:>6\\d:PP[fkwWl{;<=>k;SQ\ghvXmx:;<i5]S^antZcv89:8h6\\_bos[`w789>o7_]Pclr\at678?90^YB:;Sgb`|02Xnmiw=119Qadb~W`g{S_kndx3`?WcflpUd~=>?00g8V`gcqVey<=>?_hos[WcflpUd~=>?00a8V`gcqVey<=>>1d9Qadb~Wfx;<=?Pilr\V`gcqVey<=>>169QacBhflo0^hhKoog\kw6789897_kiDnlf[jt789:Te`~PRddGkkcXg{:;<=?n;SgeBwijZZ:n7_kiFsmnVV6Imq~::6\jfGpliWU7FlrSl`k012377=UmoLyc`\\0Og{pZgil9:;?0011?WcaN{ef^^>Aeyv\ekb789;Te`~PRddEvjkU[9DntyQnne234476>2XnjK|`mSQ3J`~sWhdo<=>=339Qac@ugdXX7_kiFsmnVV6Imq~Tc>?0113?WcaN{ef^^>Aeyv\kw6789Uba}Q]egDqkhTT8GosxRa}01235a=UmoLyc`\\0Qpf65=UmoLyc`\\0Qpf[lkwW[omJabRR2Sv`76i2XnjK|`mSQ3[lkwW[omJabRR22e>TbnOxda_]81`9Qac@ugdXX;Rgbp^PfbCthe[Y<=;5]egPBW3=UmoXn}55]egPfuLhf3[om^h\yoaf?WcaZl{Tmcj?01216>Tbn[ozSl`k0123[lkwW[om^hPaof34566981Yik\jq^antZcv89:;>55]egPfuZejxVoz<=>?_hos[WcaZl{To`~Pep23457692Xnj_k~_bos[`w789;946\jfSgr[fkwWl{;<=?Pilr\V``UmxUha}Qjq12354c=2:PfbPt`Whdo<=>?_hos[Wca]{mTmcj?0122e>Tbo\xl_t`l6:Pfwpjs12Xnxb{<119:Pfwpjs4=427_k|umv?1;?89Qavsk|5=556\jstnw8=8>3[oxyaz39?05?Wct}e~TUNOVH^_COBE49PS979=2Y\0?08;RU?7?79=2Y\0>0;;Raov1=Tkz~j7^`kR@O@WRT33Zynx95[YQG6?Qojm{20Xdcjr=2=<>Rnelx7=364Thofv949i2^bah|33;2=<>Rnelx7?394ThofvQE6:2^bah|[C^antZcv89:;>l5[ilgqPFYdeyUn}=>?0^kntZRnelx_ORmbp^gr45679m1_e`k}_`lg4567:91_e`k}_`lg4567W`g{SYgbes]bja6789;<7Y|fD`vb7>PDK01]EHYPTXRF7>PWIj1]\LQfmq]UTD723_ZJ~k;4VQCqva=QXHxySca{012265=QXHxySca{0122[lkwW_ZJ~Qaou23447f3_co^LCLSVPg?SocZHGH_Z\94j3;?SocZHGH_Z\94j]`iuYby9:;<>84VhfQEHET_[?1248RlbUIDIX[_8;k^antZcv89::Sdc_WkgVDKD[^X=8fQlmq]fu567988;7[gkR@O@WRT1`=<;4VhfQEHET_[Uha}Qjq123466<^`nYM@M\WS]`iuYby9:;>319UmaTFEJY\^Rmbp^gr4566W`g{S[gkR@O@WRTXkdzTi|>?00321>Pnl[KFO^Y]_bos[`w78988<6XfdSCNGVQUWjg{Sh?010\mhvX^`nYM@M\WS]`iuYby9:;>Qfmq]UmaTFEJY\^Rmbp^gr456498?0Zdj]ALAPSWYdeyUn}=>?4228RlbUIDIX[_Qlmq]fu567?=5YiePBIFUPZVif|Rk~0126[lkwW_co^LCLSVP\ghvXmx:;<8?>5:Tj`WGJKZ]YSnc_ds3450482\bh_OBCRUQ[fkwWl{;<=8Pilr\RlbUIDIX[_Qlmq]fu567>8;>7[gkR@O@WRTXkdzTi|>?0613?SocZHGH_Z\Pclr\at678>Uba}QYiePBIFUPZVif|Rk~0124543<^`nYM@M\WS]`iuYby9:;4>>4VhfQEHET_[Uha}Qjq123149UmaTFEJY\^Rmbp^gr456>;91]ei\NMBQTVZejxVoz<=>6_hos[SocZHGH_Z\Pclr\at6780;:96XfdSCNGVQUWjg{Sh?00204>Pnl[KFO^Y]_bos[`w788:Te`~PVhfQEHET_[Uha}Qjq12244723_co^LCLSVP\ghvXmx:;=<=?;WkgVDKD[^XTo`~Pep2354YneyU]ei\NMBQTVZejxVoz<=?>10c8RlbUIDIX[_Qfmq]UmaTFEJY\^;WkgVDKD[^XTc>?0131?SocZHGH_Z\Pos234576:2\bh_OBCRUQ[jt789:9=?5YiePBIFUPZVey<=>?3078RlbUIDIX[_Q`r1234Zojx?1\m~\E0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI79[WQJNJ>1S_YQHNE3f?]USW@G[@HCJNUCWMJHXKFDXX_OFNUQ24>^T\VX_@R^]OQGQP\1^h494::6V`<1<12>^h494856V`<1<\mhv43Qy56V|tFOl``je3Vkoh=>?0=2=g>Yflm:;<=2>0?a8[dbc89:;07>1c:]b`a67896:>3l4_`fg4567484i7Rokd1234949l2Ujhi>?01>0>58e3Vkoh=>?0=1=`>Yflm:;<=2;:12o5Paef3456;>7h0Sljk0123828e3Vkoh=>?0=:=f>Yflm:;<=26>99\ekb789:::6Qnne2345YneyUTmcj?0122<>Yffm:;<?2048[dhc89:9Sdc_^cm`567:820Sl`k012053=Xign;<==Pilr\[dhc89:8=55Paof34526>2Ujbi>?05]jiuYXign;<=:>8:]bja678<;=7Road1231ZojxVUjbi>?043;?Zgil9:;:<84_`lg4561W`g{SRoad12324>8179\ekb789=Te`~P_`lg4560911Tmcj?01:22>Yffm:;<5Qfmq]\ekb7892:46Qnne234<713Vkeh=>?9^kntZYffm:;<4?7;^cm`56688<0Sl`k0133[lkwWVkeh=>>00:8[hs89:;;6Q`r123442Pilr\[jt789::;6Q`r123542gil9:;?_np34566;2iex:5lotlwaw4ohjdUecy>?01]jiuYngkgTbbz?01220>ohjz30bLJ`uuNF44>>119mEAir|EO;Sb|?01212>hFLf@H>Pos2345YneyUeMIaztMG3[jt789::56`NDnwwH@7602dJHb{{LD3\mhvXfHNdyyBJ1018jDU03gKXSK]M7:lBWZOHJ880bL]PIN@\jDUXAFHdyyo4n@Q\MJDh}}<0bL]`uug8jDUh}}Uecy>?0001?kGTg|~Tbbz?013\mhvXfHYdyyQaou23447c3gKXcxzPos2345473gKXcxzPos2345YneyUeM^azt^mq45679>1eMb{{OD37?kGh}}ENSdc_oClqqIB9<1eNH\]9:lAAWTXNZH27cLJRS]JKG10bO\PIN@\ekb789:Te`~PnCP\MJDXign;<=>>f:lAVZOHJVg~t=>?0028jGTXAFHTaxv?012254=iJ[UBCOQbuy234576981eN_QFOC]nq}67898:==5aBS]JKGYj}q:;<==>3:lAVZOHJVg~t=>?0^knt`=iJ[UBCOQ`r1234740bNO\c:l@EVYig}:;<?0308jAoiGLUjbi>?01]jiuYiL`dDIRoad12344753gNbbBKPilr\jAoiGL;<7cJ`uuMFb>hCg|~DIRoad123472hH~lxgmtQnne2345413gE}ibny^cm`5678Vcf|R`@vdpoe|Yffm:;<=?8;oPBIIQB?2dYM@[XE59mVDUd3gXJ_Road12344chUIZUd~=>?0^kntZhUIZUd~=>?0068jWCTk2dYI^Qaou23447b3gXN_R``t1235ZojxVdYI^Qaou2344703gXN_b{{6:lQKHET9;1e^BCLS^kntZhUGDIX=;5aRNOTA`=iZFG\IRoad1234740bXJ\_HMA[kSC[VCDNb{{b:lV@VYNGKe~xn5aUEQ\ekb789::i6`ZDR]bja6789Uba}QaUEQ\ekb789::o6`ZDR]mkq6788;n7c[KS^llp5679Vcf|R`ZDR]mkq6788;?7c[XEb9mQRCXign;<=>>e:lVS@Yffm:;<=Qfmq]mQRCXign;<=>>e:lVS@YneyUeYZK>4:lUID>hQEHUjbi>?013f?kPJIVkeh=>?0^kntZhQEHUjbi>?013e?kPJIVif|Rk~012361=i^DKTo`~Pep2345YneyUeZ@OPclr\at6789;h7cXBA^llp56798o0b[CN_omw4566W`g{ScXBA^llp56798>0b[^N8:lUTDYA[K20b[^N_HMAg>hQXHUjbi>?013f?kPWIVkeh=>?0^kntZhQXHUjbi>?013f?kPWIVcf|R`YP@30?kQB?2d\IRH\B69skiYddb30|bbPcmifj==wgeUxoa|7;qmo[vub|11{czPcmib?uiu|Viggh`6;qmqpZbf|h20|b|{_nrb2>vhz}Uzn6~`ru]qavsk|01{czPsbnq=>vhz}Uxhz>;s18vdk?3{~gSyweg9pkptdmVlb`h`nmdf8wjsuklUgeckab39vt<=qienSnga9:tbhpcXgyh37um6512{h`=k0?8:ubc56.1xFGx8=886NOx2;`>C<528qXmk4<9c811a<6;=h;n:4=10:6k5><3;0b>7::79'7<5=;1n0q^oj:2;a>73c289?n=l8:332f5=c;1i1<7?51zQbb?5>j38>h7?<4c2a3?4691?0zK=?3;295?7=8rYjj7=6b;06`?741978 60728oj7)<:e;65=>d40j0;69l512857~J41909wE?j9:&11`<3>11v@>7>:0y'035=>2w/?8<539a8^d2=;ri1=h4=5;Y711=:rn1=i4r+50a>5<>o4m00;66a;2283>>i4n?0;66a>obk3:17d??b;29?l5aj3:17b=kd;29?j5b=3:17d:?7;29?j25<3:17d:;e;29?j24?3:17b:>c;29?lg>2900el850;9l7a3=831d?i750;9j05g=831b?ho50;9l07`=831d?km50;9l05d=831d89=50;9l7c`=831d8==50;9j005=831d?h650;9l62c=83.9:=4=7e9m60`=821d>:m50;&125<5?m1e>8h51:9l6=1=83.9:=4=7e9m60`=:21d>5850;&125<5?m1e>8h53:9l6=3=83.9:=4=7e9m60`=<21d>5:50;&125<5?m1e>8h55:9l6=5=83.9:=4=7e9m60`=>21d>5<50;&125<5?m1e>8h57:9l6=7=83.9:=4=7e9m60`=021d>5>50;&125<5?m1e>8h59:9l62`=83.9:=4=7e9m60`=i21d>:l50;&125<5?m1e>8h5b:9j<3<72-8=<76:;o06b?6<3`2?6=4+2729<0=i:!41832>7c<:f;08?l>5290/>;>5849m60`=;21b4=4?:%054?>23g8>j7:4;h5e>5<#:?:1485a24d91>=n?l0;6)<90;:6?k42n3<07d9k:18'636=0<1e>8h57:9j3f<72-8=<76:;o06b?><3`=i6=4+2729<0=i:!41832>7c<:f;c8?l1>290/>;>5849m60`=j21b;54?:%054?>23g8>j7m4;h54>5<#:?:1485a24d9`>=n0l0;6)<90;:6?k42n3o07d6k:18'636=0<1e>8h5f:9j5$343>=35<#:?:1485a24d957=h5=o0:?65f8983>!41832>7c<:f;37?>o??3:1(?8?:978j73a28?07d6>:18'636=0<1e>8h51798m62c290/>;>535a8j73a2910e>:m:18'636=;=i0b?;i:098m62f290/>;>535a8j73a2;10n>6i:182>5<7s-9>>7?5<6290;w)=:2;16b>N40l1d>8m50;9~f6ec290:m7<7:2axH6?728qC=h74}M1:5?4|f=j4$540>3=z,:?96o>4Z`695~7>2tP88:4>{0:9ylge2900c5750;9l6c0=831d=9750;9j15<72-8=<7:i;o06b?6<3`>n6=4+27290c=i:!4183>m7c<:f;08?l2e290/>;>54g9m60`=;21b844?:%054?2a3g8>j7:4;h6;>5<#:?:18k5a24d91>=n<>0;6)<90;6e?k42n3<07d:9:18'636=8h57:9j00<72-8=<7:i;o06b?><3`>?6=4+27290c=i:!4183>m7c<:f;c8?l25290/>;>54g9m60`=j21b8<4?:%054?2a3g8>j7m4;h63>5<#:?:18k5a24d9`>=n=10;6)<90;6e?k42n3o07d;8:18'636=8h5f:9a7<4=83;1<7>t$271>63a3A93i6a=5b83>>{e<;:1<7;52;4xH6?728qC=h74}M1:5?7|,=<86<5r$271>4`43`h36=44o344>5<1<75fb483>>o?n3:17o950;794?6|,:?96<>k;I1;a>J4190:w)?;e;654>{nih0;66gnc;29?lg22900eo650;9l631=831vn4>50;094?6|,:?96<;9;I1;a>o6<90;66a=3883>>{tj=0;6?uQb59>3?g23ty3j7>52z\;b>;>83;?<6s|22494?4|5>0jo6360;00=>{tj<0;6?uQb49>3?gf3ty9?:4?:3y>3?41?2T9::5rs31;>5<5sWh37095b99~yg73l3:1=<4<:01x 63528>o7b?;9;29?l302900e8650;9j05<722c?=7>5;h61>5<>o3=3:17d:9:188m11=831b854?::k7=?6=3`>i6=44i5f94?=n50z&017<0;2B84h5f15394?=n9=81<75f15194?=n9=>1<75f15794?=n9=<1<75f15594?=n9=21<75`22;94?=zj8>i6=46:183!52:3=87E=7e:k204<722c:8?4?::k206<722c:894?::k200<722c:8;4?::k202<722c:854?::m17<<722wi=9m50;194?6|,:?96;<4H2:f?l7393:17d?;2;29?j4413:17p}>4883>7}Y9=301<:l:31:?xu2?3:1>vP:7:?20d<6<81v8650;0xZ0><58>j6<:=;|q74?6=:rT?<63>4`8206=z{=;1<7?7p};2;296~X3:27:8l4>449~w15=838pR9=4=06b>4213ty?87>52z\70>;63:1>vP;6:?20g<6<81v9950;0xZ11<58>i6<:=;|q74c8206=z{=31<7?7p};b;296~X3j27:8o4>449~w1b=838pR9j4=06a>4213ty?i7>52z\7a>;6h6<:>;|q20g<72;q6=9l522;8942d28>97psm18:94?b=;3lp(>;=:0;;?j70m3:17d?72;29?l7?<3:17d?76;29?l7?03:17d?7a;29?l7?k3:17d?7e;29?l7>83:17d?62;29?l7><3:17d?66;29?l51?3:17o?8f;29=?6=8r.89?4=449K7=c:6=44i061>5<86=44i067>5<>6=44i065>5<<6=44i06;>5<:188m4252900e<:<:188m4232900c?=6:188yg7?93:1?7>50z&017<5;j1C?5k4i062>5<96=44o31:>5<52z\23`=:91;1>>74}r3;6?6=:rT:4?5216d951452z\2<1=:9>l1=9?4}r3;2?6=:rT:4;5216d951552z\2<==:9>l1=9;4}r3;e?6=:rT:4l5216d951252z\2l1=984}r3;a?6=:rT:4h5216d951>52z\2=5=:9>l1=994}r3:6?6=:rT:5?52192951752z\2=1=:91:1=9=4}r3:2?6=:rT:5;52192951452z\022=:91:1=9:4}r34b?6=:r7:;k4=389>5=7=9=;0q~?70;296~;60909?4521939514c}#;<81?5l4o2:4>5<5<5<5<5<5<5<66:186>5<7s-9>>7<;1:J0<`=n9=;1<75f15094?=n9=91<75f15694?=h::31<75rb2:b>5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~w6>02909wS=77:?08j:181[51m278454>439~w6172909wS=80:?0<=<6<81v>9=:181[50:278454>429~w6132909wS=84:?0<=<6<<1v>99:181[50>278454>459~w61?2909wS=88:?0<=<69n:181[50i278454>499~w61d2909wS=8c:?0<=<6<>1v>9j:181[50m278444>409~w6>72909wS=70:?0<<<6<:1v>6=:181[5?:278444>439~w6>32909wS=74:?0<<<6<=1v>67:18185?0388563<8`8204=z{:226=4={<1;=?4412784l4>439~yg44j3:197>50z&017<1k2B84h5f15394?=n9=81<75f15194?=n9=>1<75`22;94?=zj;2h6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi?;j50;794?6|,:?96;o4H2:f?l7393:17d?;2;29?l73;3:17d?;4;29?j4413:17pl<8783>6<729q/?8<5639K7=c:6=44i061>5<:188m4252900c?=6:188yg7f=3:1?7>50z&017<1;2B84h5f15394?=n9=81<75`22;94?=zj=896=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi>5l50;194?6|,:?96;:4H2:f?l7393:17d?;2;29?j4413:17pl<6`83>6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831b=9=50;9j512=831d>>750;9~f60a290>6=4?{%166?0d3A93i6g>4083>>o6<;0;66g>4283>>o6<=0;66a=3883>>{e;>;1<7;50;2x 6352?h0D>6j;h375?6=3`;?>7>5;h377?6=3`;?87>5;n00=?6=3th8;>4?:483>5}#;<81:n5G39g8m4262900e<:=:188m4242900e<:;:188k75>2900qo=85;291?6=8r.89?49b:J0<`=n9=;1<75f15094?=n9=91<75f15694?=h::31<75rb254>5<2290;w)=:2;4a?M5?m2c:8<4?::k207<722c:8>4?::k201<722e9?44?::a72?=83?1<7>t$271>3g<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17b<<9;29?xd4?k0;684?:1y'704=>k1C?5k4i062>5<96=44i060>5<?6=44o31:>5<55;294~"4=;0=m6F<8d9j517=831b=9<50;9j515=831b=9:50;9l66?=831vn>9i:186>5<7s-9>>78m;I1;a>o6<80;66g>4383>>o6<:0;66g>4583>>i5;00;66sm39394?3=83:p(>;=:7c8L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3f8857>5;|`0<6<72<0;6=u+34092d=O;1o0e<:>:188m4252900e<:<:188m4232900c?=6:188yg5?=3:197>50z&017<112B84h5f15394?=n9=81<75f15194?=n9=>1<75`22;94?=zj;lj6=4::183!52:38483>0<729q/?8<56c9K7=c:6=44i061>5<86=44i067>5<N40l1b=9?50;9j514=831b=9=50;9j512=831d>>750;9~f4>>290>6=4?{%166?0d3A93i6g>4083>>o6<;0;66g>4283>>o6<=0;66a=3883>>{e91h1<7;50;2x 6352?h0D>6j;h375?6=3`;?>7>5;h377?6=3`;?87>5;n00=?6=3th:4i4?:483>5}#;<81:o5G39g8m4262900e<:=:188m4242900e<:;:188k75>2900qo?7f;291?6=8r.89?49a:J0<`=n9=;1<75f15094?=n9=91<75f15694?=h::31<75rb0;2>5<2290;w)=:2;4a?M5?m2c:8<4?::k207<722c:8>4?::k201<722e9?44?::a5<5=83?1<7>t$271>3g<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17b<<9;29?xd61<0;684?:1y'704=>h1C?5k4i062>5<96=44i060>5<?6=44o31:>5<55;294~"4=;0=56F<8d9j517=831b=9<50;9j515=831b=9:50;9l66?=831vn?h7:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66s|ac83>7}Yik168?>5b59~w4g32909wS?n4:?2e0<5;01v4o50;0xZ;|q76g<72;qU8?l4=503>=`52z\23`=:9021=:k4}r666?6=;rT?9?5237f9517<58k>6<:>;|q1<<<72=qU>574=3:`>75>349=h7?;2:?2e0<6<;1v?h9:181[4a>279jo4=389~w42>2909wS?;9:?20a<6<01v<6=:18187>03;3>63>82817<=z{82?6=4={<3:12909w0?68;3;2>;60>09?45rs0:;>5<5s4;247?78:?2<<<5;01v<6n:18187>03;3m63>8c817<=z{82h6=4={<3:;61>09?45rs0:f>5<5s4;247?7e:?203;2<63>90817<=z{8396=4={<3::27:5>4=389~w4?32909w0?68;3:0>;61<09?45rs3d4>5<5s48mn7?;1:?1b=<5;01v?=n:180844j388563=fc8207=:<;81=9<4}r0e=?6=:r79jl4=389>6c>=9=80q~=99;296~;4>m0:8>5237c966?52z?02a<6<=16?;m522;8yv4?i3:1>v3=8b8204=::1h1>>74}r1;3?6=:r784o4<869>7=0=9=80q~:=0;297~;3:909::5229a9514<5;l36<:>;|q02=<72;q6?;j522;896>128>:7p};2083>7}:<;:1n852430966?52z?0l16?;h522;8yv5083:1>v3<8c8035=:;>;1>>74}r146?6=:r784o4<739>725=::30q~=84;296~;40k08;952367966?52z?0v3<8c803==:;>31>>74}r1;6?6=:r784o4<839>7=5=::30q~=97;296~;61108::52394966?52z?0v3<8c803d=:;>h1>>74}r14g?6=:r784o4<7b9>72b=::30q~=8e;296~;40k08;h5236d966?52z?0429>6=d=9=801?hn:067?87?;3;?>63>848207=:91=1=9?4=0::>42334;3n7?;4:?2?70?63;370>;61<0:89521859512<,:>269h4n26;>5=z{=o1<7??{_6f?873l3>n70<;50k0:8<522gc9515<58286<:;;<3;1?73<27:4:4>459>5=?=9=901<6m:061?87?l3;?>63>8g8204=:90;1=9=4=0;0>42434;297?;3:?2=2<6<:1/?9754g9m71>=92wx8i4?:02xZ1b<58>o69j4=31a>425349=m7?;2:?1bd<6<;16=5=5153894>228>870?77;377>;6000:8?5219`9517<582o6<:<;<3;b?73;27:5<4>439>5<5=9=;01<7::061?87>?3;?>6*<4887b>h4<1097p};b;2955}Y66d=9=;01>8n:062?84ai3;?=63>828206=:91?1=9?4=0:4>42534;357?;1:?2a28>970?61;375>;61:0:8?521879517<583<6<:>;%17=?2a3g9?47=4}r6:>5<4sW>270?;d;6:?851k3;?86*<4887b>h4<10?7p};8;297~X3027:8i4;8:?02f<6<:1/?9754g9m71>==2wx8:4?:2y]02=:9=n18:5237a9514<,:>269h4n26;>3=z{=<1<7=t^548942c2=<01>8l:062?!5313>m7c=;8;58yv22290nwS::;<37`?22349=j7?;3:?034<6<;16?:=51518961228>970=87;376>;4?00:8<5236`9512<5:=o6<:;;<14b?73<2784<4>459>7=5=9=>01>6::067?!5313>m7c=;8;:8yv23290nwS:;;<37`?23349=j7?;2:?034<6<816?:=51568961228>?70=87;370>;4?00:895236`9514<5:=o6<:>;<14b?73;2784<4>429>7=5=9=901>6::060?!5313>m7c=;8;;8yv24290nwS:<;<37`?24349=j7?;4:?034<6<=16?:=51508961228>:70=87;377>;4?00:8>5236`9515<5:=o6<:<;<14b?73:2784<4>409>7=5=9=801>6::061?!5313>m7c=;8;c8yv25290nwS:=;<37`?25349=j7?;1:?034<6<:16?:=51538961228>870=87;375>;4?00:8?5236`9517<5:=o6<:=;<14b?7392784<4>439>7=5=9=;01>6::062?!5313>m7c=;8;`8yv262909wS:>;<37`?263-9?57:i;o17;6=4={_63?873l3>;7)=;9;6e?k5303n0q~;7:181[3?34;?h7;7;%17=?2a3g9?47k4}r74>5<5sW?<70?;d;74?!5313>m7c=;8;d8yv44?3:1>v3<93811f=:<;:1n55r}c655?6=;:08:7;m{M1:4?7|@8o27pB<9081k21<3>=7):93;48y!52:38346Tn4;0xg?7d2tP88:4={e82f?{nik0;66a;3d83>>o4lk0;66a;3083>>i3:<0;66a>o>i3:17d=<1;29?j4f>3:17b:>c;29?l2713:17d==4;29?l22:3:17d:<5;29?l4?13:17b:=f;29?l4a>3:17b:>8;29?j40m3:1(?8?:35g?k42n3:07b<8c;29 7072;=o7c<:f;38?j4??3:1(?8?:35g?k42n3807b<76;29 7072;=o7c<:f;18?j4?=3:1(?8?:35g?k42n3>07b<74;29 7072;=o7c<:f;78?j4?;3:1(?8?:35g?k42n3<07b<72;29 7072;=o7c<:f;58?j4?93:1(?8?:35g?k42n3207b<70;29 7072;=o7c<:f;;8?j40n3:1(?8?:35g?k42n3k07b<8b;29 7072;=o7c<:f;`8?l2c290/>;>54b9m60`=821b8o4?:%054?2d3g8>j7?4;h6:>5<#:?:18n5a24d96>=n<10;6)<90;6`?k42n3907d:8:18'636=8h54:9j03<72-8=<7:l;o06b?3<3`>>6=4+27290f=i:!4183>h7c<:f;58?l24290/>;>54b9m60`=021b8?4?:%054?2d3g8>j774;h62>5<#:?:18n5a24d9e>=n<90;6)<90;6`?k42n3h07d;7:18'636=8h5c:9j12<72-8=<7:l;o06b?b<3`?=6=4+27290f=i:!4183>h7c<:f;d8?l33290/>;>54b9m60`=9910e8=50;&125<3k2d99k4>1:9j17<72-8=<7:l;o06b?7532c>=7>5$343>1e5<#:?:18n5a24d951=0;3955}K;0:1=vF>e89~H6?628q/8;=55:'704=9:k0eo:50;9l61d=831d>9j50;9l61`=831d>8?50;9l605=831d>8;50;9l601=831d>8750;9l60g=831b=h<50;9j5`5=831b=h:50;9j5`3=831b=h850;9j5`1=831i=>750;33>a<6{I3f=>{#;<81>km4i0g1>5<5<6=44i0g5>5<7?:0yK5`?>7??e:ka5;h`7>5<0;6>4?:1y'704=99i0D>6j;M1:4?7|,8>n698?;|kb1?6=3`h36=44o344>5<5;n053?6=3`h?6=44b683>6<729q/?8<511a8L6>b3E92<7?t$06f>1073tcj97>5;h`;>5<5<5sWh?7095a49~w7512909w0952758Z7003ty9?:4?:3y]f==:?3h37psm13`94?5=939p@>7?:0yK5`?>7??e:ka5;h`7>5<0;6>4?:1y'704=99i0D>6j;M1:4?7|,8>n698?;|kb1?6=3`h36=44o344>5<0;29?g7603:187>50z&017<1>2B84h5f15394?=n9=81<75f15194?=h::31<75rb031>5<4290;w)=:2;40?M5?m2c:8<4?::k207<722e9?44?::a542=8391<7>t$271>35<@:2n7d?;1;29?l73:3:17b<<9;29?xd69?0;6;4?:1y'704=>o1C?5k4i062>5<96=44i060>5<?6=44i066>5<:188m4252900e<:<:188m4232900c?=6:188yv75j3:18vP>2c9>54>=9=9013;?>6s|10c94?2|V8;j70?>8;376>;69=0:8<52104951754z\24c=:9821=9?4=031>42534;:87?;2:p5`4=838pR50;0xZ47734;:;7<<9:p547=838p17;377>{t98?1<775>34;:;7?;4:~f44f2902654>0z&017<6:h1b==h50;9j5`4=831b=h850;9j54g=831b=h:50;9j5`5=831b=h;50;9j57d=831d=1<7>t$271>30<@:2n7d?;1;29?l73:3:17d?;3;29?j4413:17pl>1e83>1<729q/?8<5669K7=c:6=44i061>5<86=44o31:>5<56;294~"4=;0<<6F<8d9j517=831b=9<50;9j515=831b=9:50;9j513=831d>>750;9~f44629086=4?{%166?043A93i6g>4083>>o6<;0;66a=3883>>{e9;91<7=50;2x 6352?90D>6j;h375?6=3`;?>7>5;n00=?6=3th:>84?:283>5}#;<81:>5G39g8m4262900e<:=:188k75>2900qo?=7;290?6=8r.89?497:J0<`=n9=;1<75f15094?=n9=91<75`22;94?=zj8836=47:183!52:38?86F<8d9j517=831b=9<50;9j515=831b=9:50;9j513=831b=9850;9j511=831d>>750;9~w46a2908wS??f:?26<<6<:16=?;51508yv7b:3:18vP>e39>57?=9=;016s|1d494?2|V8o=70?=9;376>;69m0:8>52135951557z\25d=:98n1=9<4=03e>42534;9=7?;1:?266<6<;16=?;51538944028>:7p}>e583>6}Y9l>01f;377>{t9l?1<7;6::0:8<5rs03a>5<5sW;:n63>29817<=z{8;h6=4={<31=?44127:>54>409~w47c2909w0?>d;00=>;6:10:8?5rs03f>5<5s4;:j7<<9:?26=<6<:1v<298201=z{8896=4={<317?44127:>54>449~w4432909w0?=5;00=>;6:10:8;5rs005>5<5s4;9;7<<9:?26=<6<>1vqo?<7;29369j5`4=831b=h850;9j54g=831b==h50;9j5`2=831b=h950;9j57d=831d=?m50;9a560=83?1<7>t$271>3?<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17b<<9;29?xd6:l0;684?:1y'704=>h1C?5k4i062>5<96=44i060>5<?6=44o31:>5<53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn<==:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm12694?3=83:p(>;=:7c8L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3f8857>5;|`270<72?0;6=u+3409614<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17d?;5;29?j4413:17p}>e383>1}Y9l801<=9:062?875m3;?>63>358207=z{8o=6=4<{_3f2>;6;?0:8?52126951253z\25d=:9:<1=9=4=013>4253ty:h4>459~w44e290>wS?=b:?26`<6<816=>>51538945528>:70?<4;375>{t9;i1<7{t9;l1<775>34;897?;3:p567=838p1<==:31:?874=3;?86s|12194?4|589?6?=6;<301?73=2wvn?:l:187>5<7s-9>>788;I1;a>o6<80;66g>4383>>o6<:0;66a=3883>>{e:=o1<7:50;2x 6352?<0D>6j;h375?6=3`;?>7>5;h377?6=3f8857>5;|`115<72=0;6=u+34092==O;1o0e<:>:188m4252900e<:<:188k75>2900qo<:2;290?6=8r.89?495:J0<`=n9=;1<75f15094?=n9=91<75`22;94?=zj;??6=4;:183!52:3<<7E=7e:k204<722c:8?4?::k206<722e9?44?::a60>=83>1<7>t$271>30<@:2n7d?;1;29?l73:3:17d?;3;29?j4413:17pl=5c83>6<729q/?8<5629K7=c:6=44i061>5<54?=99l01<424348>>7?;3:?111<6<816>8651508973e28>:7p}>1`83>g}:98k1>;94=03:>47f34;9m7?>a:?272<69h16>9m51518972b28>970<:0;376>;5=;0:8?522469514<5;?36<:>;<06f?73:2wx=?l50;cx944e2;<<70?>9;31f>;6:h0:>o52125957d<5;>h6<:=;<07a?73;2799=4>409>604=9=;01?;;:060?84203;??6s|1d094?2|V8o970?>9;3f6>;6:h0:i?5212595`452z\2a6=:9;k1=h=4}r3f0?6=27:?:4>e79~w4c02908wS?j7:?25<<6m>16=>951d58yvd1290?wSl9;<33b?d334;:m7l;;<31f?d33ty98o4?:3y]61d<5;>h6?=6;|q10a<72;qU>9j4=36f>75>3ty98k4?:3y]61`<5;?;6?=6;|q114<72;qU>8?4=371>75>3ty99>4?:3y]605<5;??6?=6;|q112<72;qU>894=37;>75>3ty99l4?:3y]60g<5;?i6?=6;|q255<72;q6==h5b99>54?=98:0q~?>b;296~;69h0i463>2`825g=z{88h6=4={<31f?d?34;8;7?=c:~wg2=838pRo:4=01:>g052z\10g=:9:31>9l4}r07`?6=:rT98i5212;961b52z\10c=:9:31>9h4}r065?6=:rT99<5212;9607?7>52z\116=:9:31>8=4}r061?6=:rT9985212;9603;7>52z\112=:9:31>894}r06=?6=:rT9945212;960?m7>52z\11d=:9:31>8o4}r3f6?6=:rT:i?5212;95`452z\2a6=:9:31=h=4}r3f0?6=:rT:i95212;95`252z\2a0=:9:31=h;4}r3f2?6=:rT:i;5212;95`052z\2a2=:9:31=h94}|`:g?6=;3;1?vB<9182M7b12wG?4?51z&726<23t.89?4>fd9jf=<722e9::4?::ka0?6=3k=1<7=50;2x 63528:h7E=7e:kb1?6=3`h36=44o344>5<4`b3`h36=44o344>5<1<75m7;297?6=8r.89?4>0b9K7=c>i5>>0;66s|b583>7}Yj=16;7o:;|q173<72;q6;7<97:\122=z{;9<6=4={_`;?81=j11vqo=<4;297?7=;rF85=4>{I3f=>{K;0;1=v*;6286?x"4=;0:jh5fb983>>i5>>0;66gm4;29?g1=8391<7>t$271>46d3A93i6gn5;29?ld?2900c?88:188yvd32909wSl;;<59e0=z{;9=6=4={<596317}Yj116;7l7;|a775=8391=7=tL2;3>4}O9l30qA=61;3x 1042<1v(>;=:0df?ld?2900c?88:188mg2=831i;7>53;294~"4=;0:7p}=3783>7}:?38=;6P=669~w7502909wSl7;<59f==zuk98<7>53;397~J4190:wE?j9:O7<7=9r.?:>4:;|&017<6nl1bn54?::m122<722ci87>5;c594?5=83:p(>;=:02`?M5?m2cj97>5;h`;>5<5<5sWh?7095a49~w7512909w0952758Z7003ty9?:4?:3y]f==:?3h37psm31d94?3=:3?p@>7?:0yK5`?0=#g><,=?h6?88;%66>87?4$57:>g36<5+44g971c76>3`h?6=44ic794?=nj10;66a=6683>>o47<2sE92<7?tH0g:?x"4=;0:j?5fb983>>i5>>0;66gm4;29?ld22900elm50;9a3?6==3:15;h`;>5<>850;0x96>a289n7095ab9~w7502909w0952758Z7003ty9?54?:3y]f==:?3h37psm3b794?5=83:p(>;=:31g?M5?m2c:8<4?::k207<722e9?44?::pf1<72;qUn9527;`7?xue=3:1>vPm5:?0g0<6<;1v?88:181[41?27<6?88;|q00`<72:qU?9k4=68a<>;4k<0:8<5rs2a7>5<5s4=1n8523b7966?6}K;0:1=vF>e89~H6?628q/8;=55:'704=:9k0eo650;9l631=831bn94?::`4>5<4290;w)=:2;33g>N40l1G?4>51z&20`<3>91vel;50;9jf=<722e9::4?::pf1<72;qUn9527;c6?xu5;?0;6?u27;053>X5>>1v?=8:181[d?34=1n55r}c0:f?6=;3;1?vB<9182M7b12wG?4?51z&726<23t.89?4=0c9jf=<722e9::4?::ka0?6=3k=1<7=50;2x 63528:h7E=7e:N0=5<6s-;?i7:90:je0<722ci47>5;n053?6=3tyi87>52z\a0>;02h?0q~<<6;296~;02;<<7S<97:p661=838pRo64=68a<>{zj;k36=4<:080I5>83;pD=?7;4}%166?47k2ci47>5;n053?6=3`h?6=44b683>6<729q/?8<511a8L6>b3E92<7?t$06f>1073tcj97>5;h`;>5<5<5sWh?7095a49~w7512909w0952758Z7003ty9?:4?:3y]f==:?3h37psm33094?5=939p@>7?:0yK5`?0=z,:?96?>k;h`;>5<50z&017<68j1C?5k4L2;3>4}#9=o18;>4}hc6>5<1<7>850;0x92<5>>1U>;94}r003?6=:rTi4638:c:8yxd4n?0;6>4=:5yO7<6=9rB:i45rL2;2>4}#5<7s-9>>7??c:J0<`=ni<0;66gm8;29?j41?3:17plm7;296?6=8r.89?4>579K7=c;6=44o31:>5<;7p}=3783>7}:?3k>70l8:31:?xu5;>0;6?u27;053>X5>>1v?=7:181[d?34=1n55r}c0:>o50m0;66g<0183>>o4:90;66g=9883>>d51>0;6>4?:1y'704=>;1C?5k4i062>5<96=44o31:>5<53;294~"4=;09?i5G39g8m4262900e<:=:188k75>2900qo<61;290?6=8r.89?496:J0<`=n9=;1<75f15094?=n9=91<75`22;94?=zj;386=4;:183!52:3<<7E=7e:k204<722c:8?4?::k206<722e9?44?::a6<3=8391<7>t$271>35<@:2n7d?;1;29?l73:3:17b<<9;29?xd51?0;694?:1y'704=::o0D>6j;h375?6=3`;?>7>5;h377?6=3f8857>5;|q1<`<72;qU>5k4=3;5>75>3ty9jk4?:3y]6c`<5;3:6<:<;|q057<72;qU?<<4=3;2>4263ty2m7>54z\:e>;51>0:8<522829517<5;386<:>;|q15j4=3;4>425348297?;2:p756=838pR>>?;<0:7?73;2wx??>50;0xZ6473482?7?;2:p65h50;0x97?02;9270<60;376>{t::<1<775>3482=7?;2:p661=838p1?7>:31:?84>>3;?=6s|28094?4|5;386?=6;<0:2?73:2wx>4:50;0x97?22;9270<66;377>{zj;k>6=47:28b!52:38j96a=a183>>o5no0;66g<1283>>o49m0;66g=9b83>>o4890;66g<1783>>o51l0;66l=a583>0<729q/?8<56b9K7=c:6=44i061>5<86=44i067>5<N40l1b=9?50;9j514=831b=9=50;9l66?=831vn?o<:180>5<7s-9>>7<5<5sW8j<63=a2817<=z{;lm6=4={_0eb>;5i=0:895rs230>5<5sW9:?63=a58204=z{:;o6=4={_12`>;5i=0:8?5rs3;`>5<5sW82o63=a58206=z{::;6=4={_134>;5i;0:8>5rs235>5<5sW9::63=a38207=z{;3n6=4={_0:a>;5i;0:8<5rs3c2>5<5s48j87<<9:?1e6<6<81v?o=:18184f:388563=a28207=zuk8i=7>5a;79a~"4=;09n<5`2`;94?=n:ol1<75f30194?=n;8n1<75f28a94?=n;9;1<75f2gf94?=n;981<75f31294?=n;8<1<75m2c294?2=83:p(>;=:758L6>b3`;?=7>5;h376?6=3`;??7>5;n00=?6=3th9mo4?:283>5}#;<81>>m4H2:f?l7393:17d?;2;29?j4413:17pl=ab83>6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f7ga290=6=4?{%166?43:2B84h5f15394?=n9=81<75f15194?=n9=>1<75f15794?=h::31<75rs3c:>5<5sW8j563=ag817<=z{;lm6=4={_0eb>;5ij0:8<5rs230>5<4sW9:?63=b18204=::hh1=9?4}r12`?6=:rT8=i522c2951452z\1=f=::k:1=9=4}r135?6=:rT8<<522`d951452z\1ba=::hl1=9=4}r136?6=:rT852z\045=::ho1=9?4}r122?6=:rT8=;522`g951452z?1f5<5;016>ll51508yv44>3:1>v3=ac817<=::hi1=9<4}r003?6=:r79mn4=389>6d`=9=;0q~3}#;<81>o94o35a>5<6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f7d229086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=z{;=i6=4={_04f>;5j<09?45rs3c;>5<4sW8j463=b78204=::k>1=9?4}r6b>5<5sW>j70{t<90;6?uQ419>6g2=9=80q~52z?1f1<5;016>o;51508yxd5jm0;694<:7y'704=:kn0c?9i:188m7g?2900e8?50;9j04<722h9nn4?:283>5}#;<81:?5G39g8m4262900e<:=:188k75>2900qo5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~w71a2909wS<8f:?1fg<5;01v?o7:180[4f0279nn4>409>6gg=9=;0q~;>:181[36348io7?;2:p04<72;qU8<522cc951452z?1ff<5;016>ol51538yv4e13:1>v3=b`817<=::kh1=9<4}|`1g6<72=086;u+34096f55<>d5k;0;6>4?:1y'704=>;1C?5k4i062>5<96=44o31:>5<53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn?m>:180>5<7s-9>>7<5<5sW83<63=c0817<=z{;k36=4<{_0b<>;5k;0:8<522b295176s|4383>7}Y<;16>n>51508yv4em3:1>v3=c3817<=::j;1=9?4}r0ab?6=:r79o=4=389>6f7=9=80qpl=c883>1<42?q/?8<52b;8k7>62900e?o7:188m05=831b8>4?::`1g=<72:0;6=u+340927=O;1o0e<:>:188m4252900c?=6:188yg4d>3:1?7>50z&017<1;2B84h5f15394?=n9=81<75`22;94?=zj;i<6=4<:183!52:388o6F<8d9j517=831b=9<50;9l66?=831v?6>:181[4?9279o:4=389~w7g?2908wSn851538yv342909wS;<;<0`4?:3y]06=::j<1=9<4}r0`0?6=:r79o54=389>6f1=9=;0q~3}#;<81>nh4o3:1>5<6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f7ec29086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=z{;296=4={_0;6>;5km09?45rs3c;>5<4sW8j463=cd8204=::ji1=9?4}r77>5<5sW??70{t<=0;6?uQ459>6fe=9=80q~52z?1gf<5;016>nj51508yxd5l<0;694<:7y'704=:m?0c?6<:188m7g?2900e8;50;9j00<722h9h94?:283>5}#;<81:?5G39g8m4262900e<:=:188k75>2900qo5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~w7>42909wS<73:?1`6<5;01v?o7:180[4f0279h94>409>6a4=9=;0q~;::181[32348o87?;2:p00<72;qU88522e0951452z?1`1<5;016>i=51538yv4c93:1>v3=d3817<=::m91=9<4}|`1`g<72=086;u+34096ad5<>d5lh0;6>4?:1y'704=>;1C?5k4i062>5<96=44o31:>5<53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn?j6:180>5<7s-9>>7<5<5sW83863=d8817<=z{;k36=4<{_0b<>;5lh0:8<522e:95176s|4783>7}Yi651508yv4c>3:1>v3=d`817<=::m31=9?4}r0g3?6=:r79h54=389>6a?=9=80qpl=e083>1<42?q/?8<52d38k7>22900e?o7:188m01=831b8:4?::`1a5<72:0;6=u+340927=O;1o0e<:>:188m4252900c?=6:188yg4cm3:1?7>50z&017<1;2B84h5f15394?=n9=81<75`22;94?=zj;nm6=4<:183!52:388o6F<8d9j517=831b=9<50;9l66?=831v?6::181[4?=279hk4=389~w7g?2908wSik51538yv302909wS;8;<0f4?73:2wx8:4?:3y]02=::mo1=9<4}r0gg?6=:r79i=4=389>6a`=9=;0q~3}#;<81>h74o3:5>5<6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f7c029086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=z{;2=6=4={_0;2>;5m>09?45rs3g1>5<4sW8n>63=e98204=::l<1=9?4}r7;>5<5sW?370{t<10;6?uQ499>6`0=9=80q~52z?1a3<5;016>h951508yxd5mo0;694<:7y'704=:ll0c?68:188m7g?2900e9l50;9j0<<722h9ih4?:283>5}#;<81:?5G39g8m4262900e<:=:188k75>2900qo5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~w7>02909wS<77:?1aa<5;01v?o7:180[4f0279ih4>409>6`e=9=;0q~:m:181[2e348ni7?;2:p0<<72;qU84522da951452z?1a`<5;016>hj51538yv4bj3:1>v3=eb817<=::ln1=9<4}|`1e2<72:0;6=u+340966b<@:2n7d?;1;29?l73:3:17b<<9;29?xd3;=0;6?4?:1y'704=9<<0D>6j;h374?6=3f8857>5;|`704<72;0;6=u+3409500<@:2n7d?;0;29?j4413:17pl;1c83>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3th?=k4?:383>5}#;<81=884H2:f?l7383:17b<<9;29?xd3:10;6?4?:1y'704=9<<0D>6j;h374?6=3f8857>5;|`775<72;0;6=u+3409500<@:2n7d?;0;29?j4413:17pl<2083>6<729q/?8<5639K7=c:6=44i061>5<:188m4252900c?=6:188yg56m3:1:7>50z&017<1m2B84h5f15394?=n9=81<75f15194?=n9=>1<75f15794?=h::31<75rb23b>5<4290;w)=:2;41?M5?m2c:8<4?::k207<722e9?44?::a771=8391<7>t$271>35<@:2n7d?;1;29?l73:3:17b<<9;29?xd4;:0;6>4?:1y'704=>:1C?5k4i062>5<96=44o31:>5<53;294~"4=;09?n5G39g8m4262900e<:=:188k75>2900qo5<4290;w)=:2;47?M5?m2c:8<4?::k207<722e9?44?::a016=83?1<7>t$271>3e<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17b<<9;29?xd39h0;684?:1y'704=>j1C?5k4i062>5<96=44i060>5<?6=44o31:>5<:i7>53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn9<8:187>5<7s-9>>789;I1;a>o6<80;66g>4383>>o6<:0;66a=3883>>{e<;k1<7=50;2x 6352;9h7E=7e:k204<722c:8?4?::m17<<722wi?<;50;794?6|,:?96;l4H2:f?l7393:17d?;2;29?l73;3:17d?;4;29?j4413:17pl<1683>6<729q/?8<5629K7=c:6=44i061>5<N40l1b=9?50;9j514=831b=9=50;9l66?=831vn>?l:187>5<7s-9>>7<5<7s-9>>78:;I1;a>o6<80;66g>4383>>o6<:0;66a=3883>>{e:0l1<7=50;2x 6352?80D>6j;h375?6=3`;?>7>5;n00=?6=3th8j54?:383>5}#;<81=884H2:f?l7383:17b<<9;29?xufj3:1hvPnb:?1bfk4=562>75>3ty8ho4?:7y]7ad<5=986<:>;<674?73927?=l4>409>04c=9=;019<8:062?xu3;80;6?uQ423891532;927p};2483>7}Y<;?019<7:31:?xu4n?0;6?uQ3g4896`12;<<7p}6a;29e~X>i279jn4>e39>=f:70=>9;377>;51m0:8<5228d951752z\074=:;:>1n55rs3c5>5<5sW8j:63=a6817<=z{=;h6=4={_62g>;39o09?45rs52:>5<5sW>;563;1`8206=z{:8?6=4={_110>;4:<0i46s|44094?3|V=?970:<3;376>;3<90:8?5240c9514<5=;n6<:=;|q770<72;qU8>;4=563>4243ty9444?:8y]6=?<5;lh6459>6`5=9=8019<8:061?856=3;?>63<188204=::0n1=9<4}r61b?6=:rT?>k52422966?52z\1b3=:<::1=9>4}r6257z?1bf<6m?16?>>52758964628>970=>e;371>;49>0:8?5230;9514<5;3m6<:=;|q077<72;q6?>>5b99>765=::30q~<69;296~;51109545228c966?>i:180857n38=;63<268204=:;:91=9?4}r116?6=:r78>?4=669>07g=9=80q~:>d;296~;39o0:8=5240g966?8j7>52z?704<6<91689>522;8yv4b:3:1>v3=e881a7=::l91>>74}r12`?6=6g7=;8n01>?i:061?856m38856s|2gf94?5|5;lh6?:m;<0a5?4al278=<4>409~w6442908w0;4::09::52307951252z?1bf<5kh4=3c6>7`a348i=7:7p}=9b83>6}::h?1>4m4=3`2>7?d3482h7<<9:p756=83039;<63=a48045=::k;1?=>4=202>4263482m7?;2:p6n38856s|31394?4|5;lh6?;<;<0a5?5792wx?=<50;0x97`d2;?<70{t;j91<773f3499>7l7;|q75<<72;q687}:<;=1>>74=50b>4263ty8j:4?:3y>7c0=j116?k6522;8yv4f03:1ov3=a98122=::k=1>l64=3`g>7g?348h?7nh52`:897b22;k370;5m809m5522dd96d><5;o86<:>;<1el750;0x97g?2k201?l>:3c:?xu49k0;6?u230c9514<5:;h6?=6;|q057<72;q6>4653008967a2;927p}<3583>7}:;:>1>;94=210>4253ty8>=4?:3y>6<>=;;:01><>:31:?xu4990;6?u231d9f0=:;8;1>>74}r127?6=6g7=;8901>?i:062?856i38856s|29f94?>|5;lh674c=9=;019:?:067?826i3;?863;268206=::0n1=9=4}r112?6=:r78>>4m8:?062<5;01v9<6:18182503;?<63;2`817<=z{:8>6=4<{<111?41?278771=9=80q~=>4;296~;49<09?45230a951754z?1e0<49?16>o?5304896702;9270=>c;376>{t;821<775>349:o7?;3:p66s|2`294?4|5;3i6o64=3c6>7g73ty???4?:3y>062=9=:019=<:31:?xu50l0;6?u229f9f==::021>5k4}r0;3?6=:rT94:522dd96=1<,:>26?9k;o1752z\1<3=::l31>584$26:>71c3g9?47=4}r0;1?6=:rT948522d396=3<,:>26?9k;o1752z\1<1=::mh1>5:4$26:>71c3g9?47;4}r0;7?6=:rT94>522e796=5<,:>26?9k;o177>52z\1<7=::jl1>5<4$26:>71c3g9?4794}r0;5?6=:rT94<522b;96=7<,:>26?9k;o1752z\1<5=::j91>5>4$26:>71c3g9?4774}r04b?6=:rT9;k522cf962`<,:>26?9k;o1752z\13g=::k=1>:l4$26:>71c3g9?47l4}r6g>5<5sW>o7S<8c:&00<<3k2d8854?;|q7f?6=:rT?n63=eg87f>"4<00?o6`<4982?xu313:1?vP;9:\13`=::ll1845+35;90f=i;=21>6s|4983>7}Y<116>h75499'71?={t6ad===2wx884?:3y]00=::m?1885+35;90f=i;=21:6s|4583>7}Y<=16>nh5459'71?=522b;906=#;=318n5a35:9<>{t<;0;6?uQ439>6f5=<;1/?9754b9m71>=12wx8<4?:3y]04=::kn18<5+35;90f=i;=21m6s|4183>7}Y<916>o95419'71?={t=>0;6?uQ569>6`7==>1/?9754b9m71>=l2wx9;4?:3y]13=::mh19;5+35;90f=i;=21i6s|5483>7}Y=<16>i;5549'71?=2<90(>:6:5a8j62?28;0q~;=:181[35348h?7;=;%17=?2d3g9?47?=;|q65?6=:rT>=63=be865>"4<00?o6`<49827>{t6g1=

=9=1vqo=k4;2956<5039=wA=60;3xL4c>3tF85<4={o650?3>3->=?784}%166?7012cjn7>5;h357?6=3`;j87>5;h363?6=3`9on7>5;h;b>5<5<5<5<5<5<>i4m10;66l=6083>g<62kqG?4>51zJ2a<=zD:3:6{#;<81=>l4ic694?=h:=h1<75`25f94?=h:=l1<75`24394?=h:<91<75f1d094?=n9l91<75f1d694?=n9l?1<75f1d494?=e9:31<7l59;dxH6?728qC=h74}%166?4192c:i?4?::k2a6<722c:i94?::k2a0<722c:i;4?::ka2?6=3f8?n7>5;n07`?6=3f8?j7>5;n065?6=3f8>?7>5;c33b?6=;3;1?vB<9182M7b12w/?8<511g8mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9O7<6=9r.:8h4;619~md3=831bn54?::m122<722wxn94?:3y]f1=:?3k>7p}=3783>7}:?38=;6P=669~w7502909wSl7;<59f==zuk;:m7>53;397~J4190:wE?j9:'704=99o0eo650;9l631=831bn94?::`4>5<4290;w)=:2;33g>N40l1G?4>51z&20`<3>91vel;50;9jf=<722e9::4?::pf1<72;qUn9527;c6?xu5;?0;6?u27;053>X5>>1v?=8:181[d?34=1n55r}c32=?6=<3819v*<53825<=n99l1<75f1d494?=n98k1<75`10294?=e9891<7=50;2x 6352?80D>6j;h375?6=3`;?>7>5;n00=?6=3th:=?4?:283>5}#;<81>>m4H2:f?l7393:17d?;2;29?j4413:17p}>0g83>7}Y99l0197p}>1`83>7}Y98k011083>7}:9891>>74=031>4263twi=?o50;:92?b|,:?96<5;h32e?6=3`;n:7>5;h3f7?6=3`;n87>5;h3f1?6=3f;:n7>5;c312?6=;3:1N40l1b=9?50;9j514=831d>>750;9~f47c29086=4?{%166?043A93i6g>4083>>o6<;0;66a=3883>>{e98l1<7=50;2x 6352?90D>6j;h375?6=3`;?>7>5;n00=?6=3th:><4?:583>5}#;<81:;5G39g8m4262900e<:=:188m4242900c?=6:188yg75;3:197>50z&017<1j2B84h5f15394?=n9=81<75f15194?=n9=>1<75`22;94?=zj88>6=49:183!52:38?>6F<8d9j517=831b=9<50;9j515=831b=9:50;9j513=831d>>750;9~w4c52909wS?j2:?263<6<;1v<>i:186[77n27:>;4>409>54b=9=;01<<>:061?875;3;?>6s|10c94?2|V8;j70?>d;376>;69o0:8?52133951753z\2a3=:98l1=9?4=002>4243ty:i>4?:3y]5`5<58886<:<;|q2a1<72;qU=h:4=000>4233ty:i84?:3y]5`3<58886<:>;|q25g<72;qU=75>3ty:=n4?:3y>570=::301<<::062?xu69m0;6?u210f966?<588>6<:=;|q25`<72;q6=87p}>2183>7}:9;;1>>74=006>4233ty:>?4?:3y>575=::301<<::066?x{e:=i1<7=50;2x 6352?90D>6j;h375?6=3`;?>7>5;n00=?6=3th98h4?:283>5}#;<81:?5G39g8m4262900e<:=:188k75>2900qo<:0;297?6=8r.89?493:J0<`=n9=;1<75f15094?=h::31<75rb371>5<4290;w)=:2;47?M5?m2c:8<4?::k207<722e9?44?::a602=8391<7>t$271>75d3A93i6g>4083>>o6<;0;66a=3883>>{t99l1<76t=02e>70034;:57??f:?26d<68o16>9m51538972b28>970<:0;376>;5=;0:8?52246951458z?25d<5>>16=<7510c8944f28;j70<;c;376>;5;<060?7392wx=h<50;0xZ4c534;9m7?j2:p5`5=838pRg252z\10g=::=i1>>74}r07`?6=:rT98i5225g966?52z\10c=::<:1>>74}r065?6=:rT99<52240966??7>52z\116=::<>1>>74}r324?6=:r7:{z{k>1<72k<0q~<;b;296~X57525`8yv43l3:1>vP=4e9>56?=:=n0q~<;f;296~X57525d8yv4293:1>vP=509>56?=:<;0q~<:3;296~X5=:16=>752418yv7b:3:1>vP>e39>56?=9l80q~?j3;296~X6m:16=>751d18yv7b<3:1>vP>e59>56?=9l>0q~?j5;296~X6m<16=>751d78yv7b>3:1>vP>e79>56?=9l<0qpl>6383>6<62:qG?4>51zJ2a<=zD:3:6{#;<81>=h4ic:94?=h:?=1<75fb583>>d029086=4?{%166?77k2B84h5C38295~"6>{tj=0;6?uQb59>3?g23ty9?;4?:3y>3?41?2T9::5rs314>5<5sWh37095b99~yg7d:3:197<55zN0=5<6sA;n56sC38395~"3>:0?7p*<5382b1=nj10;66a=6683>>oe<3:17dl::188mde=831i;7>55;294~"4=;0:5<51;294~"4=;0:?k5G39g8k45b2900q~l;:181[d334=1m85rsc794?4|Vk?01:4na:p660=838p1>6i:01f?81=ij1v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e:;<1<7:52;6xH6?728qC=h74}M1:5?>|,=<8695+47097fc<,=?j6o64$57`>7003->>47l;;o660?7<,=?n6>:j;o662?7>7?i5:ka0?6=3`h36=44o344>5<n6=44b683>6<62:qG?4>51zJ2a<=z,:?965<50z&017<68j1C?5k4i`794?=nj10;66a=6683>>{tj=0;6?uQb59>3?g23ty9?;4?:3y>3?41?2T9::5rs314>5<5sWh37095b99~yg5fm3:1>7>50z&017<6=?1C?5k4i063>5<5<5sWh?7095b59~w7002909wS<97:?4>7003ty88h4?:3y]71c<5:kn6<:?;|q0ea<72;q6;7l7;<1ba?4412wvno?50;695?5|D:3;6932p(98<:59'034=;jo0(9;n:c:8 13d2;<<7)::8;`7?k22<3;0(9;j:26f?k22>3:0q)=:2;3e2>oe<3:17dl7:188k7002900e>:j:188f2<72:0:6>uC38295~N6m01v(>;=:0d1?ld?2900c?88:188mg2=831i;7>53;294~"4=;0:7p}=3783>7}:?38=;6P=669~w7502909wSl7;<59f==zuzh?6=4={_`7?81=j=1v?88:181[41?27<6?88;|q00`<72;qU?9k4=68a<>{zj?;1<7;52;7xH6?728qC=h74}M1:5?g|,=<8695+47097fc<,=?j6o64$57`>7003->>47l;;o660?7<,=?26o;4n576>4=#<4=z,:?965<>i5>>0;66g<5083>>d0290>6?4:{M1:4?7|@8o27p*<5382b7=nj10;66a=6683>>oe<3:17dl::188mde=831i;7>55;294~"4=;0:5<51;294~"4=;0:?k5G39g8k45b2900q~l;:181[d334=1m85rsc794?4|Vk?01:4na:p660=838p1>6i:01f?81=ij1v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e;j=1<7=50;2x 6352;9h7E=7e:k204<722c:8?4?::m17<<722wxn94?:3y]f1=:?3h?7p}m5;296~Xe=278o:4>439~w7002909wS<97:?4>7003ty89<4?:2y]707<5>0i463>74}|`2e5<72<0968uC38295~N6m01v@>7>:0y'035=<2w/?8<51g68mg>=831d>;950;9jf1<722ci97>5;hc`>5<0;684?:1y'704=99n0D>6j;hcb>5<>oe03:17b<97;29?xd40o0;6<4?:1y'704=9:l0D>6j;n30a?6=3tyi87>52z\a0>;02h?0q~l::181[d234=1ml5rs315>5<5s493j7?de52z?4>7003W8=;6s|22:94?4|Vk201:4m8:~f4bc290>6?4:{M1:4?7|@8o27pB<9082!21;3>0q)=:2;3e0>oe03:17b<97;29?ld32900eo;50;9jef<722h<6=4::183!52:3;;h6F<8d9jed<722cjo7>5;hc6>5<:183!52:3;8j6F<8d9l56c=831vo:50;0xZg2<5>0j96s|b483>7}Yj<16;7on;|q173<72;q6?5h512g892>950;0x92<5>>1U>;94}r004>:2yO7<6=9rB:i45rL2;2>4}#5<7s-9>>7??c:J0<`=ni<0;66gm8;29?j41?3:17p}m4;296~Xe<27<6l;4}r002?6=:r7<6?88;_053>{t::=1<77<2sE92<7?tH0g:?xJ4180:w):93;68y!52:3;m86gm8;29?j41?3:17dl;:188mg3=831bmn4?::`4>5<2290;w)=:2;33`>N40l1bml4?::kbg?6=3`k>6=44ic:94?=h:?=1<75rb2:e>5<6290;w)=:2;30b>N40l1d=>k50;9~wg2=838pRo:4=68b1>{tj<0;6?uQb49>3?gf3ty9?;4?:3y>7=`=9:o01:4nc:p661=838p1:4=669]63152z\a<>;02k20qpl:9;297?7=;rF85=4>{I3f=>{K;0;1=v*;6287?x"4=;0:jh5fb983>>i5>>0;66gm4;29?g1=8391<7>t$271>46d3A93i6gn5;29?ld?2900c?88:188yvd32909wSl;;<59e0=z{;9=6=4={<596317}Yj116;7l7;|a57;tL2;3>4}O9l30qA=61;3x 1042=1v(>;=:0d7?ld?2900c?88:188mg2=831bn84?::kbg?6=3k=1<7;50;2x 63528:o7E=7e:kbe?6=3`kh6=44i`794?=nj10;66a=6683>>{e;1l1<7?50;2x 635289m7E=7e:m27`<722wxn94?:3y]f1=:?3k>7p}m5;296~Xe=27<6lo4}r002?6=:r784k4>3d9>3?gd3ty9?:4?:3y>3?41?2T9::5rs31;>5<5sWh37095b99~yg7c>3:197<55zN0=5<6sA;n56sC38395~"3>:0?7p*<5382b1=nj10;66a=6683>>oe<3:17dl::188mde=831i;7>55;294~"4=;0:5<51;294~"4=;0:?k5G39g8k45b2900q~l;:181[d334=1m85rsc794?4|Vk?01:4na:p660=838p1>6i:01f?81=ij1v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e:=<1<7;52;7xH6?728qC=h74}M1:5?g|,=<8695+47097fc<,=?j6o64$57`>7003->>47l;;o660?7<,=?26o;4n576>4=#<5=z,:?965<>i5>>0;66g<4d83>>d0290>6?4:{M1:4?7|@8o27p*<5382b7=nj10;66a=6683>>oe<3:17dl::188mde=831i;7>55;294~"4=;0:5;n053?6=3th84k4?:083>5}#;<81=>h4H2:f?j74m3:17p}m4;296~Xe<27<6l;4}r`6>5<5sWh>7095a`9~w7512909w0=7f;30a>;02hi0q~<<7;296~;02;<<7S<97:p66>=838pRo64=68a<>{zj:i>6=4<:183!52:388h6F<8d9j517=831b=9<50;9l66?=831vo:50;0xZg2<5>0i86s|b483>7}Yj<16?n;51508yv41?3:1>vP=669>3?41?2wx?9k50;1xZ62b34=1n5523b7951752z?4>g3<5:i>6?=6;|a5f1=83?1?79tL2;3>4}O9l30qA=61;cx 1042=1/8;<53bg8 13f2k20(9;l:344?!2203h?7c::4;38 13>2k?0b9;::09'00c=;=o0b9;9:19~ 6352;:27dl;:188mg3=831bn54?::m122<722c88h4?::`4>5<22;0>wA=60;3xL4c>3t.89?4>f39jf=<722e9::4?::ka0?6=3`h>6=44i`a94?=e?3:197>50z&017<68m1C?5k4i`c94?=nij0;66gn5;29?ld?2900c?88:188yg5?n3:1=7>50z&017<6;o1C?5k4o01f>5<0jm6s|22494?4|5:2m6<=j;<59ef=z{;9<6=4={<596317}Yj116;7l7;|a7dc=8391<7>t$271>34<@:2n7d?;1;29?l73:3:17b<<9;29?xd4k<0;6>4?:1y'704=::n0D>6j;h375?6=3`;?>7>5;n00=?6=3tyi87>52z\a0>;02k>0q~l::181[d2349h97?;2:pf=<72;qUn5523`g951452z\122=:?38=;6s|35g94?5|V:>n70=ne;375>;4k<0:8<5rs2cg>5<5s4=1n5523`g966?52z?4>g3<5:i>6?=6;|a7`>=83?1?79tL2;3>4}O9l30qA=61;cx 1042=1/8;<53bg8 13f2k20(9;l:344?!2203h?7c::4;38 13>2k?0b9;::09'00`=;<;0b9;8:19~ 63528l27dl;:188mg3=831bn54?::m122<722c89<4?::`4>5<22;0>wA=60;3xL4c>3t.89?4>f39jf=<722e9::4?::ka0?6=3`h>6=44i`a94?=e?3:197>50z&017<68m1C?5k4i`c94?=nij0;66gn5;29?ld?2900c?88:188yg5?n3:1=7>50z&017<6;o1C?5k4o01f>5<0jm6s|22494?4|5:2m6<=j;<59ef=z{;9<6=4={<596317}Yj116;7l7;|a7f3=8391<7>t$271>75c3A93i6g>4083>>o6<;0;66a=3883>>{e;j=1<7=50;2x 6352;9o7E=7e:k204<722c:8?4?::m17<<722wxn94?:3y]f1=:?3h?7p}m5;296~Xe=278o:4>439~wg>=838pRo64=2a6>4253ty9::4?:3y]631<5>09::5rs272>5<4sW9>=6375>3ty8o94?:3y>3?d?349h97<<9:~f4d6290<684m{%166?7e92e:m44?::k120<722c==7>5;h3b3?6=3`8=>7>5;h057?6=3`;=>7>5;c3a4?6=;3:1N40l1b=9?50;9j514=831d>>750;9~f4ge29086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=zj8kh6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi=lk50;194?6|,:?96;=4H2:f?l7393:17d?;2;29?j4413:17pl>ag83>1<729q/?8<522g8L6>b3`;?=7>5;h376?6=3`;??7>5;n00=?6=3ty:m44?:3y]5d?<58km6?=6;|q120<72;qU>;;4=0c`>4263ty==7>53z\55>;6j90:8<521``951752z\2e2=:9k:1=9<4}r056?6=:rT9:?521`d951452z\126=:9ho1=9<4}r356?6=:rT::?521`g951752z?2f5<5;016=ll51508yv44>3:1>v3>ac817<=:9hi1=9<4}r003?6=:r7:mn4=389>5d`=9=;0q~?nd;296~;6il09?4521`d95153}#;<81=ol4o0`5>5<;=:708L6>b3`;?=7>5;h376?6=3f8857>5;|`2f=<72:0;6=u+340926=O;1o0e<:>:188m4252900c?=6:188yg7e13:1?7>50z&017<5;j1C?5k4i062>5<96=44o31:>5<52z\2f3=:9k31>>74}r42>5<4sW<:70?ma;375>;6j10:8<5rs0a1>5<5sW;h>63>b`8207=z{=?96=4={_666>;6j10:8?5rs0`4>5<5s4;im7<<9:?2f<<6<81vb88207=zuk;2j7>54;192~"4=;0:5k5`18c94?=n>80;66g>a183>>o3800;66l>9d83>6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f4?c29086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=z{83j6=4={_3:e>;61m09?45rs7394?5|V?;01<7j:062?87>k3;?=6s|1`294?4|V8k;70?6e;376>{t<931<7k3;?>6s|18`94?4|583n6?=6;<3:`?7392wx=4m50;0x94?d2;9270?6d;376>{zj8nh6=4;:285!52:3;oo6a>d683>>o193:17d?kd;29?l24=3:17o?kb;297?6=8r.89?492:J0<`=n9=;1<75f15094?=h::31<75rb0f:>5<4290;w)=:2;47?M5?m2c:8<4?::k207<722e9?44?::a5ag=8391<7>t$271>75d3A93i6g>4083>>o6<;0;66a=3883>>{t9m=1<76}Y>816=il5153894b>28>:7p}>de83>7}Y9mn0128>97p}>d983>7}:9mh1>>74=0fb>4263ty:h44?:3y>5a?=::301n1<7:50;2x 6352;9m7E=7e:k204<722c:8?4?::k206<722e9?44?::a054=8381<7>t$271>4313A93i6g>4183>>i5;00;66sm1b694?0=83:p(>;=:7d8L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3`;?97>5;n00=?6=3thi?7>53;294~"4=;0=>6F<8d9j517=831b=9<50;9l66?=831vn?:7:180>5<7s-9>>78=;I1;a>o6<80;66g>4383>>i5;00;66sm1b;94?3=83:p(>;=:7`8L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3f8857>5;|`23f<72:0;6=u+340926=O;1o0e<:>:188m4252900c?=6:188yg2793:187>50z&017<1>2B84h5f15394?=n9=81<75f15194?=h::31<75rb0a5>5<3290;w)=:2;00a>N40l1b=9?50;9j514=831b=9=50;9l66?=831vn5<7s-9>>789;I1;a>o6<80;66g>4383>>o6<:0;66a=3883>>{e9kl1<7:50;2x 6352;>;7E=7e:k204<722c:8?4?::k206<722e9?44?::a5g3=8391<7>t$271>35<@:2n7d?;1;29?l73:3:17b<<9;29?xd6k80;6>4?:1y'704=::i0D>6j;h375?6=3`;?>7>5;n00=?6=3th98l4?:283>5}#;<81>>m4H2:f?l7393:17d?;2;29?j4413:17pl>ce83>6<729q/?8<522a8L6>b3`;?=7>5;h376?6=3f8857>5;|`16=<72;0;6=u+3409500<@:2n7d?;0;29?j4413:17pl>a383>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3th:hk4?:383>5}#;<81=884H2:f?l7383:17b<<9;29?xd6jm0;6?4?:1y'704=9<<0D>6j;h374?6=3f8857>5;|`2gg<72;0;6=u+3409500<@:2n7d?;0;29?j4413:17p}nb;2954}Yik16>;?5b59>534=j=16=n<5b59>670=j=16n<4m4:?55?d334;j<7l;;<3g`?d334;j:7l;;<3a7?d334?26o:4=0;:>g2<58n=6o:4=365>g2<58i<6o:4=2g;>g252z\226=:9jh1=9>4}r3b0?6=:rT:m95216a9514;7>52z\212=:9?81n55rs2fa>5<5sW9on63;008204=z{0k1<76t^8c8970628o870<;6;17a>;6k>088h523d:9707<58i?6<:<;<3b409~w4g12909wS?n6:?2e3<5>>1v9>6:180[27127:5k4;089>5d4=9=:0q~?8e;29<~X6?l16>;?51d68941c28>:70?l4;371>;5<10:8<524139514<58k36<:=;<3ab?73:2wx=o=50;0xZ4d434;i?7<97:p004=83>pR9;=;<3`6?d?34;in7::2:?744<6<:1v9=::180[24=27:hn4;349>5a`=9=:0q~?69;296~X61016=4752758yv70i3:1>vP>7`9>52b=::30q~=if;296~X4no168=<522;8yv7c>3:1>vP>d79>5a0=:?=0q~;6:181[3>34?26?88;|q55?6=krT==63=6082a7=:>809::521c3924=:9kh1:<5218d924=:9mi1:<521b69517<5k91=9<4=0a:>42534;k7:181[5b0278i54=669~w4ef2908w0?l7;`;?85b03h370?lb;00=>{t<9:1<742734>;=7<<9:p5a1=838p1c283>6}:9j81n8521b6966?<5;836<:?;|q2f1<72;q6=o;522;894e628>:7p}>6383>1}::?;1=h84=041>70034;i=7?92:?2g<<6<81va9817<=z{k81<7>74}r072?6=>r79:<4>e49>610=:?=01a98204=:9kl1=9=4}r`2>5<5s4h:6?88;<42>g353z?23a<6<:16=:m522;8972f28>97p}=2683>7}::;<1?9k4=30;>75>3ty9844?:3y>61>=9=801?:n:31:?xu5>?0;6?u22739605<58i:6<:=;|q2g=<72:q6=n95b49>7`>=j<16=n7522;8yv7f93:1>v3>a18a<>;6i;09?45rs0a`>5<5s4;h57?;4:?2ga<5;01v70?md;00=>{t:?81<79t=342>72e34?26o64=0;:>g3<58n=6o;4=0`2>70534h86<:>;<3`2?7392wx=n;50;0x94e328>?70?l6;00=>{t:?91<7=t=342>72c34;i=7<93:?2g3<6<;1v?8;:180841938?j63>c78206=:9jn1=9<4}r051?6=5g7=:??01?:n:062?87dl3;?=6s|1b094?4|58i96?88;<3af?7d:2wx>9950;0x97212k?01?:7:31:?xu5:?0;69u22349631<5?;1?8?4=0c3>g3<58no6o;4}r3b4?6=:r7:m=4=669>5<`=9h:0q~?6a;296~;6100i463>9g82=d=z{8h=6=4={<3a7?d?34;in7?m6:p5d?=838p1bd83>7}:9kl1>>74=0`6>4253ty:o=4?:3y>5f7=::301>74}r3g`?6=:r7:hi4=669>5ae=9mn0q~?l7;296~;6k>09::5216f9514{I3f=>{K;0;1>v`;65844>"3>:0=7p*<53823==];==1=v=;:|m226<722c?8n4?::m0a5<722c8j44?::k2a4<722e:9:4?::kfg?6=3`>9n7>5;h3b2?6=3`k26=44i`494?=h<931<75f1`194?=n180;66a;3483>>o6m90;66g>i4nj0;66a;0283>>i213:17b:;2;29?g7dm3:1==4>:02xH6?728qC=h74}M1:5?7|,=<86>5r$271>45d3`h?6=44o36a>5<o6=44o36e>5<5<6=44o374>5<5<5<6=44i0g5>5<5<0;f950}K;0:1=vF>e89~ 63528in7d?j2;29?l7b;3:17d?j4;29?l7b=3:17d?j6;29?l7b?3:17d?j8;29?ld12900c?:m:188k72c2900c?:i:188k7362900c?;<:188k7322900c?;8:188k73>2900n<>i:180>4<4sE92<7?tH0g:?x"4=;0:>i5>>0;66gm4;29?g1=8391<7>t$271>46d3A93i6B<9182!73m3>=<6sfa483>>oe03:17b<97;29?xue<3:1>vPm4:?4>d352z?4>7003W8=;6s|22594?4|Vk201:4m8:~f47f29086<4<{M1:4?7|@8o27p*<53824`=nj10;66a=6683>>oe<3:17o950;194?6|,:?96<>l;I1;a>J4190:w)?;e;654>{ni<0;66gm8;29?j41?3:17p}m4;296~Xe<27<6l;4}r002?6=:r7<6?88;_053>{t::=1<74<4sE92<7?tH0g:?x"4=;0:>i5>>0;66gm4;29?g1=8391<7>t$271>46d3A93i6B<9182!73m3>=<6sfa483>>oe03:17b<97;29?xue<3:1>vPm4:?4>d352z?4>7003W8=;6s|22594?4|Vk201:4m8:~f47>290?6>49{%166?7612c:>o4?::k25d<722c:5}#;<81:>5G39g8m4262900e<:=:188k75>2900qo?>2;297?6=8r.89?492:J0<`=n9=;1<75f15094?=h::31<75rb037>5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~w44e2908wS?=b:?250<6<816=<<51538yv76i3:1>vP>1`9>543=9=80q~??f;296~X68o16=<<51508yv7683:1>vP>119>542=::30q~?>1;296~;69<09?45210695177>52z?257<5;016=<:51508yxd6:h0;644::ey'704=9;k0e4083>>o6<;0;66a=3883>>{e98n1<7=50;2x 6352?90D>6j;h375?6=3`;?>7>5;n00=?6=3th:=k4?:283>5}#;<81:>5G39g8m4262900e<:=:188k75>2900qo?=1;292?6=8r.89?481:J0<`=n9=;1<75f15094?=n9=91<75f15694?=n9=?1<75`22;94?=zj8886=4::183!52:38?=6F<8d9j517=831b=9<50;9j515=831b=9:50;9l66?=831v94>439>54`=9=801<<>:066?xu6m;0;6?uQ1d08944328>:7p}>2c83>6}Y9;h01d;375>{t9l?1<7{t9l=1<7{t98h1<7{t98o1<775>34;9?7?;3:p576=838p1<<>:31:?875;3;?86srb014>5<02=0jw)=:2;303>o69h0;66g>0g83>>o6:k0;66g>e383>>o6m:0;66g>e583>>i6:j0;66l>3283>6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831b=9=50;9l66?=831vn<=?:185>5<7s-9>>79?;I1;a>o6<80;66g>4383>>o6<:0;66g>4583>>o6<<0;66a=3883>>{e9:81<7:50;2x 6352;9n7E=7e:k204<722c:8?4?::k206<722e9?44?::p54g=83>pRh4>439>566=9=;0q~??f;290~X68o16=>=51508944b28>:70?<0;377>{t9;h1<7{t9l91<7{t9;i1<7{t9;l1<775>34;8>7?;3:~f72d290?6=4?{%166?0?3A93i6g>4083>>o6<;0;66g>4283>>i5;00;66sm25g94?2=83:p(>;=:758L6>b3`;?=7>5;h376?6=3`;??7>5;n00=?6=3th99=4?:583>5}#;<81:;5G39g8m4262900e<:=:188m4242900c?=6:188yg42:3:187>50z&017<1?2B84h5f15394?=n9=81<75f15194?=h::31<75rb377>5<3290;w)=:2;45?M5?m2c:8<4?::k207<722c:8>4?::m17<<722wi>8850;694?6|,:?96;;4H2:f?l7393:17d?;2;29?l73;3:17b<<9;29?xd5=10;694?:1y'704=>?1C?5k4i062>5<96=44i060>5<54?=99l01<426348>>7?;1:?111<6<:16>8851518973?28>97p}>1`83>g}:98k1>;94=03:>47f34;9m7?>a:?272<69h16>9m51508972b28>970<:0;376>;5=;0:8>522469514<5;?=6<:=;<069;31f>;6:h0:>o52125957d<5;>h6<:>;<07a?73;2799=4>429>604=9=801?;;:062?842>3;?=63=598206=z{8o96=4<{_3f6>;6:h0:i?5212595`452z\2a6=:9:=1=h=4}r3f0?6=:rT:i95212595`252z\2a0=:9;k1=h;4}r3f2?6=:rT:i;5213c95`052z\2a2=:9;k1=h94}r3fa;`7?875j3h?7p}=4c83>7}Y:=h01?:l:31:?xu57}Y:=l01?;?:31:?xu5=80;6?uQ243897352;927p}=5283>7}Y:<901?;;:31:?xu5=<0;6?uQ247897312;927p}=5683>7}Y:<=01?;7:31:?xu6990;6?u211d9f==:9831=<>4}r32f?6=:r7:=l4m8:?26d<69k1v<{z{k>1<72k<0q~<;b;296~X57525`8yv43l3:1>vP=4e9>56?=:=n0q~<;f;296~X57525d8yv4293:1>vP=509>56?=:<;0q~<:3;296~X5=:16=>752418yv42=3:1>vP=549>56?=:16=>752458yv4213:1>vP=589>56?=:<30q~?j2;296~X6m;16=>751d08yv7b;3:1>vP>e29>56?=9l90q~?j4;296~X6m=16=>751d68yv7b=3:1>vP>e49>56?=9l?0q~?j6;296~X6m?16=>751d48yv7b?3:1>vP>e69>56?=9l=0q~?j8;296~X6m116=>751d:8yxd6j;0;6>4=:5yO7<6=9rB:i45rL2;2>4}#5<7s-9>>7??c:J0<`=K;0:1=v*>4d8725=zah?1<75fb983>>i5>>0;66smb683>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3tyi87>52z\a0>;e?3;?<6s|22494?4|5>0j963m7;00=>{t::=1<7fd9jf=<722e9::4?::ka0?6=3k=1<7=50;2x 63528:h7E=7e:kb1?6=3`h36=44o344>5<1<7=51;1xH6?728qC=h74}M1:5?7|,=<86>5r$271>4`b3`h36=44o344>5<1<75m7;297?6=8r.89?4>0b9K7=c>i5>>0;66s|b583>7}Yj=16;7o:;|q173<72;q6;7<97:\122=z{;9<6=4={_`;?81=j11vqoo?:180>4<4sE92<7?tH0g:?xJ4180:w):93;18y!52:3;mi6gm8;29?j41?3:17dl;:188f2<72:0;6=u+340955e<@:2n7do::188mg>=831d>;950;9~wg2=838pRo:4=68b1>{t::<1<7uC38295~N6m01v@>7>:0y'035=;2w/?8<51gg8mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9je0<722ci47>5;n053?6=3tyi87>52z\a0>;02h?0q~<<6;296~;02;<<7S<97:p661=838pRo64=68a<>{zjji1<7=52;6xH6?728qC=h74}M1:5?7|,=<86>5r$271>76b3`h36=44o344>5<1<75m7;297?6=8r.89?4>0b9K7=c>i5>>0;66smb683>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3tyi87>52z\a0>;e?3;?<6s|22494?4|5>0j963m7;00=>{t::=1<77>:0y'035=;2w/?8<521g8mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9je0<722ci47>5;n053?6=3thi;7>52;294~"4=;0:9;5G39g8m4272900c?=6:188yvd32909wSl;;<`4>4273ty9?;4?:3y>3?g234h<6?=6;|q172<72;q6;7<97:\122=z{;936=4={_`;?81=j11vqo7i:180>7<3sE92<7?tH0g:?xJ4180:w):93;18y!52:38;i6gm8;29?j41?3:17dl;:188f2<72:0;6=u+340955e<@:2n7do::188mg>=831d>;950;9~fg1=8381<7>t$271>4313A93i6g>4183>>i5;00;66s|b583>7}Yj=16n:4>419~w7512909w095a49>f2<5;01v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e:o81<7=52;6xH6?728qC=h74}M1:5?7|,=<86>5r$271>76b3`h36=44o344>5<1<75m7;297?6=8r.89?4>0b9K7=c>i5>>0;66smb683>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3tyi87>52z\a0>;e?3;?<6s|22494?4|5>0j963m7;00=>{t::=1<77>:0y'035=;2w/?8<521g8mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9je0<722ci47>5;n053?6=3thi;7>52;294~"4=;0:9;5G39g8m4272900c?=6:188yvd32909wSl;;<`4>4273ty9?;4?:3y>3?g234h<6?=6;|q172<72;q6;7<97:\122=z{;936=4={_`;?81=j11vqo??7;297?7=;rF85=4>{I3f=>{K;0;1=v*;6280?x"4=;0:jh5fb983>>i5>>0;66gm4;29?g1=8391<7>t$271>46d3A93i6gn5;29?ld?2900c?88:188yvd32909wSl;;<59e0=z{;9=6=4={<596317}Yj116;7l7;|aac<721096iuC38295~N6m01v@>7>:9y'035=;2.?:?4n7c::6;38y!52:3;nj6Tn4;3x72<6?u<9;1a>xo44}O9l30qA=61;5x 1052:in7)::a;`;?!22k38=;6*;598a0>h3==0:7)::e;17a>h3=?0:7p*<5382bg=]i=09w>95348~^6202;q857==:|k00c<722ci87>5;h17a?6=3f85$343>7153g8>j7>4;n045?6=,;<;6?9=;o06b?7<3f8=j7>5$343>7153g8>j7<4;n05a?6=,;<;6?9=;o06b?5<3f8=47>5$343>7153g8>j7:4;ha7>5<#:?:1o>5a24d94>=nk;0;6)<90;a0?k42n3;07dm?:18'636=k:1e>8h52:9jfc<72-8=<7m<;o06b?5<3`h26=4+2729g6=i:{I3f=>{K;0;15v*;6380g`=#<4=#<4=#<4=z,:?965<5<7s-9>>7??c:J0<`=ni<0;66gm8;29?j41?3:17p}m4;296~Xe<27<6l;4}r002?6=:r7<6?88;_053>{t::=1<7oj:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm3bc94?5=83:p(>;=:31`?M5?m2c:8<4?::k207<722e9?44?::pf1<72;qUn9527;`7?xue03:1>vPm8:?0gd<6<;1v?88:181[41?27<6?88;|q014<72;qU?8?4=2ab>4263ty88h4?:3y]71c<5:kn6<:>;|q0g<<72;q6?lk5150896ef2;927p}7}:?3h370=ne;00=>{zj10;694=:4yO7<6=9rB:i45rL2;2>2}#g><,=?h6?88;%66>87?4$57f>62b3g>>:7?4}%166?7a=2ci87>5;h`;>5<5<0;6>4>:2yO7<6=9rB:i45r$271>4`53`h36=44o344>5<1<75m7;297?6=8r.89?4>0b9K7=c>i5>>0;66s|b583>7}Yj=16;7o:;|q173<72;q6;7<97:\122=z{;9<6=4={_`;?81=j11vqo=ne;297?6=8r.89?493:J0<`=n9=;1<75f15094?=h::31<75rsc694?4|Vk>01:4m4:pf=<72;qUn5523`g951452z\122=:?38=;6s|35g94?4|V:>n70=ne;375>{t;hn1<7;4il09?45r}c3b>5<32;0>wA=60;3xL4c>3tF85<48{%656?5dm2.?9l4m8:&71f<5>>1/8865b59m002=92.?9h4<4d9m000=92w/?8<51g78mg2=831bn54?::m122<722c88h4?::`4>5<42808wA=60;3xL4c>3t.89?4>f39jf=<722e9::4?::ka0?6=3k=1<7=50;2x 63528:h7E=7e:kb1?6=3`h36=44o344>5<6j;h375?6=3`;?>7>5;n00=?6=3tyi87>52z\a0>;02k>0q~l7:181[d?349ji7?;2:p631=838pR?88;<5963152z\00`=:;ho1=9?4}r1b`?6=:r7<6o64=2cf>75>3twi>94?:581>0}K;0:1=vF>e89~H6?62>q/8;<53bg8 13f2k20(9;l:344?!2203h?7c::4;38 13b2:>n7c::6;38y!52:3;m96gm4;29?ld?2900c?88:188m62b2900n:4?:282>6}K;0:1=vF>e89~ 63528l97dl7:188k7002900eo:50;9a3?6=;3:1X5>>1v?=8:181[d?34=1n55r}c1ba?6=;3:1N40l1b=9?50;9j514=831d>>750;9~wg2=838pRo:4=68a0>{tj10;6?uQb99>7dc=9=80q~<97;296~X5>>16;7<97:p71c=838pR>:j;<1ba?7392wx?lj50;0x92"3=j09::5+44:9f1=i<<>1=6*;5d800`=i<<<1=6s+34095c31<75fb983>>i5>>0;66g<4d83>>d029086<4<{M1:4?7|@8o27p*<5382b7=nj10;66a=6683>>oe<3:17o950;194?6|,:?96<>l;I1;a>of=3:17dl7:188k7002900q~l;:181[d334=1m85rs315>5<5s4=1>;94^344?xu5;>0;6?uQb99>3?d?3twi?lk50;194?6|,:?96;=4H2:f?l7393:17d?;2;29?j4413:17p}m4;296~Xe<27<6o:4}r`;>5<5sWh370=ne;376>{t:?=1<7v38:c:896gb2;927psm26c94?5=83:p(>;=:31`?M5?m2c:8<4?::k207<722e9?44?::p71`=839pR>:i;<59707<5;=j6<:=;|qa0?6=>rTi8638:c689=g2<5;<1n95rs26f>5<4sW9?i638:26f?840i3;?=6s|26;94?3|51088h521`800`=::=088h5227800`=::>k1>>74}r047?6=:rT9;>52278122=#;=31>:<4n26;>5=z{;=:6=4={_045>;5<38=;6*<488137=i;=21=6s|27d94?4|V;6`<4981?xu5>l0;6?uQ27g89=<5>>1/?9752608j62?2:1v?87:181[41027<6?88;%17=?40:2d8854;;|q`0?6=:rTh863=6;`;?!5313i87c=;8;28yve52909wSm=;<07>g><,:>26n=4n26;>4=z{j:1<7=:2wxnk4?:3y]fc=:03h37)=;9;a0?k530390q~l6:181[d>34=1n55+35;9g6=i;=2186srb30:>53tF85<4:{%656?5d02.?9o4k2:&722>h7=<5:&723<03t.89?4>ec9Ye1<5s:21?;4rZ264>7}4i3986pa<4183>!418398j6`=5g83?>i4;l0;6)<90;10b>h5=o0:76a<3b83>!418398j6`=5g81?>i4;k0;6)<90;10b>h5=o0876a<3783>!418398j6`=5g87?>ocl3:1(?8?:ea8j73a2910eil50;&125;:kg=?6=,;<;6im4n37e>7=h5=o0876gk3;29 7072mi0b?;i:598f74c29086<4<{M1:4?7|@8o27p*<538155=nl90;66gk1;29?j54=3:17o=6a;297?5==r.89?4<9`9j`5<722co=7>5;n101?6=3k92;7>53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn>77:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm38;94?5=83:p(>;=:31`?M5?m2c:8<4?::k207<722e9?44?::p`5<72:qUh=523859514<5:336<:>;|qg5?6=;rTo=63<968204=:;021=9<4}r101?6=:rT8?85238;966?52z?0=2<5;016?4751538yv5>?3:1>v3<99817<=:;031=9<4}|qg4?6=:rTo<63<9`8g4>{tl80;6?uQd09>7=::181[54=2785l4<349~yg45m3:1?7?53zN0=5<6sA;n56s+3409646>i4;<0;66l<9`83>6<425}#;<81:>5G39g8m4262900e<:=:188k75>2900qo=68;297?6=8r.89?493:J0<`=n9=;1<75f15094?=h::31<75rb2;:>5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~wa6=839pRi>4=2;4>425349247?;1:p`4<72:qUh<523859517<5:336<:=;|q070<72;qU?>;4=2;:>75>3ty85;4?:3y>7<1=::301>76:062?xu41>0;6?u238:966?<5:326<:=;|p`5<72;qUh=5238c9`5=z{m;1<74>:2yO7<6=9rB:i45r$271>7773`n;6=44ie394?=h;:?1<75m38c94?5=;3?p(>;=:2;b?lb72900ei?50;9l763=831i?4950;194?6|,:?96;=4H2:f?l7393:17d?;2;29?j4413:17pl<9983>6<729q/?8<5629K7=c:6=44i061>5<:188m4252900c?=6:188yvb72908wSj?;<1:3?73:278554>409~wa7=839pRi?4=2;4>426349247?;2:p763=838pR>=:;<1:=?4412wx?4850;0x96?02;9270=69;375>{t;0=1<775>349257?;2:~wa6=838pRi>4=2;b>a6i3n:7p}<3483>7}Y;:?01>7n:216?x{e:::1<7=51;1xH6?728qC=h74}%166?4682co<7>5;hf2>5<6=44b2;b>5<42:0>w)=:2;1:e>oc83:17dj>:188k6522900n>78:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm38:94?5=83:p(>;=:718L6>b3`;?=7>5;h376?6=3f8857>5;|`0=<<72:0;6=u+340966e<@:2n7d?;1;29?l73:3:17b<<9;29?xuc83:1?vPk0:?0=2<6<;16?4651538yvb62908wSj>;<1:3?739278554>439~w6522909wS=<5:?0=<<5;01v>79:18185>?388563<988204=z{:3<6=4={<1:439~yvb72909wSj?;<1:e?b73tyo=7>52z\g5>;41h0o=6s|32794?4|V:9>70=6a;101>{zj:kn6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi?o>50;194?6|,:?96;<4H2:f?l7393:17d?;2;29?j4413:17pl6<729q/?8<5639K7=c:6=44i061>5<2900q~=nd;297~;5:l0o<63k4k0:?0f5<5;016?o<51508yv5e93:1>v3=318g4>;4j;09?45rs263>5<5sW9?<63=318070=#;=31?>h4n26;>5=z{:9n6=4={_10a>;5:o08?85+35;976`36<5rs21`>5<5sW98o63=2d8070=#;=31?>h4n26;>7=z{:9i6=4={_10f>;5:m08?85+35;976`36>5rs215>5<5sW98:63<47817<=#;=31?>h4n26;>1=z{mn1<7:6:ea8j62?291vil50;1xZad<5;8m6i?4=2`1>4263-9?57jl;o17"4<00oo6`<4981?xuc03:1?vPk8:?16a409'71?=lj1e?9653:p`6<72=qUh>5223f9`5=:;ho1=9<4=265>4273-9?57jl;o17088k5rsc694?4|Vk>01:4m4:p71c=838pR>:j;<5971c53z\136=:?38"4<009;?5a35:94>{t:>;1<7=t^352?81=:>;01?<6:e`8 62>2;=97c=;8;38yv41n3:1?vP=6g9>3?41n279>44k9:&00<<5?;1e?9652:p63c=839pR?8j;<5963c<5;826i64$26:>7153g9?47=4}r05;5:00o?6*<488137=i;=2186s|3`594?4|5>0h863=288005=#;=31?l84n26;>5=z{:k>6=4={<59g7=::;31?>k4$26:>6g13g9?47?4}r1b7?6=:r7<6n>4=30:>65d3-9?57=n6:l00=<53ty8m?4?:3y>3?da348957={I3f=>{K;0;1=v*;6280?x"4=;0:ji5fb983>>i5>>0;66gm4;29?g1=8391<7>t$271>46d3A93i6B<9182!73m3>=<6sfa483>>oe03:17b<97;29?xue<3:1>vPm4:?4>d352z?4>7003W8=;6s|22594?4|Vk201:4m8:~f125290>6>49{M1:4?7|@8o27pB<908b!21;390(98=:2af?!22i3h37)::c;053>"3=10i86`;5582?!2213h>7c::5;28 13b2:>n7c::6;38y!52:3;mj6gm4;29?ld22900eo650;9l631=831b?9k50;9a3?6==3819vB<9182M7b12w/?8<51g08mg>=831d>;950;9jf1<722ci97>5;hc`>5<0;684?:1y'704=99n0D>6j;hcb>5<>oe03:17b<97;29?xd40o0;6<4?:1y'704=9:l0D>6j;n30a?6=3tyi87>52z\a0>;02h?0q~l::181[d234=1ml5rs315>5<5s493j7?de52z?4>7003W8=;6s|22:94?4|Vk201:4m8:~f6gb29096=4?{%166?72>2B84h5f15294?=h::31<75rb2a6>5<4290;w)=:2;00`>N40l1b=9?50;9j514=831d>>750;9~wg2=838pRo:4=68a0>{tj<0;6?uQb49>7f3=9=;0q~<97;296~X5>>16;7<97:p71c=839pR>:j;<1ba?738278o84>439~w6gc2909w095b99>7dc=::30q~=l4;296~;02k?01>m::31:?x{e9<=1<7=51;1xH6?728qC=h74}M1:5?7|,=<86>5r$271>4`b3`h36=44o344>5<1<75m7;297?6=8r.89?4>0b9K7=c>i5>>0;66s|b583>7}Yj=16;7o:;|q173<72;q6;7<97:\122=z{;9<6=4={_`;?81=j11vqo;6:180>4<4sE92<7?tH0g:?xJ4180:w):93;18y!52:3;mi6gm8;29?j41?3:17dl;:188f2<72:0;6=u+340955e<@:2n7do::188mg>=831d>;950;9~wg2=838pRo:4=68b1>{t::<1<7uC38295~N6m01v@>7>:0y'035=;2w/?8<51gg8mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9je0<722ci47>5;n053?6=3tyi87>52z\a0>;02h?0q~<<6;296~;02;<<7S<97:p661=838pRo64=68a<>{zj:lh6=4<:387I5>83;pD=?7=4}%166?47m2ci47>5;n053?6=3`h?6=44b683>6<729q/?8<511a8L6>b3`k>6=44ic:94?=h:?=1<75rbc594?4=83:p(>;=:075?M5?m2c:8=4?::m17<<722wxn94?:3y]f1=:j>0:8=5rs315>5<5s4=1m852b6817<=z{;9<6=4={<596317}Yj116;7l7;|aa6<72:0:6>uC38295~N6m01v@>7>:0y'035=;2w/?8<52128mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9O7<6=9r.:8h4;619~md3=831bn54?::m122<722wxn94?:3y]f1=:?3k>7p}=3783>7}:?38=;6P=669~w7502909wSl7;<59f==zuk9n<7>53;090~J4190:wE?j9:O7<7=9r.?:>4<;|&017<58l1bn54?::m122<722ci87>5;c594?5=83:p(>;=:02`?M5?m2cj97>5;h`;>5<5<5290;w)=:2;362>N40l1b=9>50;9l66?=831vo:50;0xZg2<5k=1=9>4}r002?6=:r7<6l;4=c5966?52z?4>7003W8=;6s|22:94?4|Vk201:4m8:~f16>29086?4;{M1:4?7|@8o27pB<9082!21;390q)=:2;03a>oe03:17b<97;29?ld32900n:4?:283>5}#;<81==m4H2:f?lg22900eo650;9l631=831vno950;094?6|,:?96<;9;I1;a>o6<90;66a=3883>>{tj=0;6?uQb59>f2<6<91v?=9:18181=i<16n:4=389~w7502909w0952758Z7003ty9?54?:3y]f==:?3h37psm42794?5=:3>p@>7?:0yK5`?6=z,:?96?>j;h`;>5<50z&017<68j1C?5k4i`794?=nj10;66a=6683>>{ej>0;6?4?:1y'704=9<<0D>6j;h374?6=3f8857>5;|qa0?6=:rTi863m7;374>{t::<1<7;e?38856s|22594?4|5>09::5Q2758yv4403:1>vPm8:?4>g>g}#;<81=8h4o07b>5<5<5<5<5<5e83>2<729q/?8<52518L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3`;?97>5;h372?6=3f8857>5;|q21d<72;qU=8o4=07g>75>3ty:ok4?:3y]5f`<58?n6<:>;|q2f7<72;qU=o<4=07f>4253ty:h=4?:3y]5a6<58?o6<:=;|q2`4<72;qU=i?4=07g>4243ty:h?4?:3y]5a4<58?o6<:;;|q2`6<72;qU=i=4=07g>4223ty:h94?:3y]5a2<58?h6<:=;|q21=<72;qU=864=07`>4263ty:9o4?:3y>50c=::301<;k:062?xu6=j0;6?u214a966?<58?o6<:9;|a25<7210964u+340925=h=j0;66g>cg83>>o6j;0;66g>d183>>o6l80;66g>d383>>o6l:0;66g>d583>>d2n3:1?7>50z&017<1:2B84h5f15394?=n9=81<75`22;94?=zj87E=7e:k204<722c:8?4?::k206<722c:894?::k200<722c:8;4?::m17<<722wx9n4?:3y]1f=:=l09?45rs0ae>5<5sW;hj63:f;375>{t9k81<797p}>d183>7}Y9m:018k51508yv7c93:1>vP>d09>1`<6<:1vi7?;4:p5a5=838pR4223ty:h94?:3y]5a2<55<5s4?m6?=6;<7f>4263twi=:;50;c9e?74s-9>>7?85:m221<722c:ok4?::k226<722c:h<4?::k2`7<722c:h>4?::k2`1<722c:954?::k0a2<722c:;;4?::`223<72:0;6=u+340927=O;1o0e<:>:188m4252900c?=6:188yg71?3:1?7>50z&017<1:2B84h5f15394?=n9=81<75`22;94?=zj8<26=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi=;l50;194?6|,:?96;<4H2:f?l7393:17d?;2;29?j4413:17pl>6e83>6<729q/?8<5629K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f41729086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=zj8=:6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi=:=50;194?6|,:?96;=4H2:f?l7393:17d?;2;29?j4413:17pl>7583>2<729q/?8<52518L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3`;?97>5;h372?6=3f8857>5;|q221<72;qU=;:4=057>75>3ty:ok4?:3y]5f`<58<=6<:>;|q226<72>qU=;=4=045>42534;=;7?;2:?22<<6<;16=;l51508940a28>970?83;376>{t9m;1<7{t9m91<7{t9<21<7=t^07;?871l3;?>63>6g8204=z{:o<6=4={_1f3>;6>m0:8<5rs055>5<5sW;<:63>728204=z{8<>6=4={<352?44127:;94>409~w4002909w0?97;00=>;6?=0:8?5rs04;>5<5s4;=57<<9:?231<6<:1v<8n:181871j388563>758201=z{8409~w40b2909w0?9f;00=>;6?90:8?5rs315>5<5s4;<<7<<9:?234<6<;1v?=8:1818709388563>758200=z{8=96=4={<347?44127:;94>479~yg27>3:1>7>50z&017<6=?1C?5k4i063>5<N40l1b=9?50;9j514=831d>>750;9~f60529086=4?{%166?053A93i6g>4083>>o6<;0;66a=3883>>{e1l0;6>4?:1y'704=>;1C?5k4i062>5<96=44o31:>5<5}#;<81:>5G39g8m4262900e<:=:188k75>2900qoh6:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm11094?5=83:p(>;=:718L6>b3`;?=7>5;h376?6=3f8857>5;|`702<72=0;6=u+340920=O;1o0e<:>:188m4252900e<:<:188k75>2900qo=ie;297?6=8r.89?4=3b9K7=c:6=44i061>5<5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~fcd=83<1<7>t$271>24<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17d?;5;29?j4413:17plid;292?6=8r.89?49f:J0<`=n9=;1<75f15094?=n9=91<75f15694?=n9=?1<75`22;94?=zj8:?6=49:183!52:3=:7E=7e:k204<722c:8?4?::k206<722c:894?::k200<722e9?44?::a01?=83<1<7>t$271>3`<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17d?;5;29?j4413:17pl;0483>6<729q/?8<5639K7=c:6=44i061>5<5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~f406290>6=4?{%166?4392B84h5f15394?=n9=81<75f15194?=n9=>1<75`22;94?=zj8?26=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi=:950;594?6|,:?96?:<;I1;a>o6<80;66g>4383>>o6<:0;66g>4583>>o6<<0;66g>4783>>i5;00;66smec83>2<729q/?8<52518L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3`;?97>5;h372?6=3f8857>5;|`f1?6=;3:1N40l1b=9?50;9j514=831d>>750;9~f`1=83>1<7>t$271>30<@:2n7d?;1;29?l73:3:17d?;3;29?j4413:17pl3<729q/?8<52508L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3`;?97>5;n00=?6=3th?=84?:283>5}#;<81>>m4H2:f?l7393:17d?;2;29?j4413:17pl;0g83>6<729q/?8<5629K7=c:6=44i061>5<:188m4252900e<:<:188m4232900c?=6:188yg2693:1?7>50z&017<1:2B84h5f15394?=n9=81<75`22;94?=zj=9i6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wiok4?:383>5}#;<81=884H2:f?l7383:17b<<9;29?xd4>?0;6?4?:1y'704=9<<0D>6j;h374?6=3f8857>5;|`b6?6=:3:12900qo579K7=c;6=44o31:>5<?n7>52;294~"4=;0:9;5G39g8m4272900c?=6:188yg5b:3:1>7>50z&017<6=?1C?5k4i063>5<2900qo:579K7=c;6=44o31:>5<53z\226=:9?91>;94=056>4043ty?8n4?:3y]01e<5:<=6<:?;|q0a5<72;qU?h>4=2g3>7003ty8j44?:3y]7c?<5jl1=9>4}r3f5?6=;rT:i<52e48204=:<9l1=9?4}r363?6=;rT:9:521459631<5=><6<:=;|qfg?6=:rTno63>078a<>{t<;h1<750?=9=;0q~o9:1823~Xf>27:oh4m4:?2f7g2<5ji1n9523719f1=:1o0i863=f38a0>;68>0i863jf;`7?87783h?70:;2;`7?872?3h?70;6:c6894042k>01>hl:c689`5=j=16?h>5b59>05?=j=168>;5b59~w16>2909wS:?9:?74<<5>>1v409~w<7=83>pR4?4=0af>4c334>;97?;1:?f3?73;2wx8>;50;0xZ15234>897<97:p5`6=838pR<;<632?4412wx944?:3y]1<=:=009::5rs561>5<4sW>?>63;438122=:9981=9?4}r337?6=:r7:439>552=::30q~k7:1818c42k201h7522;8yvcf2909w0km:31:?8c228>97p}la;297~;6kl0:i852cc817<=:9?;1=9?4}rg0>5<5s4o86?88;<631?73:2wx8>o50;0x915e2;9270:{t99<1<7=t=025>70034;;;7l7;4263ty8:>4?:3y>735=:?=01>8=:061?xu6>90;6?u2173966?<58?26<:=;|q:`?6=;r7:oh4>e69>=`<5;016=;?51518yv7793:1>v3>018a<>;68;09?45rs247>5<5s49=87<97:?027<6<81vnk50;1x9fb=j116on4m8:?`b?4412wxj;4?:3y>ac<4=839p1hh535d89c1=9=;01k7522;8yv`b2909w0h8:061?8`a2;927p}7}:;l>1>>74=2g1>4273ty2j7>52z?:b?41?272i7?;2:p010=838p19:=:26f?823?38856s|11594?4|58:<6?88;4253ty?05`=::3019?8:062?xu3990;6?u2403966?<5=;86<:?;|q`g?6=:r7ho7<97:?`f?73:2wxm=4?:3y>e5<5>>165h4>409~w6`c2909w0=ic;`;?85am38856s|11294?5|58in6439~w4d5290>w0?le;3f7>;6j;09::5214d95g4<5?:1=o<4=d5951742634o>6?=6;|qf2?6=:r7n57?;2:?f3?4412wxoi4?:3y>ga<5>>16oo4>409~w4032909w0?93;`;?870=3;=86s|2g094?4|5;l96?88;<0e5?73:2wx8=:50;0x916128>;70:?5;00=>{t;??1<7=t=247>g><5:<86o64=245>75>3ty:9l4?:3y>501=j116=8h514c8yv4a;3:1>v3=f28122=::o;1=9?4}r155?6=:r78:?4=389>011=9=90q~;l:18183>2k201;>55b9~wcg=839p1kl522;89c`=9=;019:m:063?xuak3:1>v3id;00=>;an3;?>6s|1bd94?0|58in6?:m;<36b?7dn27=<7?lf:?230<6ko16=:9515389`1=9=80q~?k0;293~;6kl098i5214d95a6<5?:1=i>4=054>42534oi6<:>;<1f0?73927?=84>409~w4b62903w0?le;07b>;6=o0:h<526182`4=:9>?1=i?4=054>42434oi6<:=;<1f0?73:27?=84>439~w4b52903w0?le;065>;6=o0:h?526182`7=:9>?1=i<4=054>42334oi6<:<;<1f0?73;27?=:4>439~w4b42902w0?le;067>;6=o0:h>526182`6=:9>?1=i=4=2df>42634;<;7?;5:?ff?73<278i94>459>041=9=90q~?k4;29=~;6kl09985214d95a2<5?:1=i:4=056>4b3349mi7?;2:?232<6449>7`2=9=?019?8:067?xu6l<0;6?u21bg9601<5lh1=984}r1f5?6=:r78i=4m8:?0a7<5;01v9=l:181824=3h370:{t:o>1<7=t=3d0>g><5;l96o64=3d6>75>3tyj=7>53z?b4?d?343m6o64=`0966??m7>52z?7075;00=>;38o0:8?5rs535>5<4s4>:;7<<9:?754<6<;168>l51508yv26:3:1>v3;088a<>;39:09?45rs3d3>5<4s4;hi7?j8:?1b4<5;016=;?51568yv7203:1?v3>5g821==:9>?1=864=07:>75>3ty:;;4?:3y>523=9><01<98:31:?xu3<10;6?u24559517<5=>26?=6;|qe1?6==r7nj7<83:?ef?73=27mh7?;5:?241<6<<1689751538 62>2o>0b>:7:19~wc5=83?p1hh526389cd=9=>01kj51538946328>?70:;9;376>"4<00m86`<4982?xua:3:19v3jf;05b>;aj3;??63id;376>;68=0:8>5245;9513<,:>26k:4n26;>7=z{o;1<7;t=dd963c<5oh1=9<4=gf9515<58:?6<:=;<67=?73;2.8844i4:l00=<43tym<7>55z?fb?41027mn7?;1:?e`?73<27:<94>409>01?=9=>0(>:6:g68j62?2=1vqo=lb;292;lp@>7?:0yK5`?2d<,=<86;5r$271>db<6>u=f;03>44=uf98<7>5;hca>5<5<>d6:6yO7<6=9rB:i45rL2;2>4}#1<75`25`94?=h:=n1<75`25d94?=h:<;1<75f1d094?=n9l91<75m12;94?1=03hp@>7?:0yK5`?>7?;f:k2a7<722c:i>4?::ka2?6=3f8?n7>5;n07`?6=3f8?j7>5;n065?6=3k;;j7>53;397~J4190:wE?j9:'704=99o0eo650;9l631=831bn94?::`4>5<4290;w)=:2;33g>N40l1G?4>51z&20`<3>91vel;50;9jf=<722e9::4?::pf1<72;qUn9527;c6?xu5;?0;6?u27;053>X5>>1v?=8:181[d?34=1n55r}c32e?6=;3;1?vB<9182M7b12w/?8<511g8mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9O7<6=9r.:8h4;619~md3=831bn54?::m122<722wxn94?:3y]f1=:?3k>7p}=3783>7}:?38=;6P=669~w7502909wSl7;<59f==zuk;:57>55;092~"4=;0:=45f11d94?=n9l81<75f1d194?=n98k1<75`10294?=e9891<7:50;2x 6352??0D>6j;h375?6=3`;?>7>5;h377?6=3f8857>5;|`257<72:0;6=u+340966e<@:2n7d?;1;29?l73:3:17b<<9;29?xu68o0;6?uQ11d8947528>:7p}>e383>7}Y9l80197p}>1`83>7}Y98k011083>7}:9891>>74=031>4253twi=?o50;697?0|,:?96<5;h33b?6=3f;:n7>5;c314?6=;3:1N40l1b=9?50;9j514=831d>>750;9~f47c29086=4?{%166?043A93i6g>4083>>o6<;0;66a=3883>>{e98l1<7=50;2x 6352;9h7E=7e:k204<722c:8?4?::m17<<722wx=h<50;1xZ4c534;9<7?;1:?25a<6<;1v=4>439~w46a2909wS??f:?25a<6<81v;69o0:8<5rs03g>5<5s4;:h7<<9:?25c<6<;1vqo<;c;297?6=8r.89?494:J0<`=n9=;1<75f15094?=h::31<75rb36f>5<4290;w)=:2;40?M5?m2c:8<4?::k207<722e9?44?::a606=8391<7>t$271>34<@:2n7d?;1;29?l73:3:17b<<9;29?xd5=;0;6>4?:1y'704=>:1C?5k4i062>5<96=44o31:>5<57z?24c<5>>16=<7511d8944f28:m70<;c;376>;5q6=28;j70?=a;32e>;5;<066?7392wx=h<50;1xZ4c534;:57?j2:?26d<6m;1ve29~wg0=839pRo84=02e>g2<58;j6o:4}r07f?6=:rT98o5225a966?52z\10a=::=o1>>74}r07b?6=:rT98k52242966?=7>52z\114=::<81>>74}r324?6=:r7:{z{k>1<72k<0q~<;b;296~X57525`8yv43l3:1>vP=4e9>56?=:=n0q~<;f;296~X57525d8yv4293:1>vP=509>56?=:<;0q~?j2;296~X6m;16=>751d08yv7b;3:1>vP>e29>56?=9l90qpl>0783>0<5251zJ2a<=zD:3:6;u+47194>"3>;08oh5+44c9f==#<;94$57;>g27663Sk?6?u=2;02>x\4<>09w?=51g8~mg2=831d>;k50;&125<5>m1e>8h50:9l63>=83.9:=4=6e9m60`=921bnk4?:%054?db3g8>j7>4;h`:>5<#:?:1nh5a24d95>=e?3:1?7?53zN0=5<6sA;n56s+34095cc5<7s-9>>7??c:J0<`=ni<0;66gm8;29?j41?3:17p}m4;296~Xe<27<6l;4}r002?6=:r7<6?88;_053>{t::=1<76}K;0:1=vF>e89~ 63528ln7dl7:188k7002900eo:50;9a3?6=;3:1X5>>1v?=8:181[d?34=1n55r}r`7>5<4sWh?7095b59>36=5rs34;>5<5sW8=4638:344?!53138=h6`<4982?xuen3:1>vPmf:?;>g><,:>26ok4n26;>5=z{k31<793kp(98<:19'034=;ji0(>mi:546?!22k38=;6*;598a0>h3==0:7)::9;`6?k22=3;0(9;j:26f?k22>3;0q)=:2;3e4>\f<3;p>o4rZ264>7}5l39;6pgm4;29?ld22900e>:j:188k716290/>;>52628j73a2910c?8i:18'636=:>:0b?;i:098k70b290/>;>52628j73a2;10c?87:18'636=:>:0b?;i:298f2<72k0?6ouC38295~N6m01v@>7>:8y'034=;jo0(9;n:c:8 13d2;<<7)::8;`7?k22<3;0(9;6:c78j132281/88k535g8j131281v(>;=:321?_g32;q9n7<6:|X002<5s;n1>:4ric694?=nj<0;66g<4d83>>i5?80;6)<90;044>h5=o0;76a=6g83>!41838<<6`=5g82?>i5>l0;6)<90;044>h5=o0976a=6983>!41838<<6`=5g80?>od:3:1(?8?:b38j73a2910en>50;&125;:kab?6=,;<;6n?4n37e>7=h5=o0876l8:186>6<0sE92<7?tH0g:?xJ41802w):92;1`a>"3=h0i46*;5b8122=#<<21n95a44695>"3=00i96`;5482?!22m39?i6`;5782?x"4=;09<>5fb583>>oe=3:17dl7:188k7002900e>:j:188f2<72<0968uC38295~N6m01v(>;=:0d1?ld?2900c?88:188mg2=831bn84?::kbg?6=3k=1<7;50;2x 63528:o7E=7e:N0=5<6s-;?i7:90:jed<722cjo7>5;hc6>5<:183!52:3;8j6F<8d9l56c=831vo:50;0xZg2<5>0j96s|b483>7}Yj<16;7on;|q173<72;q6?5h512g892>950;0x92<5>>1U>;94}r004?:1y'704=>:1C?5k4i062>5<96=44o31:>5<53;294~"4=;09?n5G39g8m4262900e<:=:188k75>2900q~l;:181[d334=1n95rsc794?4|Vk?01>m::061?xue03:1>vPm8:?0e`<6<;1v?88:181[41?27<6?88;|q00`<72:qU?9k4=2cf>426349h97?;1:p7db=838p1:4m8:?0e`<5;01v>m;:18181=j<16?n;522;8yxd?290>6>48{M1:4?7|@8o27pB<908:!21:39hi6*;5`8a<>"3=j09::5+44:9f1=i<<>1=6*;588a1>h3=<0:7)::e;17a>h3=?0:7p*<538141=nj=0;66gm5;29?ld?2900c?88:188m62b2900n:4?:481>0}K;0:1=vF>e89~ 63528l97dl7:188k7002900eo:50;9jf0<722cjo7>5;c594?3=83:p(>;=:02g?M5?m2F85=4>{%37a?2182wbml4?::kbg?6=3`k>6=44ic:94?=h:?=1<75rb2:e>5<6290;w)=:2;30b>N40l1d=>k50;9~wg2=838pRo:4=68b1>{tj<0;6?uQb49>3?gf3ty9?;4?:3y>7=`=9:o01:4nc:p661=838p1:4=669]63152z\a<>;02k20qpl6<729q/?8<5629K7=c:6=44i061>5<:188m4252900c?=6:188yvd32909wSl;;<59f1=z{k?1<797p}m8;296~Xe0278mh4>439~w7002909wS<97:?4>7003ty88h4?:2y]71c<5:kn6<:>;<1`1?7392wx?lj50;0x927f3=::30qpl>a;291?5=?rF85=4>{I3f=>{K;0;15v*;6380g`=#<4=#<<31n85a44795>"3=l088h5a44495>{#;<81>=;4ic694?=nj<0;66gm8;29?j41?3:17d=;e;29?g1=83?1>7;tL2;3>4}O9l30q)=:2;3e6>oe03:17b<97;29?ld32900eo;50;9jef<722h<6=4::183!52:3;;h6F<8d9O7<6=9r.:8h4;619~mdg=831bmn4?::kb1?6=3`h36=44o344>5<51;294~"4=;0:?k5G39g8k45b2900q~l;:181[d334=1m85rsc794?4|Vk?01:4na:p660=838p1>6i:01f?81=ij1v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e;ho1<7=50;2x 6352?90D>6j;h375?6=3`;?>7>5;n00=?6=3th8o84?:283>5}#;<81>>m4H2:f?l7393:17d?;2;29?j4413:17p}m4;296~Xe<27<6o:4}r`6>5<5sWh>70=l5;376>{tj10;6?uQb99>7dc=9=80q~<97;296~X5>>16;7<97:p71c=839pR>:j;<1ba?739278o84>409~w6gc2909w095b99>7dc=::30q~=l4;296~;02k?01>m::31:?x{e:=0;684<:6yO7<6=9rB:i45rL2;2><}#g><,=?h6?88;%66>87?4$57:>g36<5+44g971c7613`h?6=44ic794?=nj10;66a=6683>>o47<2sE92<7?tH0g:?x"4=;0:j?5fb983>>i5>>0;66gm4;29?ld22900elm50;9a3?6==3:17?:0y'51c=5;|`0vPm4:?4>d36=4={_`6?81=ih1v?=9:18185?n3;8i638:`a8yv44?3:1>v38:344?[41?2wx>>650;0xZg><5>0i46srb2cf>5<4290;w)=:2;40?M5?m2c:8<4?::k207<722e9?44?::a7f3=8391<7>t$271>75d3A93i6g>4083>>o6<;0;66a=3883>>{tj=0;6?uQb59>3?d33tyi97>52z\a1>;4k<0:8?5rsc:94?4|Vk201>oj:061?xu5>>0;6?uQ275892<5>>1v>:j:180[53m278mh4>409>7f3=9=;0q~=nd;296~;02k201>oj:31:?xu4k=0;6?u27;`6?85d=38856srsc694?3|Vk>01:4m4:?;>g2<58k1n952258a0>{tj<0;68uQb49>3?d23421n8521`8a1>;5<3h>7p}<4d83>0}Y;=o01:4<4d9>:?50;0xZ716348?6?88;%17=?4082d8854?;|q12c<72;qU>;h4=0c9631<,:>26?9?;o1752z\12`=:038=;6*<488135=i;=21>6s|27:94?4|V;<370952758 62>2;=;7c=;8;18yve52909wSm=;<07>g><,:>26n?4n26;>5=z{j:1<7=92wxnk4?:3y]fc=:03h37)=;9;a2?k530380q~l6:181[d>34=1n55+35;9g4=i;=21?6srb30:>53tF85<4:{%656?5d02.?9o4k2:&722>h7=<5:&723<03t.89?4>eb9Ye1<5s;i1>l4rZ264>7}5m3836pa<3d83>!418398h6`=5g83?>i4;j0;6)<90;10`>h5=o0:76a<3c83>!418398h6`=5g81?>i4;?0;6)<90;10`>h5=o0876gkb;29 7072mk0b?;i:198ma?=83.9:=4ka:l11c<632co47>5$343>ag90om6`=5g80?>d5:m0;6>4>:2yO7<6=9rB:i45r$271>7773`n;6=44ie394?=h;:?1<75m38c94?5=;3?p(>;=:2;b?lb72900ei?50;9l763=831i?4950;194?6|,:?96;=4H2:f?l7393:17d?;2;29?j4413:17pl<9983>6<729q/?8<5629K7=c:6=44i061>5<:188m4252900c?=6:188yvb72908wSj?;<1:3?73:278554>409~wa7=839pRi?4=2;4>426349247?;2:p763=838pR>=:;<1:=?4412wx?4850;0x96?02;9270=69;375>{t;0=1<775>349257?;2:~wa6=838pRi>4=2;b>a6i3n:7p}<3483>7}Y;:?01>7n:216?x{e:;o1<7=51;1xH6?728qC=h74}%166?4682co<7>5;hf2>5<6=44b2;b>5<42:0>w)=:2;1:e>oc83:17dj>:188k6522900n>78:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm38:94?5=83:p(>;=:718L6>b3`;?=7>5;h376?6=3f8857>5;|`0=<<72:0;6=u+340966e<@:2n7d?;1;29?l73:3:17b<<9;29?xuc83:1?vPk0:?0=2<6<;16?4651538yvb62908wSj>;<1:3?739278554>439~w6522909wS=<5:?0=<<5;01v>79:18185>?388563<988204=z{:3<6=4={<1:439~yvb72909wSj?;<1:e?b73tyo=7>52z\g5>;41h0o=6s|32794?4|V:9>70=6a;101>{zj;8m6=4<:080I5>83;pD5;c1:e?6=;3919v*<5380=d=nl90;66gk1;29?j54=3:17o=67;297?6=8r.89?493:J0<`=n9=;1<75f15094?=h::31<75rb2;;>5<4290;w)=:2;40?M5?m2c:8<4?::k207<722e9?44?::a7t$271>75d3A93i6g>4083>>o6<;0;66a=3883>>{tl90;6>uQd19>7<1=9=801>77:062?xuc93:1?vPk1:?0=2<6<816?4651508yv54=3:1>vP<349>709?45238;951752z?0==<5;016?4751508yxuc83:1>vPk0:?0=d5<5sW98963<9`8070=zuk9ji7>53;294~"4=;0=>6F<8d9j517=831b=9<50;9l66?=831vn>l?:180>5<7s-9>>78=;I1;a>o6<80;66g>4383>>i5;00;66sm35494?4=83:p(>;=:075?M5?m2c:8=4?::m17<<722wx?lj50;1x974b2m:01>oj:31:?85e83;?>6s|3`d94?4|5;8m6i>4=2`3>75>3ty8?h4?:3y]76c<5;8m6>=:;%17=?54l2d8854?;|q07f<72;qU?>m4=30f>6523-9?57==:;%17=?54l2d8854=;|q073<72;qU?>84=265>75>3-9?57=52z\gf>;5:o0o=6*<488ge>h4<10;7p}k9;297~Xc1279>h4k1:?0f5<6<81/?975d`9m71>=92wxh54?:2y]`==::;n1h<523`g9517<,:>26io4n26;>7=z{m91<7:t^e18974c2m:01>oj:061?853>3;?<6*<488ge>h4<1087ps|b583>7}Yj=16;7l;;|qa1?6=:rTi9638:c78yv53m3:1>vP<4d9>3?53m2wx>:?50;1xZ71634=1>:?4=30:>ad<,:>26?9?;o1753z\12c=:?38=j63=288g=>"4<009;=5a35:95>{t:?o1<7=t^34f?81=:?o01?<6:e:8 62>2;=;7c=;8;08yv4103:1?vP=699>3?410279>44k3:&00<<5?91e?9653:p7d3=838p1:4l2:?16<<4;l1/?9753`68j62?291v>o<:18181=k916>?7532a8 62>2:k?7c=;8;38yv5f:3:1>v38:cd8974>2:9i7)=;9;1b0>h4<1097p}<9e83>7}:?3h270<=9;102>"4<008m95a35:97>{zj:886=4::386I5>83;pD=?7>4}%166?7a<2ci47>5;n053?6=3`h?6=44ic794?=nij0;66l8:186>5<7s-9>>7??d:J0<`=nih0;66gnc;29?lg22900eo650;9l631=831vn>6i:182>5<7s-9>>7?01:4n5:pf0<72;qUn8527;cb?xu5;?0;6?u239d956c<5>0jo6s|22594?4|5>09::5Q2758yv4403:1>vPm8:?4>g>0}K;0:1=vF>e89~H6?628q/8;=50:'704=9o>0eo650;9l631=831bn94?::ka1?6=3`kh6=44b683>0<729q/?8<511f8L6>b3`kj6=44i`a94?=ni<0;66gm8;29?j41?3:17pl<8g83>4<729q/?8<512d8L6>b3f;8i7>5;|qa0?6=:rTi8638:`78yvd22909wSl:;<59ed=z{;9=6=4={<1;b?74m27<6lm4}r003?6=:r7<6?88;_053>{t::21<7:18e>7<6krF85=4>{I3f=>{K;0;14v*;6283?!21:39ho6*;94$57;>g21=v?<:|X002<5s8?1=:4ric694?=nj<0;66a=6b83>!41838=n6`=5g83?>i5>h0;6)<90;05f>h5=o0:76a=6883>!41838=n6`=5g81?>i5?10;6)<90;05f>h5=o0876a=7683>!41838=n6`=5g87?>i5??0;6)<90;05f>h5=o0>76a=7483>!41838=n6`=5g85?>i5?=0;6)<90;05f>h5=o0<76a=7283>!41838=n6`=5g8;?>i5?80;6)<90;05f>h5=o0276a=6g83>!41838=n6`=5g8b?>i5>l0;6)<90;05f>h5=o0i76a=6983>!41838=n6`=5g8`?>d0290:o7j51byO7<6=9rB:i45rL2;2>2}#g><,=?h6?88;%66>87?4$57:>g36<5r$271>7603Sk?6?u>3;33>x\4<>09w<;5e;jf1<722ci97>5;n05g?6=,;<;6?8m;o06b?6<3f8=m7>5$343>70e3g8>j7?4;n05=?6=,;<;6?8m;o06b?4<3f8<47>5$343>70e3g8>j7=4;n043?6=,;<;6?8m;o06b?2<3f8<:7>5$343>70e3g8>j7;4;n041?6=,;<;6?8m;o06b?0<3f8<87>5$343>70e3g8>j794;n047?6=,;<;6?8m;o06b?><3f8<=7>5$343>70e3g8>j774;n05b?6=,;<;6?8m;o06b?g<3f8=i7>5$343>70e3g8>j7l4;n05!4183hh7c<:f;38?ldf290/>;>5bb9m60`=:21bo44?:%054?dd3g8>j7=4;ha;>5<#:?:1nn5a24d90>=nk>0;6)<90;``?k42n3?07dm9:18'636=jj1e>8h56:9jg0<72-8=<7ll;o06b?1<3`i?6=4+2729ff=i:!4183hh7c<:f;;8?le7290/>;>5bb9m60`=i21bnk4?:%054?dd3g8>j7l4;h`:>5<#:?:1nn5a24d9g>=e?3:197<55zN0=5<6sA;n56s+34095c25}#;<81==j4H2:f?lgf2900elm50;9je0<722ci47>5;n053?6=3th84k4?:083>5}#;<81=>h4H2:f?j74m3:17p}m4;296~Xe<27<6l;4}r`6>5<5sWh>7095a`9~w7512909w0=7f;30a>;02hi0q~<<7;296~;02;<<7S<97:p66>=838pRo64=68a<>{zj10;684=:4yO7<6=9rB:i45r$271>4`33`h36=44o344>5<1<75fb483>>ofk3:17o950;794?6|,:?96<>k;I1;a>ofi3:17dol:188md3=831bn54?::m122<722wi?5h50;394?6|,:?96<=i;I1;a>i6;l0;66s|b583>7}Yj=16;7o:;|qa1?6=:rTi9638:`c8yv44>3:1>v3<8g827`=:?3kh7p}=3683>7}:?38=;6P=669~w75?2909wSl7;<59f==zuk;j6=4::386I5>83;pD5;h`6>5<0e9K7=c>of=3:17dl7:188k7002900qo=7f;295?6=8r.89?4>3g9K7=c5<5sWh?7095a49~wg3=838pRo;4=68be>{t::<1<745b34=1mn5rs314>5<5s4=1>;94^344?xu5;10;6?uQb99>3?d?3twi>94?:481>0}K;0:1=vF>e89~ 63528l?7dl7:188k7002900eo:50;9jf0<722cjo7>5;c594?3=83:p(>;=:02g?M5?m2cjm7>5;hc`>5<>i5>>0;66sm39d94?7=83:p(>;=:01e?M5?m2e:?h4?::pf1<72;qUn9527;c6?xue=3:1>vPm5:?4>dg52z?07<2sE92<7?tH0g:?x"4=;0:j95fb983>>i5>>0;66gm4;29?ld22900elm50;9a3?6==3:15;h`;>5<>850;0x96>a289n7095ab9~w7502909w0952758Z7003ty9?54?:3y]f==:?3h37psm3083>0<5251zJ2a<=z,:?965<t$271>46c3A93i6gna;29?lgd2900el;50;9jf=<722e9::4?::a7=`=83;1<7>t$271>45a3A93i6a>3d83>>{tj=0;6?uQb59>3?g23tyi97>52z\a1>;02hk0q~<<6;296~;40o0:?h527;c`?xu5;>0;6?u27;053>X5>>1v?=7:181[d?34=1n55r}c1`>5<22;0>wA=60;3xL4c>3t.89?4>f59jf=<722e9::4?::ka0?6=3`h>6=44i`a94?=e?3:197>50z&017<68m1C?5k4i`c94?=nij0;66gn5;29?ld?2900c?88:188yg5?n3:1=7>50z&017<6;o1C?5k4o01f>5<0jm6s|22494?4|5:2m6<=j;<59ef=z{;9<6=4={<596317}Yj116;7l7;|a7a<72<0968uC38295~N6m01v(>;=:0d7?ld?2900c?88:188mg2=831bn84?::kbg?6=3k=1<7;50;2x 63528:o7E=7e:kbe?6=3`kh6=44i`794?=nj10;66a=6683>>{e;1l1<7?50;2x 635289m7E=7e:m27`<722wxn94?:3y]f1=:?3k>7p}m5;296~Xe=27<6lo4}r002?6=:r784k4>3d9>3?gd3ty9?:4?:3y>3?41?2T9::5rs31;>5<5sWh37095b99~yg5b290>6?4:{M1:4?7|@8o27p*<5382b1=nj10;66a=6683>>oe<3:17dl::188mde=831i;7>55;294~"4=;0:5<51;294~"4=;0:?k5G39g8k45b2900q~l;:181[d334=1m85rsc794?4|Vk?01:4na:p660=838p1>6i:01f?81=ij1v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e;o0;684=:4yO7<6=9rB:i45r$271>4`33`h36=44o344>5<1<75fb483>>ofk3:17o950;794?6|,:?96<>k;I1;a>ofi3:17dol:188md3=831bn54?::m122<722wi?5h50;394?6|,:?96<=i;I1;a>i6;l0;66s|b583>7}Yj=16;7o:;|qa1?6=:rTi9638:`c8yv44>3:1>v3<8g827`=:?3kh7p}=3683>7}:?38=;6P=669~w75?2909wSl7;<59f==zuk31<7;52;7xH6?728qC=h74}%166?7a<2ci47>5;n053?6=3`h?6=44ic794?=nij0;66l8:186>5<7s-9>>7??d:J0<`=nih0;66gnc;29?lg22900eo650;9l631=831vn>6i:182>5<7s-9>>7?01:4n5:pf0<72;qUn8527;cb?xu5;?0;6?u239d956c<5>0jo6s|22594?4|5>09::5Q2758yv4403:1>vPm8:?4>g>83;pD5;h`6>5<0e9K7=c>of=3:17dl7:188k7002900qo=7f;295?6=8r.89?4>3g9K7=c5<5sWh?7095a49~wg3=838pRo;4=68be>{t::<1<745b34=1mn5rs314>5<5s4=1>;94^344?xu5;10;6?uQb99>3?d?3twin7>55;091~J4190:wE?j9:'704=9o>0eo650;9l631=831bn94?::ka1?6=3`kh6=44b683>0<729q/?8<511f8L6>b3`kj6=44i`a94?=ni<0;66gm8;29?j41?3:17pl<8g83>4<729q/?8<512d8L6>b3f;8i7>5;|qa0?6=:rTi8638:`78yvd22909wSl:;<59ed=z{;9=6=4={<1;b?74m27<6lm4}r003?6=:r7<6?88;_053>{t::21<70i8637:c6894g=j=16>94m4:?12?d3349:6o:4=2a9f1=:;m0i8630144m4:?b>g2<5k0i86s|b483>`}Yj<16;7l:;<:9f0=:9h0i963=4;`6?8412k?01>?5b49>7fg3<5:l1n8529;`6?8g=j<16n7l:;|q12f<72;qU>;m4=c8122=#;=31>;l4n26;>5=z{;;f2;<<7)=;9;05f>h4<10:7p}=6883>7}Y:?30144=669'71?=:?h0b>:7:39~w71?2909wS<88:?0b?41?2.8844=6c9m71>=;2wx>:950;0xZ710349n6?88;%17=?41j2d8854;;|q133<72;qU>:84=2f9631<,:>26?8m;o1752z\130=:;j09::5+35;963d36;5rs357>5<5sW8<863<1;053>"4<009:o5a35:93>{t:>91<7h4<1037p}=7083>7}Y:>;01?:52758 62>2;vP=6g9>5d<5>>1/?97527`8j62?2h1v?8j:181[41m2736?88;%17=?41j2d8854m;|q12=<72;qU>;64=68122=#;=31>;l4n26;>f=z{kn1<752z\af>;f2k20(>:6:ca8j62?281voo50;0xZgg<500i46*<488ag>h4<1097p}l9;296~Xd1278j7l7;%17=?dd3g9?47=4}ra;>5<5sWi370=j:c:8 62>2ki0b>:7:59~wf1=838pRn94=2f9f==#;=31nn5a35:91>{tk?0;6?uQc79>7f52z\`1>;493h37)=;9;``?k5303=0q~m;:181[e3348=6o64$26:>ge3655rsb094?4|Vj801?:5b99'71?=jj1e?9659:pg5<72;qUo=521`8a<>"4<00io6`<498b?xuen3:1>vPmf:?;>g><,:>26om4n26;>g=z{k31<7?750;3b>4>=:51zJ2a<=zD:3:68u+47097f><,=?i6i<4$544>==#<;4$545>2=z,:?967}6<3;:6pT<468140=n3wd?>o50;&125<4;01e>8h50:9l76>=83.9:=4<389m60`=921d?>950;&125<4;01e>8h52:9l713=83.9:=4<389m60`=;21d?9:50;&125<4;01e>8h54:9l715=83.9:=4<389m60`==21d?9<50;&125<4;01e>8h56:9l717=83.9:=4<389m60`=?21d?9>50;&125<4;01e>8h58:9l76c=83.9:=4<389m60`=121d?>m50;&125<4;01e>8h5a:9l76d=83.9:=4<389m60`=j21d?>850;&125<4;01e>8h5c:9j`2<72-8=<7j9;o06b?6<3`n>6=4+2729`3=i:!4183n=7c<:f;08?lc5290/>;>5d79m60`=;21bi<4?:%054?b13g8>j7:4;hg3>5<#:?:1h;5a24d91>=nlo0;6)<90;f5?k42n3<07djj:18'636=l?1e>8h57:9j`a<72-8=<7j9;o06b?><3`ni6=4+2729`3=i:!4183n=7c<:f;c8?lb?290/>;>5d79m60`=j21bh>4?:%054?b13g8>j7m4;c01`?6=;3;1?vB<9182M7b12w/?8<52028ma6=831bh<4?::m070<722h85l4?:280>0}#;<81?4o4ie294?=nl80;66a<3483>>d41>0;6>4?:1y'704=>:1C?5k4i062>5<96=44o31:>5<53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn>76:180>5<7s-9>>7<78:061?85>03;?=6s|d083>6}Yl816?495153896??28>97p}<3483>7}Y;:?01>76:31:?xu41?0;6?u2385966?<5:326<:>;|q0=2<72;q6?46522;896?>28>97ps|d183>7}Yl916?4o5d19~wa7=838pRi?4=2;b>a752z\070=:;0k1?>;4}|`16`<72:0:6>uC38295~N6m01v(>;=:333?lb72900ei?50;9l763=831i?4o50;197?3|,:?96>7n;hf3>5<6j;h375?6=3`;?>7>5;n00=?6=3th8554?:283>5}#;<81:>5G39g8m4262900e<:=:188k75>2900qo=69;297?6=8r.89?4=3b9K7=c:6=44i061>5<5<4sWn;70=67;376>;4110:8<5rse394?5|Vm;01>78:062?85>03;?>6s|32794?4|V:9>70=69;00=>{t;0<1<775>349257?;1:p7<1=838p1>77:31:?85>13;?>6srse294?4|Vm:01>7n:e28yvb62909wSj>;<1:e?b63ty8?84?:3y]763<5:3j6>=:;|a67`=8391=7=tL2;3>4}O9l30q)=:2;024>oc83:17dj>:188k6522900n>7n:180>6<2s-9>>7=6a:kg4?6=3`n:6=44o216>5<6<729q/?8<522a8L6>b3`;?=7>5;h376?6=3f8857>5;|qg4?6=;rTo<63<968207=:;021=9?4}rf2>5<4sWn:70=67;375>;4110:8?5rs216>5<5sW98963<98817<=z{:3=6=4={<1:3?441278544>409~w6?02909w0=68;00=>;4100:8?5r}rf3>5<5sWn;70=6a;f3?xuc93:1>vPk1:?0=d;50;0xZ6523492m7=<5:~f75729086<4<{M1:4?7|@8o27p*<538155=nl90;66gk1;29?j54=3:17o=6a;297?5==r.89?4<9`9j`5<722co=7>5;n101?6=3k92;7>53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn>77:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm38;94?5=83:p(>;=:31`?M5?m2c:8<4?::k207<722e9?44?::p`5<72:qUh=523859514<5:336<:>;|qg5?6=;rTo=63<968204=:;021=9<4}r101?6=:rT8?85238;966?52z?0=2<5;016?4751538yv5>?3:1>v3<99817<=:;031=9<4}|qg4?6=:rTo<63<9`8g4>{tl80;6?uQd09>7=::181[54=2785l4<349~yg4493:1?7?53zN0=5<6sA;n56s+3409646>i4;<0;66l<9`83>6<425}#;<81:>5G39g8m4262900e<:=:188k75>2900qo=68;297?6=8r.89?493:J0<`=n9=;1<75f15094?=h::31<75rb2;:>5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~wa6=839pRi>4=2;4>425349247?;1:p`4<72:qUh<523859517<5:336<:=;|q070<72;qU?>;4=2;:>75>3ty85;4?:3y>7<1=::301>76:062?xu41>0;6?u238:966?<5:326<:=;|p`5<72;qUh=5238c9`5=z{m;1<74>:2yO7<6=9rB:i45r$271>7773`n;6=44ie394?=h;:?1<75m38c94?5=;3?p(>;=:2;b?lb72900ei?50;9l763=831i?4950;194?6|,:?96;=4H2:f?l7393:17d?;2;29?j4413:17pl<9983>6<729q/?8<5629K7=c:6=44i061>5<:188m4252900c?=6:188yvb72908wSj?;<1:3?73:278554>409~wa7=839pRi?4=2;4>426349247?;2:p763=838pR>=:;<1:=?4412wx?4850;0x96?02;9270=69;375>{t;0=1<775>349257?;2:~wa6=838pRi>4=2;b>a6i3n:7p}<3483>7}Y;:?01>7n:216?x{e::91<7=51;1xH6?728qC=h74}%166?4682co<7>5;hf2>5<6=44b2;b>5<42:0>w)=:2;1:e>oc83:17dj>:188k6522900n>78:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm38:94?5=83:p(>;=:718L6>b3`;?=7>5;h376?6=3f8857>5;|`0=<<72:0;6=u+340966e<@:2n7d?;1;29?l73:3:17b<<9;29?xuc83:1?vPk0:?0=2<6<;16?4651538yvb62908wSj>;<1:3?739278554>439~w6522909wS=<5:?0=<<5;01v>79:18185>?388563<988204=z{:3<6=4={<1:439~yvb72909wSj?;<1:e?b73tyo=7>52z\g5>;41h0o=6s|32794?4|V:9>70=6a;101>{zj;9?6=4<:080I5>83;pD5;c1:e?6=;3919v*<5380=d=nl90;66gk1;29?j54=3:17o=67;297?6=8r.89?493:J0<`=n9=;1<75f15094?=h::31<75rb2;;>5<4290;w)=:2;40?M5?m2c:8<4?::k207<722e9?44?::a7t$271>75d3A93i6g>4083>>o6<;0;66a=3883>>{tl90;6>uQd19>7<1=9=801>77:062?xuc93:1?vPk1:?0=2<6<816?4651508yv54=3:1>vP<349>709?45238;951752z?0==<5;016?4751508yxuc83:1>vPk0:?0=d5<5sW98963<9`8070=zuk8897>53;397~J4190:wE?j9:'704=:8:0ei>50;9j`4<722e8?84?::`0=d<72:0868u+34097>i4;<0;66l<9683>6<729q/?8<5629K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f6?>29086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=z{m:1<7=t^e2896?028>970=68;375>{tl80;6>uQd09>7<1=9=;01>77:061?xu4;<0;6?uQ327896?>2;927p}<9783>7}:;0=1>>74=2;:>4263ty85:4?:3y>7<>=::301>76:061?x{tl90;6?uQd19>7l4?:282>6}K;0:1=vF>e89~ 6352;;;7dj?:188ma7=831d?>;50;9a76?f3`n;6=44ie394?=h;:?1<75m38594?5=83:p(>;=:718L6>b3`;?=7>5;h376?6=3f8857>5;|`0==<72:0;6=u+340926=O;1o0e<:>:188m4252900c?=6:188yg5>13:1?7>50z&017<5;j1C?5k4i062>5<96=44o31:>5<?3;?>63<998204=z{m;1<7=t^e3896?028>:70=68;376>{t;:?1<7138856s|38494?4|5:3<6?=6;<1:=?7392wx?4950;0x96??2;9270=69;376>{z{m:1<7:181[b63492m7j>;|q070<72;qU?>;4=2;b>6523twi>?l50;195?5|D:3;6=::188f6?f29086>4:{%166?5>i2co<7>5;hf2>5<6=44b2;4>5<4290;w)=:2;40?M5?m2c:8<4?::k207<722e9?44?::a7<>=8391<7>t$271>35<@:2n7d?;1;29?l73:3:17b<<9;29?xd4100;6>4?:1y'704=::i0D>6j;h375?6=3`;?>7>5;n00=?6=3tyo<7>53z\g4>;41>0:8?5238:9517?3;?=63<998207=z{:9>6=4={_101>;41009?45rs2;5>5<5s492;7<<9:?0=<<6<81v>78:18185>0388563<988207=zuzn;6=4={_f3?85>i3n;7p}k1;296~Xc92785l4k1:p763=838pR>=:;<1:e?54=2wvn?4<4sE92<7?tH0g:?x"4=;09==5fd183>>oc93:17b=<5;29?g5>i3:1?7=55z&017<41h1bh=4?::kg5?6=3f9897>5;c1:3?6=;3:1N40l1b=9?50;9j514=831d>>750;9~f6??29086=4?{%166?043A93i6g>4083>>o6<;0;66a=3883>>{e;031<7=50;2x 6352;9h7E=7e:k204<722c:8?4?::m17<<722wxh=4?:2y]`5=:;0=1=9<4=2;;>4263tyo=7>53z\g5>;41>0:8<5238:951452z\070=:;031>>74}r1:2?6=:r785:4=389>752z\g4>;41h0o<6s|d083>7}Yl816?4o5d09~w6522909wS=<5:?0=d<4;<1vqo=ne;297?6=8r.89?492:J0<`=n9=;1<75f15094?=h::31<75rb2`3>5<4290;w)=:2;41?M5?m2c:8<4?::k207<722e9?44?::a7g4=8391<7>t$271>34<@:2n7d?;1;29?l73:3:17b<<9;29?xd4j=0;6>4?:1y'704=>;1C?5k4i062>5<96=44o31:>5<53;294~"4=;0=>6F<8d9j517=831b=9<50;9l66?=831vn>l7:180>5<7s-9>>78=;I1;a>o6<80;66g>4383>>i5;00;66sm3cc94?5=83:p(>;=:708L6>b3`;?=7>5;h376?6=3f8857>5;|`0ff<72:0;6=u+340927=O;1o0e<:>:188m4252900c?=6:188yg5em3:1?7>50z&017<1:2B84h5f15394?=n9=81<75`22;94?=zj:i;6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi?n<50;194?6|,:?96;<4H2:f?l7393:17d?;2;29?j4413:17pl<4783>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3ty8mi4?:2y>67c=l916?lk522;896d728>97p}6}::;l1h=523c2966?<5:h96<:=;|q0f4<72:q6>>>5d19>7g4=::301>l;:061?xu4j:0;6>u22239`5=:;k>1>>74=2`5>4253ty8n84?:2y>664=l916?o8522;896d?28>97p}6}:::91h=523c:966?<5:hj6<:=;|q0g4<72;q6>?m5d19>7f4=::30q~=m9;297~;5;=0o<63;4jl09?4523b2951453z?16g7f4=9=80q~=?m53278 62>2:927c=;8;28yv5403:1>vP<399>67d=;:?0(>:6:21:?k5303;0q~=<7;296~X4;>16>?o53278 62>2:927c=;8;08yv53=3:1>vP<449>663=;:?0(>:6:21:?k530390q~=;4;296~X4<=16>>:53278 62>2:927c=;8;68yv53;3:1>vP<429>665=;:?0(>:6:21:?k5303?0q~=;2;296~X4<;16>><53278 62>2:927c=;8;48yv5393:1>vP<409>667=;:?0(>:6:21:?k5303=0q~=;0;296~X4<916>>>53278 62>2:927c=;8;:8yv54m3:1>vP<3d9>67`=;:?0(>:6:21:?k530330q~=?k53278 62>2:927c=;8;c8yv54j3:1>vP<3c9>67b=;:?0(>:6:21:?k5303h0q~=<6;296~X4;?16?98522;8 62>2:927c=;8;a8yvb02909wSj8;<01g?b63-9?57j9;o176=4<{_f6?845j3n:70=l2;375>"4<00o:6`<4982?xuc<3:1?vPk4:?16d409'71?=l?1e?9652:pa7<72:qUi?522279`4=:;ko1=9?4$26:>a036>5rsd394?5|Vl;01?=;:e3896dd28>:7)=;9;f5?k5303>0q~k?:180[c73488?7j>;<1ae?7392.8844k6:l00=<23tyoj7>53z\gb>;5;;0o=63{tll0;6>uQdd9>667=l816?o851538 62>2m<0b>:7:69~wab=839pRij4=313>a7<5:h?6<:>;%17=?b13g9?4764}rfa>5<4sWni70<=f;f2?85e:3;?=6*<488g2>h4<1027p}k9;297~Xc1279>h4k1:?0f5<6<81/?975d79m71>=i2wxh54?:2y]`==::;n1h<523`g9517<,:>26i84n26;>g=z{m91<7:t^e18974c2m:01>oj:061?853>3;?<6*<488g2>h4<10h7ps|b583>7}Yj=16;7l;;|qa1?6=:rTi9638:c78yv41k3:1?vP=6b9>3?41k279>44k7:&00<<5>k1e?9650:p63g=839pR?8n;<5963g<5;826i;4$26:>70e3g9?47?4}r05=?6=;rT9:4527;05=>;5:00o86*<48812g=i;=21>6s|26:94?5|V;=3709526:8974>2l80(>:6:34a?k530390q~<87;297~X5?>16;7<87:?16<=<2wx>:850;1xZ71134=1>:84=30:>`6<,:>26?8m;o1753z\130=:?38<963=288gb>"4<009:o5a35:92>{t:>>1<7=t^357?81=:>>01?<6:eg8 62>2;3?40;279>44kd:&00<<5>k1e?9658:p627=839pR?9>;<59627<5;826il4$26:>70e3g9?4774}r05b?6=;rT9:k527;05b>;5:00o56*<48812g=i;=21m6s|27g94?5|V;2m20(>:6:34a?k5303h0q~<98;297~X5>116;7<98:?16<=k2wx?l?50;0x9244<3`9'71?=;h:0b>:7:19~w6?a2909w095bc9>67?=;:20(>:6:2c3?k5303;0q~=6e;296~;02kk01?<6:214?!53139j<6`<4981?xu4ij0;6?u27;a:?845139?96*<4880e5=i;=21?6s|3``94?4|5>0h463=288001=#;=31?l>4n26;>1=z{:kj6=4={<59g2=::;31?9=4$26:>6g73g9?47;4}r1b=?6=:r7<6n84=30:>6253-9?57=n0:l00=<13ty8m54?:3y>3?e2348957=;1:&00<<4i91e?9657:p7d1=838p1:4l4:?16<<4<91/?9753`28j62?211v>o::18181=k;16>?7532g8 62>2:k;7c=;8;;8yv5f;3:1>v38:b28974>2:9h7)=;9;1b4>h4<10j7p}7}:?3hm70<=9;10f>"4<008m=5a35:9f>{t;0n1<7;5:008?;5+35;97d636n5r}c014?6==3819vB<9182M7b12wG?4?51z&726<73t.89?4>f59jf=<722e9::4?::ka0?6=3`h>6=44i`a94?=e?3:197>50z&017<68m1C?5k4i`c94?=nij0;66gn5;29?ld?2900c?88:188yg5?n3:1=7>50z&017<6;o1C?5k4o01f>5<0jm6s|22494?4|5:2m6<=j;<59ef=z{;9<6=4={<596317}Yj116;7l7;|a05>=8391=7=tL2;3>4}O9l30qA=61;3x 104291v(>;=:0df?ld?2900c?88:188mg2=831i;7>53;294~"4=;0:7p}=3783>7}:?38=;6P=669~w7502909wSl7;<59f==zuk3:6=4<:080I5>83;pD=?7>4}%166?7am2ci47>5;n053?6=3`h?6=44b683>6<729q/?8<511a8L6>b3`k>6=44ic:94?=h:?=1<75rsc694?4|Vk>01:4n5:p660=838p1:4=669]63152z\a<>;02k20qpl;2d83>6<62:qG?4>51zJ2a<=zD:3:6{#;<81=kk4ic:94?=h:?=1<75fb583>>d029086=4?{%166?77k2B84h5fa483>>oe03:17b<97;29?xue<3:1>vPm4:?4>d352z?4>7003W8=;6s|22594?4|Vk201:4m8:~f6ce29086<4<{M1:4?7|@8o27pB<9082!21;3:0q)=:2;3ea>oe03:17b<97;29?ld32900n:4?:283>5}#;<81==m4H2:f?lg22900eo650;9l631=831vo:50;0xZg2<5>0j96s|22494?4|5>09::5Q2758yv44?3:1>vPm8:?4>g>84?:d80>46|,:?96?<:;n015?6=3`8:>7>5;h023?6=3`8:47>5;h02=?6=3`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3`8:?7>5;h020?6=3`8::7>5;c016?6=13:1N40l1b=9?50;9j514=831b=9=50;9j512=831b=9;50;9j510=831b=9950;9j51>=831d>>750;9~f744290=6=4?{%166?0b3A93i6g>4083>>o6<;0;66g>4283>>o6<=0;66g>4483>>i5;00;66sm23694?5=83:p(>;=:708L6>b3`;?=7>5;h376?6=3f8857>5;|q164<72;qU>??4=307>75>3ty9=?4?:3y]644<5;886<:>;|q152<72;qU><94=301>4263ty9=54?:3y]64><5;896<:=;|q15<<72;qU><74=301>4243ty9=l4?:3y]64g<5;896<::;|q15g<72;qU>4233ty9=n4?:3y]64e<5;896<:9;|q15a<72;qU>42?3ty9=h4?:3y]64c<5;896<:8;|q15c<72;qU>4253ty9=>4?:3y]645<5;886<:;;|q151<72;qU><:4=300>4243ty9=;4?:3y]640<5;886<::;|q167<72;q6>?<522;8974328>:7p}=2283>7}::;91>>74=307>4253twi5:4?:480>2}#;<815:5`9383>>o6==0;66g>o>03:17d7>:188f<0=8391<7>t$271>35<@:2n7d?;1;29?l73:3:17b<<9;29?xd><3:1?7>50z&017<1;2B84h5f15394?=n9=81<75`22;94?=zj0?1<7=50;2x 6352;9h7E=7e:k204<722c:8?4?::m17<<722wx5?4?:3y]=7=:1<09?45rs077>5<5sW;>86366;376>{t;lh1<7:7p}68;296~X>027287?;1:p=4<72;qU5<52958207=z{091<75<5s43?6?=6;<;6>4253twi?k=50;797?1|,:?96>h<;n1fa?6=3`;>?7>5;h1fg?6=3`9m87>5;h1ff?6=3k9m>7>53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn>h?:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm3g394?5=83:p(>;=:31`?M5?m2c:8<4?::k207<722e9?44?::p7`c=838pR>kj;<1e5?4412wx=8=50;0xZ434349m>7?;2:p7`e=838pR>kl;<1e6?7392wx?k:50;0xZ6`3349m<7?;1:p7`d=838pR>km;<1e4?73:2wx?hh50;0x96`52;9270=i1;375>{t;o:1<775>349m=7?;2:~f436290?6=4?{%166?023A93i6g>4083>>o6<;0;66g>4283>>i5;00;66smed83>6<729q/?8<5629K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f63e290>6=4?{%166?0e3A93i6g>4083>>o6<;0;66g>4283>>o6<=0;66a=3883>>{e;;31<7;50;2x 6352?n0D>6j;h375?6=3`;?>7>5;h377?6=3`;?87>5;n00=?6=3th8>o4?:483>5}#;<81:n5G39g8m4262900e<:=:188m4242900e<:;:188k75>2900qo==f;297?6=8r.89?4=3b9K7=c:6=44i061>5<5<3290;w)=:2;00a>N40l1b=9?50;9j514=831b=9=50;9l66?=831vn>kk:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm3g794?5=83:p(>;=:31`?M5?m2c:8<4?::k207<722e9?44?::a77b=8381<7>t$271>4313A93i6g>4183>>i5;00;66s|ac83>f}Yik16=9h5b59>550=j=16?8=5b59>775=j=16?875b59>647=j=16>?>5b59>05>=j=165<4m4:?76`<<;<117?41?2wx?hl50;7xZ6ce349nn7<97:?:3?5bj278j>47`b=9=;0q~kl:181[cd34;;:7l6;|q742<72;qU8=94=52;>g>9i7>52z\76`=:<;o1>;94}r;2>5<4sW3:707>:344?8?020;0q~?:0;296~;652z?06<<5;016??h51538yv55i3:1>v3<2c817<=:;;l1=9<4}r366?6=;r7:8k4=4c9>=<<6<816?k;51538yv72;3:18v3>4g810a=:;o91=8=4=8;9514<5:l>6<:=;|q211<72:q6=9h525d89<1=9<>014751518yv55k3:1>v3<228a<>;4:m09?45rs076>5<5s4;?j7<:1:?76`{t;lo1<7g><5:l86>kj;|qf`?6=>r789>4m5:?06670b=9=80q~=:c;290~;4=:088h522039f0=::;:1n85234f966?g><50=15?5rs8:94?4|50=1555298817<=z{;8:6=4={<014?d?348997<=1:p70?=839p1>;6:344?87293;?>63<5e8204=z{=:36=4<{<37b?7b;27?<54=669>7`b=9=80q~=:a;296~;4=00i463<5c817<=z{:oh6=4={<1e7?5bk278ii4=389~w64b2909w0==f;00=>;4:m0:8=5rs303>5<5s489<7<97:?214<6<:1v>;7:186[5482789>4=709>70d=9=>01><6:067?855j3;??6*<488012=i;=21<6s|34494?2|5:?86?8i;<16f?73:278>44>429>77d=9=80(>:6:274?k5303;0q~=:5;290~;4=:09:h5234`9517<5:826<:=;<11f?7392.8844<569m71>=:2wx?8:50;6x96342;<370=:b;377>;4:00:8<5233`9512<,:>26>;8;o1752z?243<5>l16ih4>439'71?=9930b>:7:19~w46?2908w0??6;`e?877>38=463je;375>"4<00:<45a35:95>{t:8<1<770d348997<>6:&00<<59<1e?9650:p642=838p1??>:34b?845=38:86*<488150=i;=21=6s|20194?4|5;;:6?86;<011?46;2.8844=149m71>=:2wx>"4<009=85a35:97>{t:8o1<7710348997<>e:&00<<59<1e?9654:p64b=838p1??>:355?845=38:h6*<488150=i;=2196s|20a94?4|5;;:6?9:;<011?46k2.8844=149m71>=>2wx>"4<009=85a35:93>{t:8k1<7714348997<>a:&00<<59<1e?9658:p64?=838p1??>:352?845=38:56*<488150=i;=2156s|20:94?4|5;;:6?8i;<011?4602.8844=149m71>=i2wx><950;0x97762;"4<009=85a35:9f>{t:881<770?348997<>2:&00<<59<1e?965c:~f6b729026n4>1zN0=5<6sA;n56sC38396~h3>=0396*;6285?x"4=;0:?55`45a94?=h;o31<75f3e`94?=h1h0;66g>0c83>>o48<0;66g<0683>>o4800;66g>4883>>d0<3:1?7<54zN0=5<6sA;n56sC38395~"3>:097p*<53814==nj10;66a=6683>>oe<3:17o950;194?6|,:?96<>l;I1;a>J4190:w)?;e;654>{ni<0;66gm8;29?j41?3:17plm7;296?6=8r.89?4>579K7=c;6=44o31:>5<;7p}=3783>7}:?3k>70l8:31:?xu5;>0;6?u27;053>X5>>1v?=7:181[d?34=1n55r}c132?6==3819vB<9182M7b12wG?4?5az&726<53->=>7=le:&71d=j=1e88:51:&71<;%66a?53m2d?9;4?;|&017<5801bn94?::ka1?6=3`h36=44o344>5<n6=44b683>0<5251zJ2a<=z,:?965<t$271>46c3A93i6gna;29?lgd2900el;50;9jf=<722e9::4?::a7=`=83;1<7>t$271>45a3A93i6a>3d83>>{tj=0;6?uQb59>3?g23tyi97>52z\a1>;02hk0q~<<6;296~;40o0:?h527;c`?xu5;>0;6?u27;053>X5>>1v?=7:181[d?34=1n55r}c1`1?6=;3:1:188m4252900c?=6:188yvd32909wSl;;<59f1=z{k?1<797p}=6683>7}Y:?=01:4=669~w62b2908wS=;e:?4>g><5:i>6<:>;|q0g1<72;q6;7l:;<1`1?4412wvn>>7:186>7<2sE92<7?tH0g:?xJ4180jw):93;08 1052:in7)::a;`;?!22k38=;6*;598a0>h3==0:7)::9;`6?k22=3;0(9;j:26f?k22>3:0q)=:2;03=>oe<3:17dl::188mg>=831d>;950;9j71c=831i;7>55;091~J4190:wE?j9:'704=9o80eo650;9l631=831bn94?::ka1?6=3`kh6=44b683>0<729q/?8<511f8L6>b3`kj6=44i`a94?=ni<0;66gm8;29?j41?3:17pl<8g83>4<729q/?8<512d8L6>b3f;8i7>5;|qa0?6=:rTi8638:`78yvd22909wSl:;<59ed=z{;9=6=4={<1;b?74m27<6lm4}r003?6=:r7<6?88;_053>{t::21<7m::180>5<7s-9>>7<01:4m4:pf0<72;qUn8523b7951452z\122=:?38=;6s|35g94?5|V:>n7095b99>7f3=9=;0q~=l4;296~;02k?01>m::31:?x{e;o31<7;53;5xH6?728qC=h74}M1:5?g|,=<86?5+47097fc<,=?j6o64$57`>7003->>47l;;o660?7<,=?26o;4n576>4=#<5=z,:?965<>i5>>0;66g<5083>>d0290>6?4:{M1:4?7|@8o27p*<5382b7=nj10;66a=6683>>oe<3:17dl::188mde=831i;7>55;294~"4=;0:5<51;294~"4=;0:?k5G39g8k45b2900q~l;:181[d334=1m85rsc794?4|Vk?01:4na:p660=838p1>6i:01f?81=ij1v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e;j?1<7=50;2x 6352;9o7E=7e:k204<722c:8?4?::m17<<722wi?n950;194?6|,:?96?=k;I1;a>o6<80;66g>4383>>i5;00;66s|b583>7}Yj=16;7l;;|qa1?6=:rTi96397p}=6683>7}Y:?=01:4=669~w6362908wS=:1:?0g0<6<816?n951538yv5d>3:1>v38:c7896e02;927p}7}:?3h370=l5;00=>{zj:<:6=4::284I5>83;pD=?7<4$541>6eb3->>m7l7;%66g?41?2.?954m4:l711<63->>57l:;o661?7<,=?n6>:j;o662?6>76=44ic:94?=h:?=1<75f35g94?=e?3:197<55zN0=5<6sA;n56s+34095c45}#;<81==j4H2:f?lgf2900elm50;9je0<722ci47>5;n053?6=3th84k4?:083>5}#;<81=>h4H2:f?j74m3:17p}m4;296~Xe<27<6l;4}r`6>5<5sWh>7095a`9~w7512909w0=7f;30a>;02hi0q~<<7;296~;02;<<7S<97:p66>=838pRo64=68a<>{zj:kn6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi?n;50;194?6|,:?96?=k;I1;a>o6<80;66g>4383>>i5;00;66s|b583>7}Yj=16;7l;;|qa1?6=:rTi96397p}=6683>7}Y:?=01:4=669~w62b2908wS=;e:?0e`<6<816?n;51538yv5fl3:1>v38:c:896gb2;927p}7}:?3h>70=l5;00=>{zj0h1<7=50;2x 6352;9o7E=7e:k204<722c:8?4?::m17<<722wi?=o50;194?6|,:?96;<4H2:f?l7393:17d?;2;29?j4413:17pl;5083>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3th85}#;<81>>m4H2:f?l7393:17d?;2;29?j4413:17pl<0d83>6<729q/?8<522a8L6>b3`;?=7>5;h376?6=3f8857>5;|`715<72:0;6=u+340926=O;1o0e<:>:188m4252900c?=6:188yg1129096=4?{%166?72>2B84h5f15294?=h::31<75rs56`>5<5sW>?o63;50817<=z{:l26=4={_1e=>;4n009::5rs2fa>5<3sW9on636b;375>;3=90:8<52778205=z{0k1<78t^8c896612:>n70=?8;17a>;4n0089<52373971c<50h1>>74}r33f?6=>rT:;48?0i863<098a0>;4n00i863<608a0>{t;9?1<7=t^226?857>3h>70=?c;375>{t;9=1<7=t^224?85703h>70=?e;375>{t9=31<7=t^06:?85a13h370=91;`;?xu0<3:1>v384;053>;>j3;?>6s|31`94?4|5::j6<:>;<13g?4412wx?=j50;0x966f28>970=?e;00=>{t;?;1<770034>><7?;2:p750=838p1>>9:344?857k3;?>6s|31:94?4|5::36?88;<13a?73:2wx89h50;0x913628>;70::0;00=>{t;9>1<7=t=2d:>g3<5:<:6o;4=22b>75>3ty<97>52z?40?d?34==6?=6;|a07d=8391=7=tL2;3>4}O9l30qA=61;3x 1042?1v(>;=:0gf?l77=3:17d=:e;29?j54=3:17o950;194?6|,:?96l=4H2:f?l7383:17dkl:188k75>2900q~??5;296~X68<16;7kl;|q173<72;q6;7<<9:\070=z{;9<6=4={_16a>;028>;7psm3eg94?5=939p@>7?:0yK5`?3=z,:?96i7>5;n101?6=3k=1<7=50;2x 6352h90D>6j;h374?6=3`oh6=44o31:>5<52z\240=:?3oh7p}=3783>7}:?38856P<349~w7502909wS=:e:?4>4273twi8=m50;195?5|D:3;693;p(98<:79~ 63528on7d??5;29?l52m3:17b=<5;29?g1=8391<7>t$271>d5<@:2n7d?;0;29?lcd2900c?=6:188yv77=3:1>vP>049>3?cd3ty9?;4?:3y>3?4412T8?85rs314>5<5sW9>i638:063?x{e<:21<7=51;1xH6?728qC=h74}M1:5?7|,=<86;5r$271>4cb3`;;97>5;h16a?6=3f9897>5;c594?5=83:p(>;=:`18L6>b3`;?<7>5;hg`>5<X4;<1v?=8:181[52m27<6<:?;|a012=8391=7=tL2;3>4}O9l30qA=61;3x 1042?1v(>;=:0gf?l77=3:17d=:e;29?j54=3:17o950;194?6|,:?96l=4H2:f?l7383:17dkl:188k75>2900q~??5;296~X68<16;7kl;|q173<72;q6;7<<9:\070=z{;9<6=4={_16a>;028>;7psm3e494?4=83:p(>;=:075?M5?m2c:8=4?::m17<<722wi?io50;094?6|,:?96<;9;I1;a>o6<90;66a=3883>>{e;l<1<7<50;2x 63528?=7E=7e:k205<722e9?44?::a7a>=8391<7>t$271>75d3A93i6g>4083>>o6<;0;66a=3883>>{e;m91<7<50;2x 63528?=7E=7e:k205<722e9?44?::a07b=8381<7>t$271>4313A93i6g>4183>>i5;00;66s|43`94?2|V=8i70=ld;61f>;4l80?>o5243`976352z\0bd=:;m:1?k74}r1gg?6=jm;<1g4?5cj2wx89j50;0xZ12c349o<7:;c:p060=839pR9=9;<655?24=278h94;349~w1562909wS:<1:?724<3;81vl650;6xZd>7=:37`?85c839;56s|43794?4|V=8>70:91;611>{t;l31<7{t;o<1<7{tmj0;6>uQeb9>7a7=mj16?nl5eb9~w46e290=wS??b:?0gahm:181[5aj278h<4k::181[5b=278i;4=389~w1602909wS:?7:?0gg<38>1v9<;:181[25<27?:<4;3d9~w12b2909wS:;e:?0`4<3c:?724<39j1vl750;0xZd?<5:n:6l74}rc5>5<5sWk=70=k1;c5?xu4l<0;6?uQ3e7896b12;927p}7}Y;m301>jn:31:?xu38h0;6>uQ41c891062=:270=k4;63=>{t<;l1<79j6s|3ga94?4|V:lh70=k1;1eg>{t<9h1<7870:;4;101>{t;ol1<7{t<<91<7:t^570?85dl3>>>63;608717=:;m>188<4}r1f52z?724<4;816?nl53228yv71;3:1>v3a59>7a2=9h>0q~?:7;296~;4l=0:9:523e395019o7>52z?76g<68<168?j522;8yv3f2909w0=k1;7:?85c03;?>6s|5c83>7}:;m>194523e:9517;h7>52z?0`4<380168=m534g8yv55;3:1>v3;608061=:;jh1??=4}r;b>5<3s49hh77n;<655??f349o877n;<1g4??f3ty8h?4?:3y>7a7=9l;01>j<:31:?xu6i?0;6?u23e695d0<5:n:67p};2d83>7}:;jh18?k4=50g>4273ty2=7>57z?0`4<>9278oo461:?0``<68<168=m51178915?28:>70:;4;331>;4m?0:8=5rs05f>5<5s49hh7?8e:?0`1<6?l1v;4l109?45rs0;:>5<5s49o87?69:?0`4<6i:1v?66:18185dl383563;6081<<=z{:nm6=4={<1g5?5b8278hh4<5d9~w7`12909w0=ld;0e2>;3>809j;5rs05b>5<5s49o87?8a:?0`5<48>1v<:6:18185dl3;?563>6=4={<1g5?23:27?894<5d9~w4b12909w0=k4;3g2>;4l80:i=5rs7394?4|5:n?6;?4=2fb>4273ty9?;4?:3y>7=`=9:o019h4<10;7p}=7b83>7}Y:>i0198>:35`?!531380;6?uQ295891062;2<7)=;9;04`>h4<1097p}=8783>7}Y:1<0198>:3:5?!531387)=;9;04`>h4<10?7p}=8583>7}Y:1>0198>:3:7?!53138h4<10=7p}=8383>7}Y:180198>:3:1?!53138h4<1037p}=8183>7}Y:1:0198>:3:3?!53138h4<10j7p}=7c83>7}Y:>h0198>:35a?!531383:1>vP76:?0ga<282.884475:l00=<73ty387>52z\;0>;4km0?i6*<488;1>h4<10:7p}73;297~X?;278oi4;d:?724<3l2.884475:l00=<53ty3>7>53z\;6>;4km0?n63;6087f>"4<00396`<4980?xu?83:1?vP70:?0ga<3127?:<4;9:&00<;3>80?46*<488;1>h4<10>7p}8e;297~X0m278oi4;7:?724<3?2.884475:l00=<13ty53z\4`>;4km0?:63;60872>"4<00396`<4984?xu0k3:1?vP8c:?0ga<3=27?:<4;5:&00<;3>80?86*<488;1>h4<1027p}8a;297~X0i278oi4;3:?724<3;2.884475:l00=53z\4=>;4km0?>63;60876>"4<00396`<498a?xu003:1?vP88:?0ga<3927?:<4;1:&00<;3>80?<6*<488;1>h4<10o7p}7e;297~X?m278oi4:8:?724<202.884475:l00=53z\;`>;4km0>;63;60863>"4<00396`<498e?xu?k3:1>vP7c:?724<2>2.884475:l00=<682wx4o4?:3y]:468 62>21?0b>:7:008yv>>2909wS66;<655?343-9?576:;o1752z\;<>;3>80>>6*<488;1>h4<10:86s|8683>7}Y0>168;?5509'71?=0<1e?965149~w=7=838pR5?4=542>1g<,:>265;4n26;>40 ]> - + diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt index aacc19b..0bb80de 100644 --- a/cpld/XC95144XL/WarpSE.rpt +++ b/cpld/XC95144XL/WarpSE.rpt @@ -1,7 +1,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 3-26-2023, 4:30AM +Design Name: WarpSE Date: 3-27-2023, 9:56AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -9,22 +9,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -118/144 ( 82%) 384 /720 ( 53%) 224/432 ( 52%) 93 /144 ( 65%) 71 /81 ( 88%) +119/144 ( 83%) 380 /720 ( 53%) 214/432 ( 50%) 94 /144 ( 65%) 71 /81 ( 88%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 21/54 25/90 11/11* -FB2 6/18 4/54 6/90 8/10 +FB1 18/18* 22/54 24/90 11/11* +FB2 5/18 3/54 5/90 8/10 FB3 9/18 34/54 82/90 10/10* -FB4 18/18* 31/54 34/90 10/10* -FB5 14/18 34/54 69/90 8/10 +FB4 18/18* 25/54 39/90 10/10* +FB5 17/18 30/54 60/90 8/10 FB6 18/18* 34/54 63/90 10/10* FB7 18/18* 31/54 34/90 8/10 -FB8 17/18 35/54 71/90 6/10 +FB8 16/18 35/54 73/90 6/10 ----- ----- ----- ----- - 118/144 224/432 384/720 71/81 + 119/144 214/432 380/720 71/81 * - Resource is exhausted @@ -51,7 +51,7 @@ GSR : 0 0 | ** Power Data ** -There are 118 macrocells in high performance mode (MCHP). +There are 119 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -118,40 +118,39 @@ RA<9> 2 3 FB7_11 56 I/O O STD FAST C25MEN 0 0 FB7_12 58 I/O O STD FAST C20MEN 0 0 FB7_14 59 I/O O STD FAST RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 0 0 FB8_5 64 I/O O STD FAST +nRAS 2 6 FB8_5 64 I/O O STD FAST nRAMLWE 1 4 FB8_6 65 I/O O STD FAST nRAMUWE 1 4 FB8_8 66 I/O O STD FAST nBERR_FSB 4 8 FB8_12 70 I/O O STD FAST RESET nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 82 Buried Nodes ** +** 83 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/RefUrg 1 2 FB1_1 STD RESET -ram/RefReqSync 1 1 FB1_2 STD RESET -ram/RefReq 1 2 FB1_3 STD RESET +ram/RegUrgSync 1 1 FB1_1 STD RESET +ram/RefUrg 1 2 FB1_2 STD RESET +ram/RefReqSync 1 1 FB1_3 STD RESET iobs/IOACTr 1 1 FB1_4 STD RESET -iobm/Er 1 1 FB1_5 STD RESET -iobm/DTACKrr 1 1 FB1_6 STD RESET -iobm/DTACKrf 1 1 FB1_7 STD RESET -iobm/BERRrr 1 1 FB1_8 STD RESET -iobm/BERRrf 1 1 FB1_9 STD RESET -cnt/nIPL2r 1 1 FB1_10 STD RESET -cnt/Er<0> 1 1 FB1_11 STD RESET -ALE0S 1 2 FB1_12 STD RESET -ram/RS_FSM_FFd1 2 3 FB1_13 STD RESET +iobm/Er2 1 1 FB1_5 STD RESET +iobm/Er 1 1 FB1_6 STD RESET +iobm/DTACKrr 1 1 FB1_7 STD RESET +iobm/DTACKrf 1 1 FB1_8 STD RESET +iobm/BERRrr 1 1 FB1_9 STD RESET +iobm/BERRrf 1 1 FB1_10 STD RESET +fsb/ASrf 1 1 FB1_11 STD RESET +cnt/nIPL2r 1 1 FB1_12 STD RESET +cnt/Er<0> 1 1 FB1_13 STD RESET iobs/IOU1 2 2 FB1_14 STD RESET iobs/IOL1 2 2 FB1_15 STD RESET iobm/IOS_FSM_FFd1 2 3 FB1_16 STD RESET ALE0M 2 4 FB1_17 STD RESET -ram/RefDone 3 5 FB1_18 STD RESET -iobm/VPArr 1 1 FB2_13 STD RESET -iobm/VPArf 1 1 FB2_14 STD RESET -iobm/RESrr 1 1 FB2_15 STD RESET -iobm/RESrf 1 1 FB2_16 STD RESET -iobm/IOREQr 1 1 FB2_17 STD RESET -iobm/Er2 1 1 FB2_18 STD RESET +IOU0 3 5 FB1_18 STD RESET +iobm/VPArr 1 1 FB2_14 STD RESET +iobm/VPArf 1 1 FB2_15 STD RESET +iobm/RESrr 1 1 FB2_16 STD RESET +iobm/RESrf 1 1 FB2_17 STD RESET +iobm/IOREQr 1 1 FB2_18 STD RESET IORW0 17 20 FB3_1 STD RESET fsb/VPA 16 26 FB3_6 STD RESET iobs/IORW1 16 19 FB3_11 STD RESET @@ -159,28 +158,31 @@ fsb/Ready1r 6 17 FB3_13 STD RESET cs/nOverlay 3 8 FB3_14 STD RESET IOREQ 13 19 FB3_16 STD RESET iobs/Clear1 1 3 FB3_18 STD RESET -ram/RegUrgSync 1 1 FB4_1 STD RESET -ram/BACTr 1 2 FB4_3 STD RESET -nRESout 1 2 FB4_4 STD RESET -fsb/ASrf 1 1 FB4_7 STD RESET -cnt/Er<1> 1 1 FB4_9 STD RESET -cnt/TimerTC 2 6 FB4_10 STD RESET -cnt/LTimer<3> 2 6 FB4_12 STD RESET -cnt/LTimer<2> 2 5 FB4_13 STD RESET -cnt/LTimer<1> 2 4 FB4_14 STD RESET +cnt/LTimer<0> 1 3 FB4_1 STD RESET +cnt/INITS_FSM_FFd1 1 7 FB4_3 STD RESET +cnt/Er<1> 1 1 FB4_4 STD RESET +cnt/TimerTC 2 6 FB4_7 STD RESET +cnt/Timer<0> 2 4 FB4_9 STD RESET +cnt/LTimer<3> 2 6 FB4_10 STD RESET +cnt/LTimer<2> 2 5 FB4_12 STD RESET +cnt/LTimer<1> 2 4 FB4_13 STD RESET +cnt/INITS_FSM_FFd2 2 6 FB4_14 STD RESET +RefReq 2 5 FB4_15 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -RefReq 2 5 FB4_15 STD RESET -IOU0 3 5 FB4_16 STD RESET -IOL0 3 5 FB4_17 STD RESET +cnt/Timer<1> 4 5 FB4_16 STD RESET +cnt/Timer<2> 5 6 FB4_17 STD RESET RefUrg 5 7 FB4_18 STD RESET -iobs/Load1 14 18 FB5_3 STD RESET -iobs/PS_FSM_FFd2 12 19 FB5_7 STD RESET -ram/RS_FSM_FFd2 8 10 FB5_8 STD RESET -iobs/PS_FSM_FFd1 2 3 FB5_10 STD RESET -iobs/IOReady 4 8 FB5_16 STD RESET -iobs/Once 15 18 FB5_17 STD RESET +ram/RAMEN 9 12 FB5_3 STD RESET +ram/RAMReady 9 12 FB5_4 STD RESET +ram/RS_FSM_FFd2 7 11 FB5_7 STD RESET +fsb/Ready0r 2 7 FB5_8 STD RESET +ram/RefDone 2 4 FB5_10 STD RESET +ram/BACTr 1 2 FB5_13 STD RESET +ram/RefRAS 1 2 FB5_16 STD RESET +ram/RefReq 1 2 FB5_17 STD RESET +ram/RASEL 14 12 FB5_18 STD RESET iobm/ETACK 1 6 FB6_1 STD RESET iobm/IOS_FSM_FFd3 3 6 FB6_3 STD RESET iobm/ES<3> 3 6 FB6_4 STD RESET @@ -202,20 +204,19 @@ cnt/LTimer<4> 2 7 FB7_15 STD RESET cnt/LTimer<12> 2 15 FB7_16 STD RESET cnt/LTimer<11> 2 14 FB7_17 STD RESET cnt/LTimer<10> 2 13 FB7_18 STD RESET -ram/RASEL 14 12 FB8_1 STD RESET -cnt/Timer<2> 5 6 FB8_3 STD RESET -cnt/Timer<0> 2 4 FB8_4 STD RESET -cnt/INITS_FSM_FFd2 2 6 FB8_7 STD RESET -fsb/Ready0r 2 7 FB8_9 STD RESET -cnt/Timer<1> 4 5 FB8_10 STD RESET -ram/RAMReady 9 12 FB8_11 STD RESET -ram/RAMEN 9 12 FB8_14 STD RESET -ram/RS_FSM_FFd3 13 12 FB8_16 STD RESET +iobs/Load1 14 18 FB8_3 STD RESET +iobs/IOReady 4 8 FB8_4 STD RESET +iobs/PS_FSM_FFd2 12 19 FB8_7 STD RESET +ram/RS_FSM_FFd3 7 10 FB8_9 STD RESET +IOL0 3 5 FB8_10 STD RESET +ram/RS_FSM_FFd1 3 9 FB8_11 STD RESET +iobs/PS_FSM_FFd1 2 3 FB8_13 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cnt/INITS_FSM_FFd1 1 7 FB8_17 STD RESET -cnt/LTimer<0> 1 3 FB8_18 STD RESET +ALE0S 1 2 FB8_14 STD RESET +nRESout 1 2 FB8_16 STD RESET +iobs/Once 15 18 FB8_17 STD RESET ** 35 Inputs ** @@ -273,63 +274,64 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 21/33 -Number of signals used by logic mapping into function block: 21 +Number of function block inputs used/remaining: 22/32 +Number of signals used by logic mapping into function block: 22 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RefUrg 1 0 0 4 FB1_1 (b) (b) -ram/RefReqSync 1 0 0 4 FB1_2 11 I/O I -ram/RefReq 1 0 0 4 FB1_3 12 I/O I +ram/RegUrgSync 1 0 0 4 FB1_1 (b) (b) +ram/RefUrg 1 0 0 4 FB1_2 11 I/O I +ram/RefReqSync 1 0 0 4 FB1_3 12 I/O I iobs/IOACTr 1 0 0 4 FB1_4 (b) (b) -iobm/Er 1 0 0 4 FB1_5 13 I/O I -iobm/DTACKrr 1 0 0 4 FB1_6 14 I/O I -iobm/DTACKrf 1 0 0 4 FB1_7 (b) (b) -iobm/BERRrr 1 0 0 4 FB1_8 15 I/O I -iobm/BERRrf 1 0 0 4 FB1_9 16 I/O I -cnt/nIPL2r 1 0 0 4 FB1_10 (b) (b) -cnt/Er<0> 1 0 0 4 FB1_11 17 I/O I -ALE0S 1 0 0 4 FB1_12 18 I/O I -ram/RS_FSM_FFd1 2 0 0 3 FB1_13 (b) (b) +iobm/Er2 1 0 0 4 FB1_5 13 I/O I +iobm/Er 1 0 0 4 FB1_6 14 I/O I +iobm/DTACKrr 1 0 0 4 FB1_7 (b) (b) +iobm/DTACKrf 1 0 0 4 FB1_8 15 I/O I +iobm/BERRrr 1 0 0 4 FB1_9 16 I/O I +iobm/BERRrf 1 0 0 4 FB1_10 (b) (b) +fsb/ASrf 1 0 0 4 FB1_11 17 I/O I +cnt/nIPL2r 1 0 0 4 FB1_12 18 I/O I +cnt/Er<0> 1 0 0 4 FB1_13 (b) (b) iobs/IOU1 2 0 0 3 FB1_14 19 I/O I iobs/IOL1 2 0 0 3 FB1_15 20 I/O I iobm/IOS_FSM_FFd1 2 0 0 3 FB1_16 (b) (b) ALE0M 2 0 0 3 FB1_17 22 GCK/I/O GCK -ram/RefDone 3 0 0 2 FB1_18 (b) (b) +IOU0 3 0 0 2 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: E 8: iobs/Load1 15: nUDS_FSB - 2: IOACT 9: iobs/PS_FSM_FFd1 16: ram/RS_FSM_FFd1 - 3: RefReq 10: iobs/PS_FSM_FFd2 17: ram/RS_FSM_FFd2 - 4: iobm/IOREQr 11: nBERR_IOB 18: ram/RS_FSM_FFd3 - 5: iobm/IOS_FSM_FFd1 12: nDTACK_IOB 19: ram/RefDone - 6: iobm/IOS_FSM_FFd2 13: nIPL2 20: ram/RefReqSync - 7: iobm/IOS_FSM_FFd3 14: nLDS_FSB 21: ram/RegUrgSync + 1: E 9: iobm/IOS_FSM_FFd3 16: nBERR_IOB + 2: IOACT 10: iobs/IOU1 17: nDTACK_IOB + 3: RefReq 11: iobs/Load1 18: nIPL2 + 4: RefUrg 12: iobs/PS_FSM_FFd1 19: nLDS_FSB + 5: iobm/Er 13: iobs/PS_FSM_FFd2 20: nUDS_FSB + 6: iobm/IOREQr 14: nADoutLE1 21: ram/RefDone + 7: iobm/IOS_FSM_FFd1 15: nAS_FSB 22: ram/RegUrgSync + 8: iobm/IOS_FSM_FFd2 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RefUrg ..................X.X................... 2 +ram/RegUrgSync ...X.................................... 1 +ram/RefUrg ....................XX.................. 2 ram/RefReqSync ..X..................................... 1 -ram/RefReq ..................XX.................... 2 iobs/IOACTr .X...................................... 1 +iobm/Er2 ....X................................... 1 iobm/Er X....................................... 1 -iobm/DTACKrr ...........X............................ 1 -iobm/DTACKrf ...........X............................ 1 -iobm/BERRrr ..........X............................. 1 -iobm/BERRrf ..........X............................. 1 -cnt/nIPL2r ............X........................... 1 +iobm/DTACKrr ................X....................... 1 +iobm/DTACKrf ................X....................... 1 +iobm/BERRrr ...............X........................ 1 +iobm/BERRrf ...............X........................ 1 +fsb/ASrf ..............X......................... 1 +cnt/nIPL2r .................X...................... 1 cnt/Er<0> X....................................... 1 -ALE0S ........XX.............................. 2 -ram/RS_FSM_FFd1 ...............XXX...................... 3 -iobs/IOU1 .......X......X......................... 2 -iobs/IOL1 .......X.....X.......................... 2 -iobm/IOS_FSM_FFd1 ....XXX................................. 3 -ALE0M ...XXXX................................. 4 -ram/RefDone ...............XXXXX.................... 5 +iobs/IOU1 ..........X........X.................... 2 +iobs/IOL1 ..........X.......X..................... 2 +iobm/IOS_FSM_FFd1 ......XXX............................... 3 +ALE0M .....XXXX............................... 4 +IOU0 .........X.XXX.....X.................... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 4/50 -Number of signals used by logic mapping into function block: 4 +Number of function block inputs used/remaining: 3/51 +Number of signals used by logic mapping into function block: 3 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -344,25 +346,23 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_10 (b) (unused) 0 0 0 5 FB2_11 6 I/O I (unused) 0 0 0 5 FB2_12 7 I/O I -iobm/VPArr 1 0 0 4 FB2_13 (b) (b) -iobm/VPArf 1 0 0 4 FB2_14 8 I/O I -iobm/RESrr 1 0 0 4 FB2_15 9 I/O I -iobm/RESrf 1 0 0 4 FB2_16 (b) (b) -iobm/IOREQr 1 0 0 4 FB2_17 10 I/O I -iobm/Er2 1 0 0 4 FB2_18 (b) (b) +(unused) 0 0 0 5 FB2_13 (b) +iobm/VPArr 1 0 0 4 FB2_14 8 I/O I +iobm/VPArf 1 0 0 4 FB2_15 9 I/O I +iobm/RESrr 1 0 0 4 FB2_16 (b) (b) +iobm/RESrf 1 0 0 4 FB2_17 10 I/O I +iobm/IOREQr 1 0 0 4 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: IOREQ 3: iobm/Er 4: nVPA_IOB - 2: nRES.PIN + 1: IOREQ 2: nRES.PIN 3: nVPA_IOB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr ...X.................................... 1 -iobm/VPArf ...X.................................... 1 +iobm/VPArr ..X..................................... 1 +iobm/VPArf ..X..................................... 1 iobm/RESrr .X...................................... 1 iobm/RESrf .X...................................... 1 iobm/IOREQr X....................................... 1 -iobm/Er2 ..X..................................... 1 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** @@ -417,118 +417,117 @@ iobs/Clear1 ...........................XXX.......... 3 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 31/23 -Number of signals used by logic mapping into function block: 31 +Number of function block inputs used/remaining: 25/29 +Number of signals used by logic mapping into function block: 25 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RegUrgSync 1 0 0 4 FB4_1 (b) (b) +cnt/LTimer<0> 1 0 0 4 FB4_1 (b) (b) nAoutOE 2 0 0 3 FB4_2 87 I/O O -ram/BACTr 1 0 0 4 FB4_3 (b) (b) -nRESout 1 0 0 4 FB4_4 (b) (b) +cnt/INITS_FSM_FFd1 1 0 0 4 FB4_3 (b) (b) +cnt/Er<1> 1 0 0 4 FB4_4 (b) (b) nDoutOE 1 0 0 4 FB4_5 89 I/O O nDinOE 3 0 0 2 FB4_6 90 I/O O -fsb/ASrf 1 0 0 4 FB4_7 (b) (b) +cnt/TimerTC 2 0 0 3 FB4_7 (b) (b) nRES 1 0 0 4 FB4_8 91 I/O I/O -cnt/Er<1> 1 0 0 4 FB4_9 92 I/O I -cnt/TimerTC 2 0 0 3 FB4_10 (b) (b) +cnt/Timer<0> 2 0 0 3 FB4_9 92 I/O I +cnt/LTimer<3> 2 0 0 3 FB4_10 (b) (b) nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/LTimer<3> 2 0 0 3 FB4_12 94 I/O I -cnt/LTimer<2> 2 0 0 3 FB4_13 (b) (b) -cnt/LTimer<1> 2 0 0 3 FB4_14 95 I/O I +cnt/LTimer<2> 2 0 0 3 FB4_12 94 I/O I +cnt/LTimer<1> 2 0 0 3 FB4_13 (b) (b) +cnt/INITS_FSM_FFd2 2 0 0 3 FB4_14 95 I/O I RefReq 2 0 0 3 FB4_15 96 I/O I -IOU0 3 0 0 2 FB4_16 (b) (b) -IOL0 3 0 0 2 FB4_17 97 I/O I +cnt/Timer<1> 4 0 0 1 FB4_16 (b) (b) +cnt/Timer<2> 5 0 0 0 FB4_17 97 I/O I RefUrg 5 0 0 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 12: cnt/LTimer<2> 22: iobs/PS_FSM_FFd1 - 2: A_FSB<21> 13: cnt/Timer<0> 23: iobs/PS_FSM_FFd2 - 3: A_FSB<22> 14: cnt/Timer<1> 24: nADoutLE1 - 4: A_FSB<23> 15: cnt/Timer<2> 25: nAS_FSB - 5: RefUrg 16: cnt/TimerTC 26: nAoutOE - 6: cnt/Er<0> 17: fsb/ASrf 27: nBR_IOB - 7: cnt/Er<1> 18: fsb/VPA 28: nLDS_FSB - 8: cnt/INITS_FSM_FFd1 19: iobm/DoutOE 29: nRESout - 9: cnt/INITS_FSM_FFd2 20: iobs/IOL1 30: nUDS_FSB - 10: cnt/LTimer<0> 21: iobs/IOU1 31: nWE_FSB - 11: cnt/LTimer<1> + 1: A_FSB<20> 10: cnt/LTimer<0> 18: cnt/nIPL2r + 2: A_FSB<21> 11: cnt/LTimer<1> 19: fsb/VPA + 3: A_FSB<22> 12: cnt/LTimer<2> 20: iobm/DoutOE + 4: A_FSB<23> 13: cnt/LTimerTC 21: nAS_FSB + 5: RefUrg 14: cnt/Timer<0> 22: nAoutOE + 6: cnt/Er<0> 15: cnt/Timer<1> 23: nBR_IOB + 7: cnt/Er<1> 16: cnt/Timer<2> 24: nRESout + 8: cnt/INITS_FSM_FFd1 17: cnt/TimerTC 25: nWE_FSB + 9: cnt/INITS_FSM_FFd2 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RegUrgSync ....X................................... 1 -nAoutOE .......XX................XX............. 4 -ram/BACTr ................X.......X............... 2 -nRESout .......XX............................... 2 -nDoutOE ..................X......X.............. 2 -nDinOE XXXX....................X.....X......... 6 -fsb/ASrf ........................X............... 1 -nRES ............................X........... 1 +cnt/LTimer<0> .....XX.........X....................... 3 +nAoutOE .......XX............XX................. 4 +cnt/INITS_FSM_FFd1 .....XXXX...X...XX...................... 7 cnt/Er<1> .....X.................................. 1 -cnt/TimerTC ....XXX.....XXX......................... 6 -nVPA_FSB .................X......X............... 2 -cnt/LTimer<3> .....XX..XXX...X........................ 6 -cnt/LTimer<2> .....XX..XX....X........................ 5 -cnt/LTimer<1> .....XX..X.....X........................ 4 -RefReq ....XXX......XX......................... 5 -IOU0 ....................XXXX.....X.......... 5 -IOL0 ...................X.XXX...X............ 5 -RefUrg ....XXX.....XXXX........................ 7 +nDoutOE ...................X.X.................. 2 +nDinOE XXXX................X...X............... 6 +cnt/TimerTC ....XXX......XXX........................ 6 +nRES .......................X................ 1 +cnt/Timer<0> .....XX......X..X....................... 4 +cnt/LTimer<3> .....XX..XXX....X....................... 6 +nVPA_FSB ..................X.X................... 2 +cnt/LTimer<2> .....XX..XX.....X....................... 5 +cnt/LTimer<1> .....XX..X......X....................... 4 +cnt/INITS_FSM_FFd2 .....XXXX...X...X....................... 6 +RefReq ....XXX.......XX........................ 5 +cnt/Timer<1> .....XX......XX.X....................... 5 +cnt/Timer<2> .....XX......XXXX....................... 6 +RefUrg ....XXX......XXXX....................... 7 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/1 4 FB5_1 (b) (b) -nROMCS 2 1<- \/4 0 FB5_2 35 I/O O -iobs/Load1 14 9<- 0 0 FB5_3 (b) (b) -(unused) 0 0 /\5 0 FB5_4 (b) (b) -nCAS 1 0 \/1 3 FB5_5 36 I/O O -nOE 1 1<- \/5 0 FB5_6 37 I/O O -iobs/PS_FSM_FFd2 12 7<- 0 0 FB5_7 (b) (b) -ram/RS_FSM_FFd2 8 5<- /\2 0 FB5_8 39 I/O (b) -RA<4> 2 2<- /\5 0 FB5_9 40 I/O O -iobs/PS_FSM_FFd1 2 0 /\2 1 FB5_10 (b) (b) +(unused) 0 0 /\5 0 FB5_1 (b) (b) +nROMCS 2 0 \/3 0 FB5_2 35 I/O O +ram/RAMEN 9 4<- 0 0 FB5_3 (b) (b) +ram/RAMReady 9 5<- /\1 0 FB5_4 (b) (b) +nCAS 1 1<- /\5 0 FB5_5 36 I/O O +nOE 1 0 /\1 3 FB5_6 37 I/O O +ram/RS_FSM_FFd2 7 2<- 0 0 FB5_7 (b) (b) +fsb/Ready0r 2 0 /\2 1 FB5_8 39 I/O (b) +RA<4> 2 0 0 3 FB5_9 40 I/O O +ram/RefDone 2 0 0 3 FB5_10 (b) (b) RA<3> 2 0 0 3 FB5_11 41 I/O O RA<5> 2 0 0 3 FB5_12 42 I/O O -(unused) 0 0 0 5 FB5_13 (b) -RA<2> 2 0 \/1 2 FB5_14 43 I/O O -RA<6> 2 1<- \/4 0 FB5_15 46 I/O O -iobs/IOReady 4 4<- \/5 0 FB5_16 (b) (b) -iobs/Once 15 10<- 0 0 FB5_17 49 I/O (b) -(unused) 0 0 /\5 0 FB5_18 (b) (b) +ram/BACTr 1 0 0 4 FB5_13 (b) (b) +RA<2> 2 0 0 3 FB5_14 43 I/O O +RA<6> 2 0 0 3 FB5_15 46 I/O O +ram/RefRAS 1 0 0 4 FB5_16 (b) (b) +ram/RefReq 1 0 \/4 0 FB5_17 49 I/O (b) +ram/RASEL 14 9<- 0 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<12> 13: A_FSB<3> 24: iobs/PS_FSM_FFd1 - 2: A_FSB<13> 14: A_FSB<4> 25: iobs/PS_FSM_FFd2 - 3: A_FSB<14> 15: A_FSB<5> 26: nADoutLE1 - 4: A_FSB<15> 16: A_FSB<6> 27: nAS_FSB - 5: A_FSB<16> 17: A_FSB<7> 28: nWE_FSB - 6: A_FSB<17> 18: IOBERR 29: ram/RAMEN - 7: A_FSB<18> 19: cs/nOverlay 30: ram/RASEL - 8: A_FSB<19> 20: fsb/ASrf 31: ram/RS_FSM_FFd1 - 9: A_FSB<20> 21: iobs/IOACTr 32: ram/RS_FSM_FFd2 - 10: A_FSB<21> 22: iobs/IOReady 33: ram/RS_FSM_FFd3 - 11: A_FSB<22> 23: iobs/Once 34: ram/RefUrg - 12: A_FSB<23> + 1: A_FSB<12> 11: A_FSB<4> 21: ram/RAMEN + 2: A_FSB<13> 12: A_FSB<5> 22: ram/RAMReady + 3: A_FSB<14> 13: A_FSB<6> 23: ram/RASEL + 4: A_FSB<15> 14: A_FSB<7> 24: ram/RS_FSM_FFd1 + 5: A_FSB<16> 15: cs/nOverlay 25: ram/RS_FSM_FFd2 + 6: A_FSB<20> 16: fsb/ASrf 26: ram/RS_FSM_FFd3 + 7: A_FSB<21> 17: fsb/Ready0r 27: ram/RefDone + 8: A_FSB<22> 18: nAS_FSB 28: ram/RefReq + 9: A_FSB<23> 19: nWE_FSB 29: ram/RefReqSync + 10: A_FSB<3> 20: ram/BACTr 30: ram/RefUrg Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nROMCS ........XXXX......X..................... 5 -iobs/Load1 .XX.XXXXXXXX......XX..XXXXXX............ 18 -nCAS .............................X.......... 1 -nOE ..........................XX............ 2 -iobs/PS_FSM_FFd2 .XX.XXXXXXXX......XXX.XXXXXX............ 19 -ram/RS_FSM_FFd2 ..........XX......XX......X.X.XXXX...... 10 -RA<4> ..X...........X..............X.......... 3 -iobs/PS_FSM_FFd1 ....................X..XX............... 3 -RA<3> .X...........X...............X.......... 3 -RA<5> ...X...........X.............X.......... 3 -RA<2> X...........X................X.......... 3 -RA<6> ....X...........X............X.......... 3 -iobs/IOReady .................X.XXXX.XXX............. 8 -iobs/Once .XX.XXXXXXXX......XX..XXXXXX............ 18 +nROMCS .....XXXX.....X......................... 5 +ram/RAMEN .......XX.....XX.X.XX..XXX.X.X.......... 12 +ram/RAMReady .......XX.....XX.X.XX..XXX.X.X.......... 12 +nCAS ......................X................. 1 +nOE .................XX..................... 2 +ram/RS_FSM_FFd2 .......XX.....XX.X.X...XXX.X.X.......... 11 +fsb/Ready0r .......XX.....XXXX...X.................. 7 +RA<4> ..X........X..........X................. 3 +ram/RefDone .......................XX.X.X........... 4 +RA<3> .X........X...........X................. 3 +RA<5> ...X........X.........X................. 3 +ram/BACTr ...............X.X...................... 2 +RA<2> X........X............X................. 3 +RA<6> ....X........X........X................. 3 +ram/RefRAS .......................XX............... 2 +ram/RefReq ..........................X.X........... 2 +ram/RASEL .......XX.....XX.X.XX..XXX.X.X.......... 12 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** @@ -655,58 +654,57 @@ Number of function block inputs used/remaining: 35/19 Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RASEL 14 9<- 0 0 FB8_1 (b) (b) -RA<11> 1 1<- /\5 0 FB8_2 63 I/O O -cnt/Timer<2> 5 1<- /\1 0 FB8_3 (b) (b) -cnt/Timer<0> 2 0 /\1 2 FB8_4 (b) (b) -nRAS 0 0 0 5 FB8_5 64 I/O O -nRAMLWE 1 0 0 4 FB8_6 65 I/O O -cnt/INITS_FSM_FFd2 2 0 0 3 FB8_7 (b) (b) -nRAMUWE 1 0 0 4 FB8_8 66 I/O O -fsb/Ready0r 2 0 \/2 1 FB8_9 67 I/O (b) -cnt/Timer<1> 4 2<- \/3 0 FB8_10 (b) (b) -ram/RAMReady 9 4<- 0 0 FB8_11 68 I/O (b) -nBERR_FSB 4 0 /\1 0 FB8_12 70 I/O O -(unused) 0 0 \/5 0 FB8_13 (b) (b) -ram/RAMEN 9 5<- \/1 0 FB8_14 71 I/O (b) -nBR_IOB 2 1<- \/4 0 FB8_15 72 I/O O -ram/RS_FSM_FFd3 13 8<- 0 0 FB8_16 (b) (b) -cnt/INITS_FSM_FFd1 1 0 /\4 0 FB8_17 73 I/O (b) -cnt/LTimer<0> 1 0 \/4 0 FB8_18 (b) (b) +(unused) 0 0 \/1 4 FB8_1 (b) (b) +RA<11> 1 1<- \/5 0 FB8_2 63 I/O O +iobs/Load1 14 9<- 0 0 FB8_3 (b) (b) +iobs/IOReady 4 3<- /\4 0 FB8_4 (b) (b) +nRAS 2 0 /\3 0 FB8_5 64 I/O O +nRAMLWE 1 0 \/4 0 FB8_6 65 I/O O +iobs/PS_FSM_FFd2 12 7<- 0 0 FB8_7 (b) (b) +nRAMUWE 1 0 /\3 1 FB8_8 66 I/O O +ram/RS_FSM_FFd3 7 2<- 0 0 FB8_9 67 I/O (b) +IOL0 3 0 /\2 0 FB8_10 (b) (b) +ram/RS_FSM_FFd1 3 0 0 2 FB8_11 68 I/O (b) +nBERR_FSB 4 0 0 1 FB8_12 70 I/O O +iobs/PS_FSM_FFd1 2 0 0 3 FB8_13 (b) (b) +ALE0S 1 0 0 4 FB8_14 71 I/O (b) +nBR_IOB 2 0 \/1 2 FB8_15 72 I/O O +nRESout 1 1<- \/5 0 FB8_16 (b) (b) +iobs/Once 15 10<- 0 0 FB8_17 73 I/O (b) +(unused) 0 0 /\5 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<19> 13: cnt/TimerTC 25: nLDS_FSB - 2: A_FSB<22> 14: cnt/nIPL2r 26: nUDS_FSB - 3: A_FSB<23> 15: cs/nOverlay 27: nWE_FSB - 4: IOBERR 16: fsb/ASrf 28: ram/BACTr - 5: cnt/Er<0> 17: fsb/Ready0r 29: ram/RAMEN - 6: cnt/Er<1> 18: iobs/IOACTr 30: ram/RAMReady - 7: cnt/INITS_FSM_FFd1 19: iobs/Once 31: ram/RS_FSM_FFd1 - 8: cnt/INITS_FSM_FFd2 20: iobs/PS_FSM_FFd2 32: ram/RS_FSM_FFd2 - 9: cnt/LTimerTC 21: nADoutLE1 33: ram/RS_FSM_FFd3 - 10: cnt/Timer<0> 22: nAS_FSB 34: ram/RefReq - 11: cnt/Timer<1> 23: nBERR_FSB 35: ram/RefUrg - 12: cnt/Timer<2> 24: nBR_IOB + 1: A_FSB<13> 13: cnt/INITS_FSM_FFd2 25: nBERR_FSB + 2: A_FSB<14> 14: cnt/nIPL2r 26: nBR_IOB + 3: A_FSB<16> 15: cs/nOverlay 27: nLDS_FSB + 4: A_FSB<17> 16: fsb/ASrf 28: nUDS_FSB + 5: A_FSB<18> 17: iobs/IOACTr 29: nWE_FSB + 6: A_FSB<19> 18: iobs/IOL1 30: ram/RAMEN + 7: A_FSB<20> 19: iobs/IOReady 31: ram/RS_FSM_FFd1 + 8: A_FSB<21> 20: iobs/Once 32: ram/RS_FSM_FFd2 + 9: A_FSB<22> 21: iobs/PS_FSM_FFd1 33: ram/RS_FSM_FFd3 + 10: A_FSB<23> 22: iobs/PS_FSM_FFd2 34: ram/RefRAS + 11: IOBERR 23: nADoutLE1 35: ram/RefUrg + 12: cnt/INITS_FSM_FFd1 24: nAS_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL .XX...........XX.....X.....XX.XXXXX..... 12 -RA<11> X....................................... 1 -cnt/Timer<2> ....XX...XXXX........................... 6 -cnt/Timer<0> ....XX...X..X........................... 4 -nRAS ........................................ 0 -nRAMLWE .....................X..X.X.X........... 4 -cnt/INITS_FSM_FFd2 ....XXXXX...X........................... 6 -nRAMUWE .....................X...XX.X........... 4 -fsb/Ready0r .XX...........XXX....X.......X.......... 7 -cnt/Timer<1> ....XX...XX.X........................... 5 -ram/RAMReady .XX...........XX.....X.....XX.XXXXX..... 12 -nBERR_FSB ...X...........X.XXXXXX................. 8 -ram/RAMEN .XX...........XX.....X.....XX.XXXXX..... 12 -nBR_IOB ......XX.....X.........X................ 4 -ram/RS_FSM_FFd3 .XX...........XX.....X.....XX.XXXXX..... 12 -cnt/INITS_FSM_FFd1 ....XXXXX...XX.......................... 7 -cnt/LTimer<0> ....XX......X........................... 3 +RA<11> .....X.................................. 1 +iobs/Load1 XXXXXXXXXX....XX...XXXXX....X........... 18 +iobs/IOReady ..........X....XX.XX.XXX................ 8 +nRAS ........XX....X........X.....X...X...... 6 +nRAMLWE .......................X..X.XX.......... 4 +iobs/PS_FSM_FFd2 XXXXXXXXXX....XXX..XXXXX....X........... 19 +nRAMUWE .......................X...XXX.......... 4 +ram/RS_FSM_FFd3 ........XX....XX.......X.....XXXX.X..... 10 +IOL0 .................X..XXX...X............. 5 +ram/RS_FSM_FFd1 ........XX....XX.......X.....XXXX....... 9 +nBERR_FSB ..........X....XX..X.XXXX............... 8 +iobs/PS_FSM_FFd1 ................X...XX.................. 3 +ALE0S ....................XX.................. 2 +nBR_IOB ...........XXX...........X.............. 4 +nRESout ...........XX........................... 2 +iobs/Once XXXXXXXXXX....XX...XXXXX....X........... 18 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -748,8 +746,6 @@ C25MEN <= '1'; - - @@ -884,8 +880,8 @@ RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) OR (ram/RASEL AND A_FSB(6))); -RA(6) <= ((ram/RASEL AND A_FSB(7)) - OR (A_FSB(16) AND NOT ram/RASEL)); +RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); RA(7) <= ((A_FSB(8) AND ram/RASEL) @@ -1015,15 +1011,15 @@ cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1)); -cnt/Timer_D(1) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(1)) - OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/Timer(0) AND cnt/Timer(1))); +cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1)) + OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1)) + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2)); -cnt/Timer_D(2) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) - OR (NOT cnt/Timer(0) AND NOT cnt/Timer(2)) +cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2)) OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); @@ -1222,13 +1218,13 @@ iobs/IORW1_T <= ((iobs/Once) OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,FCLK,'0','0'); -iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND +iobs/IOReady_T <= ((iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); + NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1) + OR (iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); @@ -1237,11 +1233,11 @@ iobs/Load1_D <= ((iobs/Once) OR (NOT nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22)) OR (nAS_FSB AND NOT fsb/ASrf) @@ -1301,14 +1297,14 @@ iobs/PS_FSM_FFd2_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) @@ -1339,19 +1335,19 @@ nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND NOT nAoutOE)); FTCPE_nBERR_FSB: FTCPE port map (nBERR_FSB,nBERR_FSB_T,FCLK,'0','0'); -nBERR_FSB_T <= ((NOT nBERR_FSB AND nAS_FSB AND NOT fsb/ASrf) +nBERR_FSB_T <= ((nAS_FSB AND NOT nBERR_FSB AND NOT fsb/ASrf) OR (iobs/Once AND NOT nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) - OR (iobs/Once AND nBERR_FSB AND NOT nAS_FSB AND + OR (iobs/Once AND NOT nAS_FSB AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) OR (iobs/Once AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); -nBR_IOB_T <= ((NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND - cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r) - OR (nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND - NOT cnt/INITS_FSM_FFd2)); +nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND + NOT cnt/INITS_FSM_FFd2) + OR (NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND + cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0'); @@ -1384,9 +1380,9 @@ FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); -nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) +nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB)); + OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE)); @@ -1409,7 +1405,9 @@ nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND NOT nAS_FSB AND ram/RAMEN)); nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); -nRAS <= '1'; +nRAS <= NOT (((ram/RefRAS) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RAMEN))); nRES_I <= '0'; @@ -1420,8 +1418,8 @@ FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); nRESout_D <= (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2); -nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); +nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay))); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); @@ -1449,13 +1447,13 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND ram/RAMEN) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RAMEN) - OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN) + OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN) OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND ram/BACTr) OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND @@ -1466,24 +1464,24 @@ ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN) NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf)); FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0'); -ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) + OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND NOT ram/RefUrg) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); @@ -1505,69 +1503,59 @@ ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (NOT nAS_FSB AND ram/RS_FSM_FFd1) - OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2) - OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd1 AND NOT ram/RefUrg) - OR (ram/RS_FSM_FFd1 AND fsb/ASrf)); + OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg) + OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf)); -FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,'0','0'); -ram/RS_FSM_FFd1_D <= ((ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2)); +FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0'); +ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND + fsb/ASrf)); -FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,'0','0'); -ram/RS_FSM_FFd2_D <= ((ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RefUrg AND - fsb/ASrf) +FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0'); +ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RefUrg AND NOT fsb/ASrf) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND + ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND + NOT ram/RefReq) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg AND - fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND - fsb/ASrf) - OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - ram/RefUrg)); + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0'); -ram/RS_FSM_FFd3_T <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND - fsb/ASrf) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - ram/RS_FSM_FFd3) - OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND +ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) + OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN) + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)); + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND ram/RefUrg) + OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND ram/RefUrg AND fsb/ASrf)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync) - OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND ram/RefReqSync) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - ram/RS_FSM_FFd3 AND ram/RefReqSync)); + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + ram/RefReqSync)); + +FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0'); +ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync); diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index c41e17d..0641438 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -152,13 +152,12 @@ Unit synthesized. Synthesizing Unit . Related source file is "../RAM.v". -WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. Found finite state machine for signal . ----------------------------------------------------------------------- | States | 8 | | Transitions | 21 | | Inputs | 6 | - | Outputs | 8 | + | Outputs | 9 | | Clock | CLK (rising_edge) | | Power Up State | 000 | | Encoding | automatic | @@ -170,13 +169,14 @@ WARNING:Xst:646 - Signal is assigned but never used. This unconnected s Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). - inferred 9 D-type flip-flop(s). + inferred 10 D-type flip-flop(s). Unit synthesized. @@ -322,8 +322,8 @@ Macro Statistics 13-bit up counter : 1 4-bit up counter : 1 5-bit up counter : 1 -# Registers : 60 - 1-bit register : 59 +# Registers : 61 + 1-bit register : 60 2-bit register : 1 # Tristates : 5 1-bit tristate buffer : 5 @@ -369,18 +369,18 @@ Optimizing FSM on signal with johnson encoding. 01 | 10 ------------------- Analyzing FSM for best encoding. -Optimizing FSM on signal with sequential encoding. +Optimizing FSM on signal with user encoding. ------------------- State | Encoding ------------------- 000 | 000 - 010 | 001 - 001 | 010 - 101 | 011 - 011 | 100 - 100 | 101 - 111 | 110 - 110 | 111 + 010 | 010 + 001 | 001 + 101 | 101 + 011 | 011 + 100 | 100 + 111 | 111 + 110 | 110 ------------------- ========================================================================= @@ -392,8 +392,8 @@ Macro Statistics 13-bit up counter : 1 4-bit up counter : 1 5-bit up counter : 1 -# Registers : 47 - Flip-Flops : 47 +# Registers : 48 + Flip-Flops : 48 ========================================================================= @@ -410,6 +410,7 @@ Optimizing unit ... implementation constraint: INIT=r : RAMEN implementation constraint: INIT=r : RAMReady implementation constraint: INIT=r : RASEL + implementation constraint: INIT=r : RefRAS implementation constraint: INIT=r : RS_FSM_FFd1 implementation constraint: INIT=r : RS_FSM_FFd2 implementation constraint: INIT=r : RS_FSM_FFd3 @@ -469,22 +470,22 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 571 -# AND2 : 172 -# AND3 : 27 +# BELS : 590 +# AND2 : 184 +# AND3 : 25 # AND4 : 7 -# AND5 : 3 -# AND6 : 2 +# AND5 : 2 +# AND6 : 3 # AND8 : 3 # GND : 6 -# INV : 226 +# INV : 234 # OR2 : 97 -# OR3 : 4 +# OR3 : 7 # OR4 : 1 -# VCC : 3 -# XOR2 : 20 -# FlipFlops/Latches : 93 -# FD : 56 +# VCC : 2 +# XOR2 : 19 +# FlipFlops/Latches : 94 +# FD : 57 # FDCE : 37 # IO Buffers : 71 # IBUF : 35 @@ -495,13 +496,13 @@ Cell Usage : Total REAL time to Xst completion: 5.00 secs -Total CPU time to Xst completion: 4.98 secs +Total CPU time to Xst completion: 5.10 secs --> -Total memory usage is 264516 kilobytes +Total memory usage is 263684 kilobytes Number of errors : 0 ( 0 filtered) -Number of warnings : 5 ( 0 filtered) +Number of warnings : 4 ( 0 filtered) Number of infos : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index a7258c5..56b2ee5 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -8,433 +8,433 @@ NETWORK | WarpSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 9417 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<9> | 9653 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 9418 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<8> | 9654 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 9419 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<15> | 9655 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 9420 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 9656 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 9421 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<13> | 9657 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 9422 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<12> | 9658 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 9423 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 9659 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 9424 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<10> | 9660 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 9425 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 9661 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 9426 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 9662 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 9427 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 9663 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 9428 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 9664 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 9429 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 9665 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 9430 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 9666 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 9431 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<17> | 9667 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 9432 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<16> | 9668 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C16M | 9433 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C16M | 9669 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOBout$Q | 9231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 9466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOBout | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nVMA_IOBout$OE | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 9468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOBout.D1 | 9453 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOBout.D1 | 9689 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOBout.D2 | 9454 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOBout.D2 | 9690 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> SPPTERM | 9 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nVMA_IOBout.TRST | 9456 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 9692 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nVMA_IOBout.REG | nVMA_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOBout.D | 9452 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOBout.D | 9688 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOBout.Q | 9457 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nVMA_IOBout.Q | 9693 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nVMA_IOBout.BUFOE | nVMA_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nVMA_IOBout.TRST | 9456 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 9692 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nVMA_IOBout.BUFOE.OUT | 9455 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nVMA_IOBout.BUFOE.OUT | 9691 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325120 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOBout | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout | 9469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nAS_IOBout$OE | 9235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 9470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOBout.D1 | 9459 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOBout.D1 | 9695 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOBout.D2 | 9460 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOBout.D2 | 9696 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nAS_IOBout.TRST | 9462 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 9698 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nAS_IOBout.REG | nAS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOBout.D | 9458 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nAS_IOBout.D | 9694 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOBout.Q | 9463 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nAS_IOBout.Q | 9699 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nAS_IOBout.BUFOE | nAS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nAS_IOBout.TRST | 9462 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 9698 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nAS_IOBout.BUFOE.OUT | 9461 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nAS_IOBout.BUFOE.OUT | 9697 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOBout | 9236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout | 9471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nLDS_IOBout$OE | 9237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 9472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOBout.D1 | 9465 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOBout.D1 | 9701 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOBout.D2 | 9466 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOBout.D2 | 9702 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | IOL0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | IOL0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | IOL0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nLDS_IOBout.TRST | 9468 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 9704 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nLDS_IOBout.REG | nLDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOBout.D | 9464 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOBout.D | 9700 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOBout.Q | 9469 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nLDS_IOBout.Q | 9705 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nLDS_IOBout.BUFOE | nLDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nLDS_IOBout.TRST | 9468 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 9704 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nLDS_IOBout.BUFOE.OUT | 9467 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nLDS_IOBout.BUFOE.OUT | 9703 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOBout | 9238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout | 9473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nUDS_IOBout$OE | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 9474 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOBout.D1 | 9471 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOBout.D1 | 9707 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOBout.D2 | 9472 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOBout.D2 | 9708 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | IOU0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | IOU0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | IOU0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nUDS_IOBout.TRST | 9474 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 9710 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nUDS_IOBout.REG | nUDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOBout.D | 9470 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOBout.D | 9706 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOBout.Q | 9475 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nUDS_IOBout.Q | 9711 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nUDS_IOBout.BUFOE | nUDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nUDS_IOBout.TRST | 9474 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 9710 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nUDS_IOBout.BUFOE.OUT | 9473 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nUDS_IOBout.BUFOE.OUT | 9709 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | FCLK | 9434 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | FCLK | 9670 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK_IBUF/FCLK- | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 9440 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 9675 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 9436 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nWE_FSB | 9672 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Once | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady.EXP | 10007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | nRESout.EXP | 10261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady.EXP | 10007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | nRESout.EXP | 10261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Once.D1 | 9477 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Once.D1 | 9713 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Once.D2 | 9478 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/IOReady.EXP +SIGNAL | NODE | iobs/Once.D2 | 9714 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRESout.EXP SPPTERM | 1 | IV_TRUE | EXP21_.EXP SPPTERM | 3 | IV_TRUE | iobs/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 @@ -444,1232 +444,1128 @@ SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iob SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Once.D | 9476 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F +NODE | iobs/Once.D | 9712 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Once.Q | 9479 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q +NODE | iobs/Once.Q | 9715 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefUrg.D1 | 9481 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefUrg.D1 | 9717 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefUrg.D2 | 9482 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefUrg.D2 | 9718 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/TimerTC SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefUrg.CE | 9483 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 9719 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefUrg.REG | RefUrg | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefUrg.D | 9480 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F +NODE | RefUrg.D | 9716 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefUrg.CE | 9483 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 9719 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefUrg.Q | 9484 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q +NODE | RefUrg.Q | 9720 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<0>.EXP | 10034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.EXP | cnt/LTimer<0> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 11 | 4 +SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 3 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<0>.D1 | 9486 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 9722 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<0>.D2 | 9487 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<0>.D2 | 9723 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<0>.EXP | 10023 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 9488 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 9724 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<0>.D | 9485 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 9721 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 9488 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 9724 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<0>.Q | 9489 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<0>.Q | 9725 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 7 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/Timer<0>.EXP | 10026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.EXP | cnt/Timer<0> | 4 | 0 | MC_EXPORT +NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 6 | 4 +SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<0>.D1 | 9491 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 9727 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<0>.D2 | 9492 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<0>.D2 | 9728 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/Timer<0>.EXP | 10015 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 9493 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 9729 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<0>.D | 9490 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<0>.D | 9726 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 9493 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 9729 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<0>.Q | 9494 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<0>.Q | 9730 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 14 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r.EXP | 10027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/Timer<1>.EXP | 10028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.EXP | cnt/Timer<1> | 4 | 0 | MC_EXPORT +NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 13 | 4 +SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r.EXP | 10027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<1>.D1 | 9496 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 9732 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<1>.D2 | 9497 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | fsb/Ready0r.EXP +SIGNAL | NODE | cnt/Timer<1>.D2 | 9733 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/Timer<1>.EXP | 10017 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 9498 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 9734 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<1>.D | 9495 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<1>.D | 9731 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 9498 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 9734 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<1>.Q | 9499 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<1>.Q | 9735 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0>.EXP | 10026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.EXP | cnt/Timer<0> | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/Timer<2>.EXP | 10025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.EXP | cnt/Timer<2> | 4 | 0 | MC_EXPORT +NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 8 | 4 +SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0>.EXP | 10026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.EXP | cnt/Timer<0> | 4 | 0 | MC_EXPORT +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<2>.D1 | 9501 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 9737 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<2>.D2 | 9502 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/Timer<0>.EXP +SIGNAL | NODE | cnt/Timer<2>.D2 | 9738 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> +SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/Timer<2>.EXP | 10014 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 9503 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 9739 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<2>.D | 9500 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<2>.D | 9736 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 9503 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 9739 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<2>.Q | 9504 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 9740 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<10>.D1 | 9506 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 9742 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<10>.D2 | 9507 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<10>.D2 | 9743 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 9508 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 9744 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<10>.D | 9505 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<10>.D | 9741 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 9508 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 9744 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<10>.Q | 9509 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<10>.Q | 9745 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<11> | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 14 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<11>.D1 | 9511 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 9747 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<11>.D2 | 9512 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<11>.D2 | 9748 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 9513 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 9749 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<11>.D | 9510 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<11>.D | 9746 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 9513 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 9749 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<11>.Q | 9514 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<11>.Q | 9750 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<1>.D1 | 9516 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 9752 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<1>.D2 | 9517 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<1>.D2 | 9753 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/LTimer<0> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 9518 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 9754 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<1>.D | 9515 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<1>.D | 9751 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 9518 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 9754 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<1>.Q | 9519 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 9755 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<2>.D1 | 9521 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 9757 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<2>.D2 | 9522 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 9758 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 9523 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 9759 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<2>.D | 9520 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<2>.D | 9756 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 9523 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 9759 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<2>.Q | 9524 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 9760 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<3>.D1 | 9526 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 9762 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<3>.D2 | 9527 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 9763 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 9528 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 9764 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<3>.D | 9525 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<3>.D | 9761 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 9528 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 9764 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<3>.Q | 9529 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 9765 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<4>.D1 | 9531 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 9767 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<4>.D2 | 9532 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 9768 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 9533 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 9769 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<4>.D | 9530 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<4>.D | 9766 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 9533 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 9769 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<4>.Q | 9534 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 9770 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<5>.D1 | 9536 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 9772 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<5>.D2 | 9537 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 9773 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 9538 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 9774 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<5>.D | 9535 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<5>.D | 9771 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 9538 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 9774 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<5>.Q | 9539 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 9775 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<6>.D1 | 9541 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 9777 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<6>.D2 | 9542 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 9778 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 9543 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 9779 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<6>.D | 9540 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<6>.D | 9776 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 9543 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 9779 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<6>.Q | 9544 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 9780 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<7>.D1 | 9546 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 9782 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<7>.D2 | 9547 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 9783 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 9548 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 9784 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<7>.D | 9545 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<7>.D | 9781 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 9548 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 9784 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<7>.Q | 9549 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 9785 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<8>.D1 | 9551 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 9787 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<8>.D2 | 9552 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<8>.D2 | 9788 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 9553 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 9789 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<8>.D | 9550 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<8>.D | 9786 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 9553 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 9789 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<8>.Q | 9554 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<8>.Q | 9790 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<9>.D1 | 9556 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 9792 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<9>.D2 | 9557 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<9>.D2 | 9793 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 9558 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 9794 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<9>.D | 9555 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<9>.D | 9791 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 9558 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 9794 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<9>.Q | 9559 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<9>.Q | 9795 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 9406 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 9642 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay.EXP | 9981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay.EXP | 10221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 17 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay.D1 | 9561 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay.D1 | 9797 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay.D2 | 9562 | ? | 0 | 6144 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay.D2 | 9798 | ? | 0 | 6144 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | cs/nOverlay.RSTF | 9563 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cs/nOverlay.RSTF | 9799 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | N01 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay.EXP | 9968 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay.EXP | 10208 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay.D | 9560 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay.D | 9796 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | cs/nOverlay.RSTF | 9563 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cs/nOverlay.RSTF | 9799 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | N01 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay.Q | 9564 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay.Q | 9800 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORW0 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 9972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 10212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 9984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 10224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 9972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 10212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 9984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 10224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORW0.D1 | 9566 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORW0.D1 | 9802 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORW0.D2 | 9567 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IORW0.D2 | 9803 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | EXP10_.EXP SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobs/PS_FSM_FFd2 @@ -1680,603 +1576,628 @@ SPPTERM | 4 | IV_FALSE | IORW0 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORW0.D | 9565 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F +NODE | IORW0.D | 9801 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORW0.Q | 9568 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q +NODE | IORW0.Q | 9804 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<12> | WarpSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<12> | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<12>.SI | cnt/LTimer<12> | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<12>.D1 | 9570 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<12>.D1 | 9806 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<12>.D2 | 9571 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<12>.D2 | 9807 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 9572 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 9808 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<12>.REG | cnt/LTimer<12> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<12>.D | 9569 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<12>.D | 9805 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 9572 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 9808 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<12>.Q | 9573 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<12>.Q | 9809 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimerTC.D1 | 9575 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimerTC.D1 | 9811 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimerTC.D2 | 9576 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimerTC.D2 | 9812 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/TimerTC.CE | 9577 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 9813 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimerTC.D | 9574 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/TimerTC.D | 9810 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/TimerTC.CE | 9577 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 9813 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimerTC.Q | 9578 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/TimerTC.Q | 9814 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 5 | 2 +SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefDone.D1 | 9580 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefDone.D1 | 9816 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefDone.D2 | 9581 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefDone.D2 | 9817 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RefReqSync -SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefReqSync -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefReqSync +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefReqSync SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefDone.D | 9579 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +NODE | ram/RefDone.D | 9815 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefDone.Q | 9582 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q +NODE | ram/RefDone.Q | 9818 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 9435 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 9671 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | IOL0.EXP | 10259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 +SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 12 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 9584 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 9820 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 9585 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 9821 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IOL0.EXP | 10249 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RAMEN OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOL0.CE | 9586 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOL0.CE | 9822 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 9583 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +NODE | IOL0.D | 9819 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOL0.CE | 9586 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOL0.CE | 9822 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 9587 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 9823 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 9437 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 9673 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 9589 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 9825 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 9590 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 9826 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOU0.CE | 9591 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOU0.CE | 9827 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 9588 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +NODE | IOU0.D | 9824 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOU0.CE | 9591 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOU0.CE | 9827 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 9592 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 9828 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefReq | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 9502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefReq.D1 | 9594 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefReq.D1 | 9830 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefReq.D2 | 9595 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefReq.D2 | 9831 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefReq.CE | 9596 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 9832 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefReq.REG | RefReq | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefReq.D | 9593 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F +NODE | RefReq.D | 9829 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefReq.CE | 9596 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 9832 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefReq.Q | 9597 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q +NODE | RefReq.Q | 9833 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimerTC | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimerTC.D1 | 9599 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimerTC.D1 | 9835 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimerTC.D2 | 9600 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimerTC.D2 | 9836 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 SPPTERM | 13 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<12> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimerTC.CE | 9601 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 9837 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimerTC.D | 9598 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimerTC.D | 9834 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimerTC.CE | 9601 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 9837 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimerTC.Q | 9602 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimerTC.Q | 9838 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/Ready0r.EXP | 10027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +NODE | fsb/Ready0r.EXP | 10237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 12 | 3 +SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready0r.D1 | 9604 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready0r.D1 | 9840 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready0r.D2 | 9605 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready0r.D2 | 9841 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/Ready0r.EXP | 10016 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | fsb/Ready0r.EXP | 10230 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefUrg | IV_FALSE | fsb/ASrf SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready0r.D | 9603 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready0r.D | 9839 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready0r.Q | 9606 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready0r.Q | 9842 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | WarpSE_COPY_0_COPY_0 | 2155873536 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay.EXP | 9981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay.EXP | 10221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay.EXP | 9981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay.EXP | 10221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready1r.D1 | 9608 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 9844 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready1r.D2 | 9609 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready1r.D2 | 9845 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cs/nOverlay.EXP SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady @@ -2286,68 +2207,68 @@ SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_F SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready1r.D | 9607 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready1r.D | 9843 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready1r.Q | 9610 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready1r.Q | 9846 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 9975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 10215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 9976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 10216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 9975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 10215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 9976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 10216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA.D1 | 9612 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 9848 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA.D2 | 9613 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA.D2 | 9849 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | EXP13_.EXP SPPTERM | 1 | IV_TRUE | EXP14_.EXP SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF @@ -2358,92 +2279,92 @@ SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA.D | 9611 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +NODE | fsb/VPA.D | 9847 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA.Q | 9614 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q +NODE | fsb/VPA.Q | 9850 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 9616 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 9852 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 9617 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 9853 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 9618 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9854 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 9615 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 9851 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 9618 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9854 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 9619 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 9855 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877632 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 9979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 10219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 9980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 9979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 10219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 9980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 9621 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 9857 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 9622 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 9858 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Once SPPTERM | 1 | IV_FALSE | ALE1 SPPTERM | 1 | IV_TRUE | EXP16_.EXP @@ -2454,788 +2375,748 @@ SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 9620 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 9856 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 9623 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 9859 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 10256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IOReady.EXP | 10007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | iobs/IOReady.EXP | 10255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 17 | 3 +SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 10256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOReady.D1 | 9625 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOReady.D1 | 9861 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOReady.D2 | 9626 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP +SIGNAL | NODE | iobs/IOReady.D2 | 9862 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP +SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IOReady.EXP | 9995 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | iobs/IOReady.EXP | 10245 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOReady.D | 9624 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F +NODE | iobs/IOReady.D | 9860 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOReady.Q | 9627 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOReady.Q | 9863 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 9629 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 9865 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 9630 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 9866 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 9631 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9867 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 9628 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 9864 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 9631 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9867 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 9632 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 9868 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C8M | 9438 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C8M | 9674 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C8M_IBUF/FCLK- | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 9439 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nBERR_IOB | 9676 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_FSB_OBUF.UIM | 9519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBERR_FSB_OBUF.UIM | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 10029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.UIM | 9519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 14 | 3 +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_FSB_OBUF.UIM | 9519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9634 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9870 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9635 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | nBERR_FSB_OBUF.UIM | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9871 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | nBERR_FSB_OBUF.UIM | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_TRUE | iobs/Once | IV_FALSE | nBERR_FSB_OBUF.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_TRUE | nBERR_FSB_OBUF.UIM | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | nBERR_FSB_OBUF.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | iobs/Once | IV_TRUE | nBERR_FSB_OBUF.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 10018 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 9633 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBERR_FSB_OBUF.D | 9869 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 9636 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 9872 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 9977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 9520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nDTACK_FSB_OBUF.UIM | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDTACK_FSB_OBUF.EXP | 9978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nDTACK_FSB_OBUF.EXP | 10218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 19 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 9977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9638 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9874 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9639 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9875 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | EXP15_.EXP SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDTACK_FSB_OBUF.EXP | 9965 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDTACK_FSB_OBUF.EXP | 10205 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 9637 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDTACK_FSB_OBUF.D | 9873 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 9640 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 9876 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/nIPL2r | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN.EXP | 10031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.EXP | ram/RAMEN | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBR_IOB_OBUF$Q | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 9522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBR_IOB_OBUF.EXP | 10032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 10260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 13 | 3 +SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN.EXP | 10031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.EXP | ram/RAMEN | 4 | 0 | MC_EXPORT +NODE | cnt/nIPL2r | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBR_IOB_OBUF.D1 | 9642 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBR_IOB_OBUF.D1 | 9878 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBR_IOB_OBUF.D2 | 9643 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RAMEN.EXP +SIGNAL | NODE | nBR_IOB_OBUF.D2 | 9879 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 +SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBR_IOB_OBUF.EXP | 10021 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SIGNAL | NODE | nBR_IOB_OBUF.EXP | 10250 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 SRFF_INSTANCE | nBR_IOB_OBUF.REG | nBR_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBR_IOB_OBUF.D | 9641 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBR_IOB_OBUF.D | 9877 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBR_IOB_OBUF.Q | 9644 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBR_IOB_OBUF.Q | 9880 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0>.EXP | 10034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.EXP | cnt/LTimer<0> | 4 | 0 | MC_EXPORT +NODE | ram/RefReq.EXP | 10238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.EXP | ram/RefReq | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0>.EXP | 10034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.EXP | cnt/LTimer<0> | 4 | 0 | MC_EXPORT +NODE | ram/RefReq.EXP | 10238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.EXP | ram/RefReq | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 9646 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 9882 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 9647 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP -SPPTERM | 1 | IV_TRUE | cnt/LTimer<0>.EXP -SPPTERM | 2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrg -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | ram/RASEL.D2 | 9883 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 1 | IV_TRUE | ram/RefReq.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 9645 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 9881 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 9648 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 9884 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r.EXP | 10237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 3 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r.EXP | 10237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9650 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9886 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9651 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 - -SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 9649 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 9652 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 21 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd2.EXP | 10002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 20 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9654 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9655 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrg -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd2.EXP | 9990 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9887 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/Ready0r.EXP +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 9653 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 9885 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 9656 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 9888 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9890 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9891 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd1.D | 9889 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 9892 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0.EXP | 10259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0.EXP | 10259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9894 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9895 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOL0.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg +SPPTERM | 5 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd3.D | 9893 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 9896 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9658 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9898 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9659 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9899 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 5 | IV_FALSE | C8M_IBUF | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 9657 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 9897 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 9660 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 9900 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9662 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9902 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9663 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9903 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 9661 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 9901 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 9664 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 9904 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9666 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9906 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9667 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9907 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/ETACK SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr @@ -3244,142 +3125,104 @@ SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/ SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 9665 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 9905 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 9668 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 9908 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RegUrgSync | 9584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 10032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1.EXP | 10033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.EXP | cnt/INITS_FSM_FFd1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 10 | 2 +SIGNAL_INSTANCE | ram/RefUrg.SI | ram/RefUrg | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 10032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1.EXP | 10033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.EXP | cnt/INITS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | ram/RegUrgSync | 9584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9670 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefUrg.D1 | 9910 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9671 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP -SPPTERM | 1 | IV_TRUE | cnt/INITS_FSM_FFd1.EXP -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg -SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SIGNAL | NODE | ram/RefUrg.D2 | 9911 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RegUrgSync -SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +SRFF_INSTANCE | ram/RefUrg.REG | ram/RefUrg | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 9669 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | ram/RefUrg.D | 9909 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 9672 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | ram/RefUrg.Q | 9912 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/PS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 10001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 10257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2.EXP | 10002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 10001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 10257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2.EXP | 10002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9674 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9914 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9675 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2.EXP +SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9915 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 @@ -3388,174 +3231,224 @@ SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iob SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd2.D | 9673 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobs/PS_FSM_FFd2.D | 9913 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd2.Q | 9676 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RegUrgSync | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RefUrg.SI | ram/RefUrg | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RegUrgSync | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefUrg.D1 | 9678 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefUrg.D2 | 9679 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RegUrgSync - -SRFF_INSTANCE | ram/RefUrg.REG | ram/RefUrg | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefUrg.D | 9677 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefUrg.Q | 9680 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.REG | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd2.Q | 9916 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 9682 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 9918 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 9683 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 9919 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 9681 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 9917 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 9684 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 9920 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 9686 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 9922 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 9687 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 9923 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 9685 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 9921 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 9688 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 9924 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady.EXP | 10234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady.EXP | 10234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMEN.D1 | 9926 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMEN.D2 | 9927 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP +SPPTERM | 1 | IV_TRUE | ram/RAMReady.EXP +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RAMEN | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RAMEN | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMEN.D | 9925 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMEN.Q | 9928 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 9690 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 9930 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 9691 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 9931 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 @@ -3564,244 +3457,135 @@ SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES< SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 9689 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 9929 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 9692 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 9932 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/PS_FSM_FFd1.EXP | 10004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 6 | 3 +SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9694 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9934 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9695 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9935 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/PS_FSM_FFd1.EXP | 9992 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd1.D | 9693 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/PS_FSM_FFd1.D | 9933 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd1.Q | 9696 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 16 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RAMEN.EXP | 10031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.EXP | ram/RAMEN | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMEN.D1 | 9698 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMEN.D2 | 9699 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP22_.EXP -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RAMEN | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RAMEN | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RAMEN.EXP | 10020 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r - -SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMEN.D | 9697 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMEN.Q | 9700 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd1.Q | 9936 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 9702 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 9938 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 9703 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 9939 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 9701 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 9937 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 9704 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 9940 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<4>.D1 | 9706 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 9942 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<4>.D2 | 9707 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 9943 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 @@ -3809,341 +3593,289 @@ SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2 SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<4>.D | 9705 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<4>.D | 9941 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<4>.Q | 9708 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<4>.Q | 9944 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/INITS_FSM_FFd1.EXP | 10033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.EXP | cnt/INITS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/INITS_FSM_FFd1.SI | cnt/INITS_FSM_FFd1 | 0 | 18 | 3 +SIGNAL_INSTANCE | cnt/INITS_FSM_FFd1.SI | cnt/INITS_FSM_FFd1 | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/INITS_FSM_FFd1.D1 | 9710 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/INITS_FSM_FFd1.D1 | 9946 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/INITS_FSM_FFd1.D2 | 9711 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/INITS_FSM_FFd1.D2 | 9947 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/INITS_FSM_FFd1.EXP | 10022 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | cnt/INITS_FSM_FFd1.REG | cnt/INITS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/INITS_FSM_FFd1.D | 9709 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | cnt/INITS_FSM_FFd1.D | 9945 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/INITS_FSM_FFd1.Q | 9712 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | cnt/INITS_FSM_FFd1.Q | 9948 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/INITS_FSM_FFd2.SI | cnt/INITS_FSM_FFd2 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/INITS_FSM_FFd2.D1 | 9714 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/INITS_FSM_FFd2.D1 | 9950 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/INITS_FSM_FFd2.D2 | 9715 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/INITS_FSM_FFd2.D2 | 9951 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/INITS_FSM_FFd2.REG | cnt/INITS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/INITS_FSM_FFd2.D | 9713 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | cnt/INITS_FSM_FFd2.D | 9949 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/INITS_FSM_FFd2.Q | 9716 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | cnt/INITS_FSM_FFd2.Q | 9952 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 9718 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 9954 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 9719 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 9955 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOACT SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 9717 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 9953 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 9720 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 9956 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +NODE | IOREQ | 9565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +NODE | IOREQ | 9565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOREQr.D1 | 9722 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 9958 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOREQr.D2 | 9723 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOREQr.D2 | 9959 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOREQ SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOREQr.D | 9721 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOREQr.D | 9957 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOREQr.Q | 9724 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOREQr.Q | 9960 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 9998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF$BUF0.EXP | 10254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 9999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | iobs/IOReady.EXP | 10255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 9998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF$BUF0.EXP | 10254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 9999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | iobs/IOReady.EXP | 10255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 9726 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 9962 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 9727 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 9963 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Once SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP +SPPTERM | 1 | IV_TRUE | iobs/IOReady.EXP SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 9725 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +NODE | iobs/Load1.D | 9961 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 9728 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 9964 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE0_OBUF.EXP | 10011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +NODE | nADoutLE0_OBUF.EXP | 10241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE0_OBUF.EXP | 10011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +NODE | nADoutLE0_OBUF.EXP | 10241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 9730 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 9966 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 9731 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 9967 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nADoutLE0_OBUF.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr @@ -4153,72 +3885,72 @@ SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/ SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 9729 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +NODE | IOACT.D | 9965 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 9732 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 9968 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 10012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 10242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 10012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 10242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 9734 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 9970 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 9735 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 9971 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nDinLE_OBUF.EXP SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR SPPTERM | 7 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/ETACK @@ -4228,497 +3960,537 @@ SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 9733 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +NODE | IOBERR.D | 9969 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 9736 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 9972 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E | 9441 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E | 9677 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 9738 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 9974 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 9739 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 9975 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 9737 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 9973 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 9740 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 9976 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 9742 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 9978 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 9743 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 9979 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 9741 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +NODE | ram/BACTr.D | 9977 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 9744 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 9980 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RefReq.EXP | 10238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.EXP | ram/RefReq | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RefReq.SI | ram/RefReq | 0 | 2 | 2 +SIGNAL_INSTANCE | ram/RefReq.SI | ram/RefReq | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefReq.D1 | 9746 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefReq.D1 | 9982 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefReq.D2 | 9747 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefReq.D2 | 9983 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RefReqSync +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RefReq.EXP | 10231 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 5 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 5 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq SRFF_INSTANCE | ram/RefReq.REG | ram/RefReq | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefReq.D | 9745 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.XOR | 0 | 7 | ALU_F +NODE | ram/RefReq.D | 9981 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefReq.Q | 9748 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.REG | 0 | 8 | SRFF_Q +NODE | ram/RefReq.Q | 9984 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReqSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 9502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefReqSync | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RefReqSync.SI | ram/RefReqSync | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 9502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefReqSync.D1 | 9750 | ? | 0 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefReqSync.D1 | 9986 | ? | 0 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefReqSync.D2 | 9751 | ? | 0 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefReqSync.D2 | 9987 | ? | 0 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RefReq SRFF_INSTANCE | ram/RefReqSync.REG | ram/RefReqSync | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefReqSync.D | 9749 | ? | 0 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.XOR | 0 | 7 | ALU_F +NODE | ram/RefReqSync.D | 9985 | ? | 0 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefReqSync.Q | 9752 | ? | 0 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.REG | 0 | 8 | SRFF_Q +NODE | ram/RefReqSync.Q | 9988 | ? | 0 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<0>.D1 | 9754 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<0>.D1 | 9990 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<0>.D2 | 9755 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<0>.D2 | 9991 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/Er<0>.REG | cnt/Er<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<0>.D | 9753 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<0>.D | 9989 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<0>.Q | 9756 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<0>.Q | 9992 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 9442 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nIPL2 | 9678 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/nIPL2r | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nIPL2r | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/nIPL2r.SI | cnt/nIPL2r | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nIPL2r.D1 | 9758 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nIPL2r.D1 | 9994 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nIPL2r.D2 | 9759 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/nIPL2r.D2 | 9995 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nIPL2_IBUF SRFF_INSTANCE | cnt/nIPL2r.REG | cnt/nIPL2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nIPL2r.D | 9757 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F +NODE | cnt/nIPL2r.D | 9993 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nIPL2r.Q | 9760 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q +NODE | cnt/nIPL2r.Q | 9996 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 9443 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nDTACK_IOB | 9679 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrf.D1 | 9762 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 9998 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrf.D2 | 9763 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 9999 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrf.D | 9761 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +NODE | iobm/DTACKrf.D | 9997 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrf.Q | 9764 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrf.Q | 10000 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrr.D1 | 9766 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrr.D1 | 10002 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrr.D2 | 9767 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrr.D2 | 10003 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrr.D | 9765 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F +NODE | iobm/DTACKrr.D | 10001 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrr.Q | 9768 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrr.Q | 10004 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ETACK.D1 | 9770 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 10006 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ETACK.D2 | 9771 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ETACK.D2 | 10007 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ETACK.D | 9769 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +NODE | iobm/ETACK.D | 10005 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ETACK.Q | 9772 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q +NODE | iobm/ETACK.Q | 10008 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er2.D1 | 9774 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 10010 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er2.D2 | 9775 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 10011 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er2.D | 9773 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +NODE | iobm/Er2.D | 10009 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er2.Q | 9776 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er2.Q | 10012 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 9444 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 9680 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArf | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArf.D1 | 9778 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArf.D1 | 10014 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArf.D2 | 9779 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArf.D2 | 10015 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArf.D | 9777 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +NODE | iobm/VPArf.D | 10013 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArf.Q | 9780 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPArf.Q | 10016 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArr | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArr.D1 | 9782 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArr.D1 | 10018 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArr.D2 | 9783 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArr.D2 | 10019 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArr.D | 9781 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPArr.D | 10017 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArr.Q | 9784 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPArr.Q | 10020 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 9563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 9786 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 10022 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 9787 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 10023 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 9785 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +NODE | ALE0M.D | 10021 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 9788 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 10024 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 9564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 9790 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 10026 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 9791 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 10027 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 9789 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +NODE | ALE0S.D | 10025 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 9792 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 10028 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOREQ | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 9982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 9983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 10223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOREQ | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +NODE | IOREQ | 9565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 9982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 9983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 10223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOREQ.D1 | 9794 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOREQ.D1 | 10030 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOREQ.D2 | 9795 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOREQ.D2 | 10031 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | EXP18_.EXP SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 @@ -4729,281 +4501,281 @@ SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/P SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOREQ.D | 9793 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F +NODE | IOREQ.D | 10029 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOREQ.Q | 9796 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q +NODE | IOREQ.Q | 10032 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<1>.SI | cnt/Er<1> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<1>.D1 | 9798 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<1>.D1 | 10034 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<1>.D2 | 9799 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<1>.D2 | 10035 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/Er<0> SRFF_INSTANCE | cnt/Er<1>.REG | cnt/Er<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<1>.D | 9797 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<1>.D | 10033 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<1>.Q | 9800 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<1>.Q | 10036 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 9802 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 10038 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 9803 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 10039 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 9801 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 10037 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 9804 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 10040 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrf.D1 | 9806 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 10042 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrf.D2 | 9807 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 10043 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrf.D | 9805 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +NODE | iobm/BERRrf.D | 10041 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrf.Q | 9808 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 10044 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrr.D1 | 9810 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrr.D1 | 10046 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrr.D2 | 9811 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrr.D2 | 10047 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrr.D | 9809 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F +NODE | iobm/BERRrr.D | 10045 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrr.Q | 9812 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/BERRrr.Q | 10048 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DoutOE | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DoutOE.D1 | 9814 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 10050 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DoutOE.D2 | 9815 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DoutOE.D2 | 10051 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd3 SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DoutOE.D | 9813 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +NODE | iobm/DoutOE.D | 10049 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DoutOE.Q | 9816 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 10052 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrf.D1 | 9818 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 10054 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrf.D2 | 9819 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrf.D2 | 10055 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | N01 SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrf.D | 9817 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +NODE | iobm/RESrf.D | 10053 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrf.Q | 9820 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 10056 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrr.D1 | 9822 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrr.D1 | 10058 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrr.D2 | 9823 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrr.D2 | 10059 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | N01 SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrr.D | 9821 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F +NODE | iobm/RESrr.D | 10057 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrr.Q | 9824 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/RESrr.Q | 10060 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Clear1.EXP | 9984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 10224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 9826 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 10062 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 9827 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 10063 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Clear1.EXP | 9971 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/Clear1.EXP | 10211 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | IORW0 | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 @@ -5011,565 +4783,570 @@ SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FS SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 9825 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +NODE | iobs/Clear1.D | 10061 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 9828 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 10064 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF.EXP | 10260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nRESout | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 9574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRESout.EXP | 10261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 2 | 2 +SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF.EXP | 10260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRESout.D1 | 9830 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRESout.D1 | 10066 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRESout.D2 | 9831 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 +SIGNAL | NODE | nRESout.D2 | 10067 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRESout.EXP | 10251 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | nRESout.REG | nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRESout.D | 9829 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F +NODE | nRESout.D | 10065 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRESout.Q | 9832 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q +NODE | nRESout.Q | 10068 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 15 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | nCAS_OBUF.EXP | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1>.EXP | 10028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.EXP | cnt/Timer<1> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 10029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RAMReady.EXP | 10234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 14 | 2 +SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1>.EXP | 10028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.EXP | cnt/Timer<1> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 10029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nCAS_OBUF.EXP | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMReady.D1 | 9834 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMReady.D1 | 10070 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMReady.D2 | 9835 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/Timer<1>.EXP -SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP -SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg -SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RAMEN +SIGNAL | NODE | ram/RAMReady.D2 | 10071 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nCAS_OBUF.EXP +SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg +SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RAMEN +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RAMReady.EXP | 10227 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMReady.D | 9833 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +NODE | ram/RAMReady.D | 10069 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMReady.Q | 9836 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q +NODE | ram/RAMReady.Q | 10072 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 9445 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 9681 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 9446 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 9682 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 9447 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 9683 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 9448 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 9684 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 9449 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 9685 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 9450 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 9686 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 9451 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 9687 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefRAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RefRAS | 9583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RefRAS.SI | ram/RefRAS | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefRAS.D1 | 10074 | ? | 0 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefRAS.D2 | 10075 | ? | 0 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | ram/RefRAS.REG | ram/RefRAS | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefRAS.D | 10073 | ? | 0 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefRAS.Q | 10076 | ? | 0 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RegUrgSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RegUrgSync | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM +NODE | ram/RegUrgSync | 9584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RegUrgSync.SI | ram/RegUrgSync | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RegUrgSync.D1 | 9838 | ? | 0 | 4096 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RegUrgSync.D1 | 10078 | ? | 0 | 4096 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RegUrgSync.D2 | 9839 | ? | 0 | 4096 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RegUrgSync.D2 | 10079 | ? | 0 | 4096 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RefUrg SRFF_INSTANCE | ram/RegUrgSync.REG | ram/RegUrgSync | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RegUrgSync.D | 9837 | ? | 0 | 0 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.XOR | 0 | 7 | ALU_F +NODE | ram/RegUrgSync.D | 10077 | ? | 0 | 0 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RegUrgSync.Q | 9840 | ? | 0 | 0 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.REG | 0 | 8 | SRFF_Q +NODE | ram/RegUrgSync.Q | 10080 | ? | 0 | 0 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 9842 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 10082 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 9843 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 10083 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 9841 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_0_OBUF.D | 10081 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 9844 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 10084 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 9846 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 10086 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 9847 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 10087 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 9845 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_1_OBUF.D | 10085 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 9848 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 10088 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 4 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_2_OBUF.EXP | 10005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF | 9587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 4 | 3 +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 9850 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 10090 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 9851 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 10091 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_2_OBUF.EXP | 9993 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 9849 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_2_OBUF.D | 10089 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 9852 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 10092 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_3_OBUF | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_3_OBUF.D1 | 9854 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 10094 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_3_OBUF.D2 | 9855 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 10095 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_3_OBUF.D | 9853 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_3_OBUF.D | 10093 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_3_OBUF.Q | 9856 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 10096 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1.EXP | 10004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | A_FSB_5_IBUF | 9580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_4_OBUF.EXP | 10003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF | 9589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1.EXP | 10004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | A_FSB_5_IBUF | 9580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 9858 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 10098 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 9859 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_4_OBUF.EXP | 9991 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrg | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf +SIGNAL | NODE | RA_4_OBUF.D2 | 10099 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 9857 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_4_OBUF.D | 10097 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 9860 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 10100 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 9862 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 10102 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 9863 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 10103 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 9861 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_5_OBUF.D | 10101 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 9864 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 10104 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_7_IBUF | 9582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_6_OBUF.EXP | 10006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF | 9591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_7_IBUF | 9582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 9866 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 10106 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 9867 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP +SIGNAL | NODE | RA_6_OBUF.D2 | 10107 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_6_OBUF.EXP | 9994 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 9865 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_6_OBUF.D | 10105 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 9868 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 10108 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 9870 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 10110 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 9871 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 10111 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 9869 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_7_OBUF.D | 10109 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 9872 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 10112 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 9874 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 10114 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 9875 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 10115 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_18_IBUF SPPTERM | 2 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | cs/nOverlay @@ -5578,424 +5355,396 @@ SPPTERM | 5 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_F SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 9873 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_8_OBUF.D | 10113 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 9876 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 10116 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 9878 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 10118 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 9879 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 10119 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 9877 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_9_OBUF.D | 10117 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 9880 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 10120 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 9595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 9882 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 10122 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 9883 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 10123 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 9881 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 10121 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 9884 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 10124 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 17 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nCAS_OBUF.EXP | 10000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nOE_OBUF.EXP | 10001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 10236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 17 | 3 +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 3 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nCAS_OBUF.EXP | 10000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 9886 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 10126 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 9887 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nCAS_OBUF.EXP +SIGNAL | NODE | nOE_OBUF.D2 | 10127 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nOE_OBUF.EXP | 9989 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | nOE_OBUF.EXP | 10229 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_FALSE | ram/RASEL SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 9885 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nOE_OBUF.D | 10125 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 9888 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 10128 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMWE_OBUF.EXP | 9983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 10223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 14 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 9890 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 10130 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 9891 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 10131 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMWE_OBUF.EXP | 9970 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMWE_OBUF.EXP | 10210 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 9889 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMWE_OBUF.D | 10129 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 9892 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 10132 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9894 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 10134 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9895 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 10135 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 9893 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVPA_FSB_OBUF.D | 10133 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 9896 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 10136 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 9563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 9564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nADoutLE0_OBUF.EXP | 10011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +NODE | nADoutLE0_OBUF.EXP | 10241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 9563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 9564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9898 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 10138 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9899 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 10139 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nADoutLE0_OBUF.EXP | 10009 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nADoutLE0_OBUF.EXP | 10239 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 9897 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE0_OBUF.D | 10137 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 9900 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 10140 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 4 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 10236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 9600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nCAS_OBUF.EXP | 10000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nCAS_OBUF.EXP | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 3 | 3 +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 10236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 9902 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 10142 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 9903 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | ram/RASEL +SIGNAL | NODE | nCAS_OBUF.D2 | 10143 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nCAS_OBUF.EXP | 9988 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SIGNAL | NODE | nCAS_OBUF.EXP | 10228 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 9901 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nCAS_OBUF.D | 10141 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 9904 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 10144 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 9365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 9601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinLE_OBUF.EXP | 10012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 10242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 9906 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 10146 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 9907 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 10147 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinLE_OBUF.EXP | 10010 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinLE_OBUF.EXP | 10240 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr @@ -6003,743 +5752,925 @@ SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IO SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 9905 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinLE_OBUF.D | 10145 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 9908 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 10148 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 6 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefRAS | 9583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 10256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefRAS | 9583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 10150 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 10151 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RefRAS +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAS_OBUF.EXP | 10246 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 10149 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 10152 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRESout | 9574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | N0 | 9603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | N0$OE | 9604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRESout | 9574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N0.D1 | 10154 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N0.D2 | 10155 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 10157 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | nRESout + +SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | N0.D | 10153 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | N0.Q | 10158 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | N0.TRST | 10157 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | nRESout +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | N0.BUFOE.OUT | 10156 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT + +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 10253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF$BUF0.EXP | 10254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 10253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 10160 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 10161 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10244 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF + +SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_19_IBUF$BUF0.D | 10159 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 10162 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 10164 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 10165 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF + +SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_21_IBUF$BUF0.D | 10163 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_21_IBUF$BUF0.Q | 10166 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 10168 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 10169 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Load1 +SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 + +SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE1_OBUF.D | 10167 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 10170 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 9609 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 10172 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 10173 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | AoutOE + +SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAoutOE_OBUF.D | 10171 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 10174 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9611 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 9910 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 10176 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 9911 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 10177 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 9909 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinOE_OBUF.D | 10175 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 9912 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 10178 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N0 | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | N0$OE | 9368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE - -SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N0.D1 | 9914 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N0.D2 | 9915 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | N0.TRST | 9917 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | nRESout - -SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N0.D | 9913 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N0.Q | 9918 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q - -BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | N0.TRST | 9917 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | nRESout -OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | N0.BUFOE.OUT | 9916 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT - -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2>.EXP | 10025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.EXP | cnt/Timer<2> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 9369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9612 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_19_IBUF$BUF0.EXP | 10024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 10257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 11 | 3 +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2>.EXP | 10025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.EXP | cnt/Timer<2> | 4 | 0 | MC_EXPORT +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9920 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 10180 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9921 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/Timer<2>.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10013 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 9919 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 9922 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_21_IBUF$BUF0 | 9370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9924 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9925 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF - -SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_21_IBUF$BUF0.D | 9923 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_21_IBUF$BUF0.Q | 9926 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9928 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9929 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Load1 -SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 - -SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 9927 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 9930 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 9932 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 9933 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | AoutOE - -SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 9931 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 9934 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9936 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9937 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 10181 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMLWE_OBUF.EXP | 10247 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 9935 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMLWE_OBUF.D | 10179 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 9938 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 10182 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 4 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9613 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 4 | 2 +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9940 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 10184 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9941 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 10185 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10248 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 9939 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMUWE_OBUF.D | 10183 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 9942 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 10186 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 8 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 9997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 9998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 8 | 3 +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 9997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 9944 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 10188 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 9945 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SIGNAL | NODE | nROMCS_OBUF.D2 | 10189 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 9986 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF +SIGNAL | NODE | nROMCS_OBUF.EXP | 10226 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN +SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 9943 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMCS_OBUF.D | 10187 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 9946 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 10190 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | C25MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF$Q | 9378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF$Q | 9615 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | C25MEN_OBUF.SI | C25MEN_OBUF | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF.D1 | 9948 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF.D1 | 10192 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF.D2 | 9949 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF.D2 | 10193 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | C25MEN_OBUF.REG | C25MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF.D | 9947 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF.D | 10191 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF.Q | 9950 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF.Q | 10194 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | C25MEN_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF$BUF0 | 9379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF$BUF0.Q | C25MEN_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | C25MEN_OBUF$BUF0 | 9616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF$BUF0.Q | C25MEN_OBUF$BUF0 | 0 | 0 | MC_Q SIGNAL_INSTANCE | C25MEN_OBUF$BUF0.SI | C25MEN_OBUF$BUF0 | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF$BUF0.D1 | 9952 | ? | 0 | 4096 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF$BUF0.D1 | 10196 | ? | 0 | 4096 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF$BUF0.D2 | 9953 | ? | 0 | 6144 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF$BUF0.D2 | 10197 | ? | 0 | 6144 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | C25MEN_OBUF$BUF0.REG | C25MEN_OBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF$BUF0.D | 9951 | ? | 0 | 0 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF$BUF0.D | 10195 | ? | 0 | 0 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF$BUF0.Q | 9954 | ? | 0 | 0 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 0 | 2 -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 9956 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 9957 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_DC - -SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 9955 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 9958 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF$BUF0.Q | 10198 | ? | 0 | 0 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOBout$Q | 9231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 9466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nVMA_IOBout$OE | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 9468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9381 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9617 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOBout | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout | 9469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nAS_IOBout$OE | 9235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 9470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9382 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9618 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOBout | 9236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout | 9471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nLDS_IOBout$OE | 9237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 9472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9383 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9619 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOBout | 9238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout | 9473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nUDS_IOBout$OE | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 9474 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9384 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9620 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9385 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9621 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 9520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9386 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9622 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBR_IOB_OBUF$Q | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 9522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 9387 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT +NODE | nBR_IOB | 9623 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9388 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT +NODE | RA<0> | 9624 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9389 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT +NODE | RA<1> | 9625 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9390 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT +NODE | RA<2> | 9626 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_3_OBUF | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9391 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT +NODE | RA<3> | 9627 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9392 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT +NODE | RA<4> | 9628 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9393 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT +NODE | RA<5> | 9629 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9394 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT +NODE | RA<6> | 9630 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9395 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT +NODE | RA<7> | 9631 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9396 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT +NODE | RA<8> | 9632 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9397 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT +NODE | RA<9> | 9633 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 9595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9398 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9634 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9399 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT +NODE | nOE | 9635 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9400 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT +NODE | nROMWE | 9636 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9401 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9637 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9402 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9638 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 9600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9403 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT +NODE | nCAS | 9639 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 9365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 9601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9404 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9405 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N0 | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | N0$OE | 9368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRES | 9406 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 9369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 9407 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_21_IBUF$BUF0 | 9370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 9408 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 9409 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 9410 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 9411 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 9412 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 9413 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF$Q | 9378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C25MEN | 9414 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | C20MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF$BUF0 | 9379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF$BUF0.Q | C25MEN_OBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C20MEN | 9415 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT +NODE | nDinLE | 9640 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 9416 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT +NODE | nRAS | 9641 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | N0 | 9603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | N0$OE | 9604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRES | 9642 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_19_IBUF$BUF0 | 9605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 9643 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_21_IBUF$BUF0 | 9606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 9644 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE1_OBUF | 9607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9645 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAoutOE_OBUF | 9609 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9646 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 9611 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 9647 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMLWE_OBUF | 9612 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9648 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMUWE_OBUF | 9613 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9649 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMCS_OBUF | 9614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMCS | 9650 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | C25MEN_OBUF$Q | 9615 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | C25MEN | 9651 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | C20MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | C25MEN_OBUF$BUF0 | 9616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF$BUF0.Q | C25MEN_OBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | C20MEN | 9652 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 9973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 10213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP10_.EXP | 9972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 10212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 9973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 10213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP10_.EXP | 9959 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP10_.EXP | 10199 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | EXP11_.EXP SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 @@ -6749,251 +6680,251 @@ SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_F MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP11_.EXP | 9973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 10213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP11_.EXP | 9960 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP11_.EXP | 10200 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP12_.EXP | 9974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 10214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP12_.EXP | 9961 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP12_.EXP | 10201 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_TRUE | fsb/ASrf MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 9974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 10214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP13_.EXP | 9975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 10215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 21 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 9974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 10214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP13_.EXP | 9962 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP13_.EXP | 10202 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | EXP12_.EXP SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady @@ -7003,107 +6934,107 @@ SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_F MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 9976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 10216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 24 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 9963 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 10203 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | ALE1 SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | ALE1 SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF @@ -7112,95 +7043,95 @@ SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 9977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 21 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 9964 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 10204 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM @@ -7209,47 +7140,47 @@ SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.EXP | 9978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nDTACK_FSB_OBUF.EXP | 10218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 9979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 10219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.EXP | 9978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nDTACK_FSB_OBUF.EXP | 10218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 9966 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 10206 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | nDTACK_FSB_OBUF.EXP SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF @@ -7259,39 +7190,39 @@ SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nW MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 9980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 9967 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 10207 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF @@ -7300,288 +7231,243 @@ SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 9982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 9969 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 10209 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 +MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 9997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 4 | 1 +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 9985 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay +SIGNAL | NODE | EXP19_.EXP | 10225 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 1 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 9999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 8 | 1 +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 1 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 9987 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF +SIGNAL | NODE | EXP20_.EXP | 10243 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 10008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 9996 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 10252 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 10030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 10019 | ? | 0 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RAMEN -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RAMEN -SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf - FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RefUrg | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | ram/RefReqSync | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | ram/RefReq | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 1 | ram/RegUrgSync | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | ram/RefUrg | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | ram/RefReqSync | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 FBPIN | 4 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/Er | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | iobm/DTACKrr | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/BERRrr | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | iobm/BERRrf | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | cnt/nIPL2r | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | cnt/Er<0> | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | ALE0S | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/Er2 | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | iobm/Er | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/DTACKrf | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | iobm/BERRrr | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | fsb/ASrf | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | cnt/nIPL2r | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | cnt/Er<0> | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | iobs/IOU1 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 FBPIN | 15 | iobs/IOL1 | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 FBPIN | 16 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | ALE0M | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | ram/RefDone | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | IOU0 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 6 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 @@ -7589,12 +7475,11 @@ FBPIN | 8 | NULL | 0 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 FBPIN | 9 | NULL | 0 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 FBPIN | 11 | NULL | 0 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 FBPIN | 12 | NULL | 0 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 -FBPIN | 13 | iobm/VPArr | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/VPArf | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | iobm/RESrr | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | iobm/RESrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/IOREQr | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/VPArr | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | iobm/VPArf | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/RESrf | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | IORW0 | 1 | NULL | 0 | NULL | 0 @@ -7617,43 +7502,44 @@ FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 FBPIN | 18 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RegUrgSync | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | ram/BACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | nRESout | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | cnt/INITS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 7 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | N0 | 1 | N01 | 1 | nRES | 1 | 91 | 49152 -FBPIN | 9 | cnt/Er<1> | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 9 | cnt/Timer<0> | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | cnt/LTimer<3> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | cnt/LTimer<3> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | cnt/LTimer<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/LTimer<1> | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 12 | cnt/LTimer<2> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/INITS_FSM_FFd2 | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 FBPIN | 15 | RefReq | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | IOU0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | IOL0 | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 16 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/Timer<2> | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 FBPIN | 18 | RefUrg | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | EXP19_ | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 3 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 -FBPIN | 7 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 7 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 | 39 | 49152 FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 -FBPIN | 10 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | ram/RefDone | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 +FBPIN | 13 | ram/BACTr | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/Once | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | EXP21_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | ram/RefRAS | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/RefReq | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | ram/RASEL | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 @@ -7696,24 +7582,24 @@ FBPIN | 17 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 FBPIN | 18 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | EXP20_ | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 3 | cnt/Timer<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | cnt/INITS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 -FBPIN | 9 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 9 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 | 67 | 49152 +FBPIN | 10 | IOL0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 68 | 49152 FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | EXP22_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 | 71 | 49152 +FBPIN | 13 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ALE0S | 1 | NULL | 0 | NULL | 0 | 71 | 49152 FBPIN | 15 | nBR_IOB_OBUF | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 -FBPIN | 16 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/INITS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 73 | 49152 -FBPIN | 18 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | nRESout | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/Once | 1 | NULL | 0 | NULL | 0 | 73 | 49152 +FBPIN | 18 | EXP21_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -7721,84 +7607,81 @@ BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | ram/RegUrgSync | NULL | 1 | ram/RefReqSync | NULL | 2 | iobm/IOS_FSM_FFd3 | NULL | 6 | iobs/PS_FSM_FFd2 | NULL | 7 | ram/RS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 9 | iobs/PS_FSM_FFd1 | NULL | 12 | ram/RS_FSM_FFd1 | NULL | 14 | RefReq | NULL | 15 | IOACT | NULL | 16 | iobm/IOREQr | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 17 | ram/RefDone | NULL | 23 | nBERR_IOB | 76 | 27 | nUDS_FSB | 33 | 29 | nLDS_FSB | 30 | 30 | ram/RS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | iobs/Load1 | NULL | 43 | nDTACK_IOB | 78 | 47 | iobm/IOS_FSM_FFd2 | NULL | 49 | nIPL2 | 92 | 50 | iobm/IOS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 52 | E | 25 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | ram/RegUrgSync | NULL | 2 | iobs/Load1 | NULL | 6 | iobs/PS_FSM_FFd2 | NULL | 7 | nDTACK_IOB | 78 | 9 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | iobs/PS_FSM_FFd1 | NULL | 13 | iobs/IOU1 | NULL | 14 | RefReq | NULL | 15 | IOACT | NULL | 16 | E | 25 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 17 | iobm/IOREQr | NULL | 20 | nIPL2 | 92 | 23 | nBERR_IOB | 76 | 27 | nUDS_FSB | 33 | 29 | nLDS_FSB | 30 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 30 | ram/RefDone | NULL | 33 | nAS_FSB | 32 | 44 | iobm/Er | NULL | 47 | RefUrg | NULL | 49 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 50 | iobm/IOS_FSM_FFd1 | NULL | 51 | iobm/IOS_FSM_FFd3 | NULL -FB_IMUX_INDEX | FOOBAR1_ | 54 | 1 | 92 | -1 | -1 | -1 | 78 | 79 | -1 | 81 | -1 | -1 | 12 | -1 | 68 | 105 | 34 | 17 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | 272 | -1 | 260 | 141 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 74 | -1 | -1 | -1 | 167 | -1 | -1 | -1 | 99 | -1 | 150 | 15 | -1 | 242 | -1 +FB_IMUX_INDEX | FOOBAR1_ | 0 | -1 | 128 | -1 | -1 | -1 | 132 | 167 | -1 | 99 | -1 | -1 | 138 | 13 | 68 | 105 | 242 | 35 | -1 | -1 | 150 | -1 | -1 | 175 | -1 | -1 | -1 | 272 | -1 | 260 | 81 | -1 | -1 | 268 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 5 | -1 | -1 | 71 | -1 | 103 | 15 | 92 | -1 | -1 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 4 | nRES | 91 | 11 | nVPA_IOB | 77 | 15 | IOREQ | NULL | 36 | iobm/Er | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 11 | nVPA_IOB | 77 | 15 | IOREQ | NULL | 51 | nRES | 91 -FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | 148 | -1 | -1 | -1 | -1 | -1 | -1 | 171 | -1 | -1 | -1 | 51 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 4 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 +FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 171 | -1 | -1 | -1 | 51 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 148 | -1 | -1 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | IORW0 | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<21> | 19 | 3 | A_FSB<15> | 13 | 4 | A_FSB<9> | 7 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | fsb/VPA | NULL | 6 | iobs/PS_FSM_FFd2 | NULL | 7 | A_FSB<17> | 15 | 8 | nDTACK_FSB_OBUF.UIM | NULL | 9 | iobs/PS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | iobs/IORW1 | NULL | 12 | fsb/Ready1r | NULL | 13 | ALE1 | NULL | 14 | A_FSB<12> | 10 | 15 | iobs/IOReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 16 | A_FSB<13> | 11 | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 26 | cs/nOverlay | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 35 | fsb/ASrf | NULL | 36 | nAS_FSB | 32 | 39 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 40 | A_FSB<8> | 6 | 43 | A_FSB<10> | 8 | 45 | A_FSB<18> | 16 | 47 | iobs/IOACTr | NULL | 49 | iobs/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 50 | ram/RAMReady | NULL | 51 | nRES | 91 | 52 | A_FSB<11> | 9 | 53 | fsb/Ready0r | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | IORW0 | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<8> | 6 | 3 | ram/RAMReady | NULL | 4 | nRES | 91 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | fsb/VPA | NULL | 6 | iobs/PS_FSM_FFd2 | NULL | 7 | fsb/Ready0r | NULL | 8 | nDTACK_FSB_OBUF.UIM | NULL | 10 | iobs/IORW1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 12 | fsb/Ready1r | NULL | 13 | ALE1 | NULL | 14 | A_FSB<12> | 10 | 16 | A_FSB<13> | 11 | 20 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 21 | A_FSB<19> | 17 | 24 | A_FSB<22> | 20 | 26 | cs/nOverlay | NULL | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 32 | iobs/PS_FSM_FFd1 | NULL | 33 | nAS_FSB | 32 | 34 | iobs/IOReady | NULL | 36 | A_FSB<23> | 24 | 38 | A_FSB<11> | 9 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 39 | fsb/ASrf | NULL | 43 | A_FSB<10> | 8 | 45 | A_FSB<21> | 19 | 46 | A_FSB<15> | 13 | 47 | iobs/IOACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | A_FSB<17> | 15 | 50 | A_FSB<18> | 16 | 52 | iobs/Once | NULL | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR3_ | 36 | 210 | 228 | 212 | 196 | 41 | 78 | 216 | 44 | 81 | 46 | -1 | 48 | 103 | 206 | 87 | 208 | -1 | -1 | -1 | 214 | 222 | -1 | 240 | -1 | -1 | 49 | -1 | 256 | -1 | 226 | -1 | -1 | -1 | -1 | 60 | 268 | -1 | -1 | 230 | 194 | -1 | -1 | 198 | -1 | 218 | -1 | 3 | -1 | 88 | 136 | 148 | 202 | 134 +FB_IMUX_INDEX | FOOBAR3_ | 36 | 210 | 194 | 75 | 148 | 41 | 132 | 79 | 44 | -1 | 46 | -1 | 48 | 103 | 206 | -1 | 208 | -1 | -1 | -1 | 214 | 222 | -1 | -1 | 230 | -1 | 49 | -1 | 256 | -1 | 226 | -1 | 138 | 268 | 129 | -1 | 240 | -1 | 202 | 10 | -1 | -1 | -1 | 198 | -1 | 228 | 212 | 3 | -1 | 216 | 218 | -1 | 142 | 196 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | A_FSB<20> | 18 | 2 | cnt/Timer<2> | NULL | 3 | nRESout | NULL | 6 | iobs/PS_FSM_FFd2 | NULL | 8 | cnt/Er<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 9 | cnt/TimerTC | NULL | 10 | cnt/Er<0> | NULL | 12 | cnt/LTimer<2> | NULL | 13 | iobs/IOU1 | NULL | 14 | iobs/IOL1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 16 | cnt/INITS_FSM_FFd1 | NULL | 17 | RefUrg | NULL | 18 | cnt/INITS_FSM_FFd2 | NULL | 21 | cnt/LTimer<0> | NULL | 23 | A_FSB<23> | 24 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 27 | nUDS_FSB | 33 | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 30 | iobs/PS_FSM_FFd1 | NULL | 32 | iobm/DoutOE | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 34 | cnt/Timer<0> | NULL | 35 | fsb/ASrf | NULL | 36 | nAS_FSB | 32 | 37 | fsb/VPA | NULL | 39 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 40 | cnt/Timer<1> | NULL | 42 | nBR_IOB_OBUF | NULL | 45 | cnt/LTimer<1> | NULL | 47 | A_FSB<21> | 19 | 49 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 51 | AoutOE | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cnt/LTimer<0> | NULL | 2 | A_FSB<21> | 19 | 6 | iobm/DoutOE | NULL | 8 | cnt/Timer<0> | NULL | 12 | cnt/LTimer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 13 | cnt/INITS_FSM_FFd2 | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | cnt/Timer<1> | NULL | 16 | cnt/Timer<2> | NULL | 17 | RefUrg | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 19 | A_FSB<20> | 18 | 23 | A_FSB<23> | 24 | 28 | nWE_FSB | 29 | 30 | nRESout | NULL | 33 | cnt/INITS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 35 | cnt/TimerTC | NULL | 36 | nAS_FSB | 32 | 37 | fsb/VPA | NULL | 39 | A_FSB<22> | 20 | 41 | cnt/nIPL2r | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 42 | cnt/Er<1> | NULL | 50 | cnt/LTimerTC | NULL | 51 | AoutOE | NULL | 52 | cnt/Er<0> | NULL | 53 | cnt/LTimer<2> | NULL -FB_IMUX_INDEX | FOOBAR4_ | 226 | -1 | 128 | 57 | -1 | -1 | 78 | -1 | 62 | 63 | 10 | -1 | 66 | 13 | 14 | -1 | 142 | 71 | 132 | -1 | -1 | 143 | -1 | 240 | -1 | -1 | -1 | 272 | 256 | 260 | 81 | -1 | 96 | -1 | 129 | 60 | 268 | 41 | -1 | 230 | 135 | -1 | 140 | -1 | -1 | 67 | -1 | 228 | -1 | 103 | -1 | 55 | -1 | -1 +FB_IMUX_INDEX | FOOBAR4_ | 54 | -1 | 228 | -1 | -1 | -1 | 96 | -1 | 62 | -1 | -1 | -1 | 66 | 67 | 140 | 69 | 70 | 71 | -1 | 226 | -1 | -1 | -1 | 240 | -1 | -1 | -1 | -1 | 256 | -1 | 141 | -1 | -1 | 56 | -1 | 60 | 268 | 41 | -1 | 230 | -1 | 11 | 57 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 108 | 55 | 12 | 65 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | ram/RASEL | NULL | 1 | A_FSB<14> | 12 | 3 | iobs/IOACTr | NULL | 4 | A_FSB<22> | 20 | 5 | A_FSB<16> | 14 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | fsb/ASrf | NULL | 7 | A_FSB<17> | 15 | 8 | A_FSB<3> | 96 | 9 | A_FSB<18> | 16 | 10 | A_FSB<6> | 3 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 12 | A_FSB<4> | 97 | 13 | cs/nOverlay | NULL | 14 | A_FSB<7> | 4 | 15 | ram/RS_FSM_FFd3 | NULL | 16 | iobs/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 25 | ram/RAMEN | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 28 | nWE_FSB | 29 | 30 | iobs/PS_FSM_FFd1 | NULL | 36 | nAS_FSB | 32 | 39 | A_FSB<5> | 2 | 42 | ram/RefUrg | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 43 | iobs/IOReady | NULL | 44 | A_FSB<13> | 11 | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 48 | ram/RS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 49 | ALE1 | NULL | 50 | iobs/PS_FSM_FFd2 | NULL | 52 | ram/RS_FSM_FFd1 | NULL | 53 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | A_FSB<20> | 18 | 2 | ram/RefReqSync | NULL | 3 | A_FSB<15> | 13 | 6 | A_FSB<5> | 2 | 8 | nAS_FSB | 32 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 9 | ram/RefDone | NULL | 10 | fsb/ASrf | NULL | 12 | ram/BACTr | NULL | 13 | nWE_FSB | 29 | 14 | A_FSB<7> | 4 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 16 | ram/RefReq | NULL | 20 | A_FSB<16> | 14 | 21 | A_FSB<3> | 96 | 22 | ram/RefUrg | NULL | 23 | A_FSB<23> | 24 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 24 | A_FSB<22> | 20 | 26 | cs/nOverlay | NULL | 30 | A_FSB<4> | 97 | 33 | A_FSB<6> | 3 | 35 | A_FSB<14> | 12 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 36 | ram/RS_FSM_FFd1 | NULL | 37 | A_FSB<13> | 11 | 39 | ram/RAMEN | NULL | 42 | A_FSB<12> | 10 | 46 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 47 | A_FSB<21> | 19 | 48 | fsb/Ready0r | NULL | 50 | ram/RS_FSM_FFd2 | NULL | 52 | ram/RAMReady | NULL | 53 | ram/RS_FSM_FFd3 | NULL -FB_IMUX_INDEX | FOOBAR5_ | 126 | 210 | -1 | 3 | 230 | 214 | 60 | 216 | 168 | 218 | 186 | -1 | 172 | 49 | 190 | 141 | 88 | -1 | -1 | 226 | -1 | 222 | 206 | 240 | -1 | 139 | -1 | -1 | 256 | -1 | 81 | -1 | -1 | -1 | -1 | -1 | 268 | -1 | -1 | 182 | -1 | -1 | 0 | 87 | 208 | -1 | 212 | 228 | 79 | 103 | 78 | -1 | 12 | 107 +FB_IMUX_INDEX | FOOBAR5_ | 226 | -1 | 2 | 212 | -1 | -1 | 182 | -1 | 268 | 81 | 10 | -1 | 84 | 256 | 190 | -1 | 88 | -1 | -1 | -1 | 214 | 168 | 1 | 240 | 230 | -1 | 49 | -1 | -1 | -1 | 172 | -1 | -1 | 186 | -1 | 210 | 136 | 208 | -1 | 74 | -1 | -1 | 206 | -1 | -1 | -1 | 89 | 228 | 79 | -1 | 78 | -1 | 75 | 134 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/ETACK | NULL | 1 | AoutOE | NULL | 2 | iobs/Load1 | NULL | 3 | iobm/ES<3> | NULL | 4 | iobm/Er | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/DTACKrr | NULL | 6 | iobm/DTACKrf | NULL | 7 | iobm/BERRrr | NULL | 8 | iobm/BERRrf | NULL | 9 | iobm/IOS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 11 | ALE0S | NULL | 12 | iobm/VPArr | NULL | 13 | iobm/VPArf | NULL | 14 | iobm/RESrr | NULL | 15 | iobm/IOS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 16 | ALE0M | NULL | 17 | iobm/Er2 | NULL | 22 | nVMA_IOBout | NULL | 23 | nBERR_IOB | 76 | 28 | iobm/ES<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 29 | iobm/ES<2> | NULL | 37 | IOL0 | NULL | 38 | IOACT | NULL | 39 | iobm/ES<0> | NULL | 42 | iobm/ES<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 43 | IOU0 | NULL | 44 | IORW0 | NULL | 46 | iobm/IOREQr | NULL | 48 | C8M | 23 | 49 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 50 | iobs/Clear1 | NULL | 51 | iobm/IOS_FSM_FFd3 | NULL | 52 | iobm/RESrf | NULL | 53 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/ETACK | NULL | 1 | AoutOE | NULL | 2 | iobm/IOS_FSM_FFd3 | NULL | 3 | iobm/ES<3> | NULL | 4 | iobm/Er2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/Er | NULL | 6 | iobm/DTACKrr | NULL | 7 | iobm/DTACKrf | NULL | 8 | iobm/BERRrr | NULL | 9 | iobm/BERRrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 12 | iobm/ES<2> | NULL | 13 | iobm/VPArr | NULL | 14 | iobm/VPArf | NULL | 15 | iobm/IOS_FSM_FFd1 | NULL | 16 | ALE0M | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 17 | IOU0 | NULL | 22 | nVMA_IOBout | NULL | 23 | nBERR_IOB | 76 | 28 | iobm/ES<1> | NULL | 31 | IOL0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 37 | iobs/Load1 | NULL | 38 | IOACT | NULL | 39 | iobm/ES<0> | NULL | 40 | iobm/IOREQr | NULL | 42 | iobm/ES<4> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 43 | ALE0S | NULL | 44 | IORW0 | NULL | 46 | iobm/RESrf | NULL | 47 | iobm/IOS_FSM_FFd2 | NULL | 48 | C8M | 23 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 49 | ALE1 | NULL | 50 | iobs/Clear1 | NULL | 52 | iobm/RESrr | NULL | 53 | IOBERR | NULL -FB_IMUX_INDEX | FOOBAR6_ | 90 | 55 | 74 | 93 | 4 | 5 | 6 | 7 | 8 | 99 | -1 | 11 | 30 | 31 | 32 | 15 | 16 | 35 | -1 | -1 | -1 | -1 | 91 | 175 | -1 | -1 | -1 | -1 | 94 | 102 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 70 | 105 | 95 | -1 | -1 | 97 | 69 | 36 | -1 | 34 | -1 | 238 | 103 | 53 | 92 | 33 | 107 +FB_IMUX_INDEX | FOOBAR6_ | 90 | 55 | 92 | 93 | 4 | 5 | 6 | 7 | 8 | 9 | -1 | -1 | 102 | 31 | 32 | 15 | 16 | 17 | -1 | -1 | -1 | -1 | 91 | 175 | -1 | -1 | -1 | -1 | 94 | -1 | -1 | 135 | -1 | -1 | -1 | -1 | -1 | 128 | 105 | 95 | 35 | -1 | 97 | 139 | 36 | -1 | 34 | 99 | 238 | 103 | 53 | -1 | 33 | 107 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 2 | cnt/LTimer<9> | NULL | 3 | cnt/LTimer<8> | NULL | 4 | A_FSB<2> | 95 | 6 | cnt/LTimer<7> | NULL | 7 | A_FSB<17> | 15 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 9 | cnt/LTimer<6> | NULL | 10 | A_FSB<11> | 9 | 11 | cnt/LTimer<3> | NULL | 12 | cnt/LTimer<5> | NULL | 13 | cnt/LTimer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 14 | cnt/LTimer<4> | NULL | 15 | cnt/LTimer<12> | NULL | 16 | cnt/LTimer<11> | NULL | 17 | cnt/LTimer<10> | NULL | 18 | A_FSB<19> | 17 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 19 | A_FSB<20> | 18 | 21 | cnt/LTimer<0> | NULL | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 | 26 | cs/nOverlay | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 27 | ram/RASEL | NULL | 36 | cnt/TimerTC | NULL | 38 | cnt/Er<1> | NULL | 39 | cnt/Er<0> | NULL | 40 | A_FSB<8> | 6 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 43 | A_FSB<10> | 8 | 45 | A_FSB<1> | 94 | 47 | A_FSB<21> | 19 | 49 | cnt/LTimer<2> | NULL | 50 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | cnt/LTimer<0> | NULL | 2 | cnt/LTimer<9> | NULL | 3 | cnt/Er<1> | NULL | 4 | A_FSB<2> | 95 | 6 | cnt/TimerTC | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | A_FSB<17> | 15 | 9 | cnt/LTimer<3> | NULL | 10 | A_FSB<11> | 9 | 11 | cnt/LTimer<2> | NULL | 12 | cnt/Er<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 13 | cs/nOverlay | NULL | 14 | cnt/LTimer<4> | NULL | 15 | cnt/LTimer<12> | NULL | 16 | cnt/LTimer<11> | NULL | 17 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 21 | A_FSB<19> | 17 | 24 | cnt/LTimer<6> | NULL | 30 | A_FSB<20> | 18 | 36 | A_FSB<23> | 24 | 39 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 40 | cnt/LTimer<10> | NULL | 43 | A_FSB<10> | 8 | 45 | A_FSB<1> | 94 | 46 | cnt/LTimer<1> | NULL | 47 | A_FSB<21> | 19 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | cnt/LTimer<5> | NULL | 49 | A_FSB<8> | 6 | 50 | A_FSB<18> | 16 | 51 | cnt/LTimer<8> | NULL | 52 | cnt/LTimer<7> | NULL FB_ORDER_OF_INPUTS | FOOBAR7_ | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR7_ | -1 | -1 | 110 | 111 | 164 | -1 | 114 | 216 | -1 | 117 | 202 | 65 | 120 | 67 | 122 | 123 | 124 | 125 | 222 | 226 | -1 | 143 | -1 | 240 | 230 | -1 | 49 | 126 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 63 | -1 | 62 | 10 | 194 | -1 | -1 | 198 | -1 | 156 | -1 | 228 | -1 | 66 | 218 | -1 | -1 | 196 +FB_IMUX_INDEX | FOOBAR7_ | 54 | -1 | 110 | 57 | 164 | -1 | 60 | 216 | -1 | 63 | 202 | 65 | 12 | 49 | 122 | 123 | 124 | 89 | -1 | -1 | -1 | 222 | -1 | -1 | 117 | -1 | -1 | -1 | -1 | -1 | 226 | -1 | -1 | -1 | -1 | -1 | 240 | -1 | -1 | 230 | 125 | -1 | -1 | 198 | -1 | 156 | 66 | 228 | 120 | 194 | 218 | 111 | 114 | 196 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | ram/RefUrg | NULL | 2 | ram/RefReq | NULL | 3 | iobs/IOACTr | NULL | 4 | A_FSB<22> | 20 | 6 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 7 | ram/RS_FSM_FFd2 | NULL | 8 | nAS_FSB | 32 | 9 | cnt/nIPL2r | NULL | 10 | ram/RAMReady | NULL | 11 | nBERR_FSB_OBUF.UIM | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 12 | ram/RS_FSM_FFd1 | NULL | 13 | cs/nOverlay | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | ram/RS_FSM_FFd3 | NULL | 16 | iobs/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 17 | IOBERR | NULL | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 27 | nUDS_FSB | 33 | 28 | nWE_FSB | 29 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 29 | nLDS_FSB | 30 | 33 | ram/BACTr | NULL | 36 | cnt/TimerTC | NULL | 37 | cnt/Timer<2> | NULL | 38 | cnt/Er<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 39 | cnt/Er<0> | NULL | 40 | cnt/Timer<1> | NULL | 43 | ram/RAMEN | NULL | 45 | cnt/Timer<0> | NULL | 47 | cnt/LTimerTC | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 49 | ALE1 | NULL | 50 | iobs/PS_FSM_FFd2 | NULL | 51 | cnt/INITS_FSM_FFd2 | NULL | 52 | cnt/INITS_FSM_FFd1 | NULL | 53 | fsb/Ready0r | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<20> | 18 | 1 | ram/RefUrg | NULL | 2 | cnt/INITS_FSM_FFd1 | NULL | 3 | iobs/IOACTr | NULL | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | A_FSB<16> | 14 | 6 | ram/RS_FSM_FFd2 | NULL | 7 | A_FSB<17> | 15 | 8 | ram/RS_FSM_FFd3 | NULL | 9 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 10 | fsb/ASrf | NULL | 11 | cnt/nIPL2r | NULL | 12 | iobs/PS_FSM_FFd1 | NULL | 13 | cs/nOverlay | NULL | 14 | iobs/IOL1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 15 | ram/RefRAS | NULL | 16 | iobs/Once | NULL | 17 | IOBERR | NULL | 21 | A_FSB<19> | 17 | 27 | nUDS_FSB | 33 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 33 | nAS_FSB | 32 | 34 | iobs/IOReady | NULL | 35 | A_FSB<14> | 12 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 36 | A_FSB<23> | 24 | 37 | A_FSB<13> | 11 | 39 | ram/RAMEN | NULL | 42 | nBR_IOB_OBUF | NULL | 44 | nBERR_FSB_OBUF.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 45 | cnt/INITS_FSM_FFd2 | NULL | 47 | A_FSB<21> | 19 | 49 | ALE1 | NULL | 50 | ram/RS_FSM_FFd1 | NULL | 51 | iobs/PS_FSM_FFd2 | NULL -FB_IMUX_INDEX | FOOBAR8_ | 0 | -1 | 2 | 3 | 230 | -1 | 60 | 79 | 268 | 9 | 136 | 137 | 12 | 49 | 140 | 141 | 88 | 107 | -1 | -1 | -1 | 222 | -1 | 240 | -1 | -1 | -1 | 272 | 256 | 260 | -1 | -1 | -1 | 56 | -1 | -1 | 63 | 128 | 62 | 10 | 135 | -1 | -1 | 139 | -1 | 129 | -1 | 108 | -1 | 103 | 78 | 132 | 142 | 134 +FB_IMUX_INDEX | FOOBAR8_ | 226 | 1 | 56 | 3 | 230 | 214 | 78 | 216 | 134 | 218 | 10 | 11 | 138 | 49 | 14 | 87 | 142 | 107 | -1 | -1 | -1 | 222 | -1 | -1 | -1 | -1 | -1 | 272 | 256 | 260 | -1 | -1 | -1 | 268 | 129 | 210 | 240 | 208 | -1 | 74 | -1 | -1 | 140 | -1 | 137 | 67 | -1 | 228 | -1 | 103 | 136 | 132 | -1 | -1 GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml index 367e0d2..a9ecbc7 100644 --- a/cpld/XC95144XL/WarpSE.xml +++ b/cpld/XC95144XL/WarpSE.xml @@ -1,3 +1,3 @@ -WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm index ea64f9d..2f6ebea 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 3-26-2023, 4:30AM +Design Name: WarpSE Date: 3-27-2023, 9:56AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -118/144 ( 82%) 384 /720 ( 53%) 224/432 ( 52%) 93 /144 ( 65%) 71 /81 ( 88%) +119/144 ( 83%) 380 /720 ( 53%) 214/432 ( 50%) 94 /144 ( 65%) 71 /81 ( 88%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 21/54 25/90 11/11* -FB2 6/18 4/54 6/90 8/10 +FB1 18/18* 22/54 24/90 11/11* +FB2 5/18 3/54 5/90 8/10 FB3 9/18 34/54 82/90 10/10* -FB4 18/18* 31/54 34/90 10/10* -FB5 14/18 34/54 69/90 8/10 +FB4 18/18* 25/54 39/90 10/10* +FB5 17/18 30/54 60/90 8/10 FB6 18/18* 34/54 63/90 10/10* FB7 18/18* 31/54 34/90 8/10 -FB8 17/18 35/54 71/90 6/10 +FB8 16/18 35/54 73/90 6/10 ----- ----- ----- ----- - 118/144 224/432 384/720 71/81 + 119/144 214/432 380/720 71/81 * - Resource is exhausted @@ -53,7 +53,7 @@ GSR : 0 0 | ** Power Data ** -There are 118 macrocells in high performance mode (MCHP). +There are 119 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -120,40 +120,39 @@ RA<9> 2 3 FB7_11 56 I/O O STD FAST C25MEN 0 0 FB7_12 58 I/O O STD FAST C20MEN 0 0 FB7_14 59 I/O O STD FAST RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 0 0 FB8_5 64 I/O O STD FAST +nRAS 2 6 FB8_5 64 I/O O STD FAST nRAMLWE 1 4 FB8_6 65 I/O O STD FAST nRAMUWE 1 4 FB8_8 66 I/O O STD FAST nBERR_FSB 4 8 FB8_12 70 I/O O STD FAST RESET nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 82 Buried Nodes ** +** 83 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/RefUrg 1 2 FB1_1 STD RESET -ram/RefReqSync 1 1 FB1_2 STD RESET -ram/RefReq 1 2 FB1_3 STD RESET +ram/RegUrgSync 1 1 FB1_1 STD RESET +ram/RefUrg 1 2 FB1_2 STD RESET +ram/RefReqSync 1 1 FB1_3 STD RESET iobs/IOACTr 1 1 FB1_4 STD RESET -iobm/Er 1 1 FB1_5 STD RESET -iobm/DTACKrr 1 1 FB1_6 STD RESET -iobm/DTACKrf 1 1 FB1_7 STD RESET -iobm/BERRrr 1 1 FB1_8 STD RESET -iobm/BERRrf 1 1 FB1_9 STD RESET -cnt/nIPL2r 1 1 FB1_10 STD RESET -cnt/Er<0> 1 1 FB1_11 STD RESET -ALE0S 1 2 FB1_12 STD RESET -ram/RS_FSM_FFd1 2 3 FB1_13 STD RESET +iobm/Er2 1 1 FB1_5 STD RESET +iobm/Er 1 1 FB1_6 STD RESET +iobm/DTACKrr 1 1 FB1_7 STD RESET +iobm/DTACKrf 1 1 FB1_8 STD RESET +iobm/BERRrr 1 1 FB1_9 STD RESET +iobm/BERRrf 1 1 FB1_10 STD RESET +fsb/ASrf 1 1 FB1_11 STD RESET +cnt/nIPL2r 1 1 FB1_12 STD RESET +cnt/Er<0> 1 1 FB1_13 STD RESET iobs/IOU1 2 2 FB1_14 STD RESET iobs/IOL1 2 2 FB1_15 STD RESET iobm/IOS_FSM_FFd1 2 3 FB1_16 STD RESET ALE0M 2 4 FB1_17 STD RESET -ram/RefDone 3 5 FB1_18 STD RESET -iobm/VPArr 1 1 FB2_13 STD RESET -iobm/VPArf 1 1 FB2_14 STD RESET -iobm/RESrr 1 1 FB2_15 STD RESET -iobm/RESrf 1 1 FB2_16 STD RESET -iobm/IOREQr 1 1 FB2_17 STD RESET -iobm/Er2 1 1 FB2_18 STD RESET +IOU0 3 5 FB1_18 STD RESET +iobm/VPArr 1 1 FB2_14 STD RESET +iobm/VPArf 1 1 FB2_15 STD RESET +iobm/RESrr 1 1 FB2_16 STD RESET +iobm/RESrf 1 1 FB2_17 STD RESET +iobm/IOREQr 1 1 FB2_18 STD RESET IORW0 17 20 FB3_1 STD RESET fsb/VPA 16 26 FB3_6 STD RESET iobs/IORW1 16 19 FB3_11 STD RESET @@ -161,28 +160,31 @@ fsb/Ready1r 6 17 FB3_13 STD RESET cs/nOverlay 3 8 FB3_14 STD RESET IOREQ 13 19 FB3_16 STD RESET iobs/Clear1 1 3 FB3_18 STD RESET -ram/RegUrgSync 1 1 FB4_1 STD RESET -ram/BACTr 1 2 FB4_3 STD RESET -nRESout 1 2 FB4_4 STD RESET -fsb/ASrf 1 1 FB4_7 STD RESET -cnt/Er<1> 1 1 FB4_9 STD RESET -cnt/TimerTC 2 6 FB4_10 STD RESET -cnt/LTimer<3> 2 6 FB4_12 STD RESET -cnt/LTimer<2> 2 5 FB4_13 STD RESET -cnt/LTimer<1> 2 4 FB4_14 STD RESET +cnt/LTimer<0> 1 3 FB4_1 STD RESET +cnt/INITS_FSM_FFd1 1 7 FB4_3 STD RESET +cnt/Er<1> 1 1 FB4_4 STD RESET +cnt/TimerTC 2 6 FB4_7 STD RESET +cnt/Timer<0> 2 4 FB4_9 STD RESET +cnt/LTimer<3> 2 6 FB4_10 STD RESET +cnt/LTimer<2> 2 5 FB4_12 STD RESET +cnt/LTimer<1> 2 4 FB4_13 STD RESET +cnt/INITS_FSM_FFd2 2 6 FB4_14 STD RESET +RefReq 2 5 FB4_15 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -RefReq 2 5 FB4_15 STD RESET -IOU0 3 5 FB4_16 STD RESET -IOL0 3 5 FB4_17 STD RESET +cnt/Timer<1> 4 5 FB4_16 STD RESET +cnt/Timer<2> 5 6 FB4_17 STD RESET RefUrg 5 7 FB4_18 STD RESET -iobs/Load1 14 18 FB5_3 STD RESET -iobs/PS_FSM_FFd2 12 19 FB5_7 STD RESET -ram/RS_FSM_FFd2 8 10 FB5_8 STD RESET -iobs/PS_FSM_FFd1 2 3 FB5_10 STD RESET -iobs/IOReady 4 8 FB5_16 STD RESET -iobs/Once 15 18 FB5_17 STD RESET +ram/RAMEN 9 12 FB5_3 STD RESET +ram/RAMReady 9 12 FB5_4 STD RESET +ram/RS_FSM_FFd2 7 11 FB5_7 STD RESET +fsb/Ready0r 2 7 FB5_8 STD RESET +ram/RefDone 2 4 FB5_10 STD RESET +ram/BACTr 1 2 FB5_13 STD RESET +ram/RefRAS 1 2 FB5_16 STD RESET +ram/RefReq 1 2 FB5_17 STD RESET +ram/RASEL 14 12 FB5_18 STD RESET iobm/ETACK 1 6 FB6_1 STD RESET iobm/IOS_FSM_FFd3 3 6 FB6_3 STD RESET iobm/ES<3> 3 6 FB6_4 STD RESET @@ -204,20 +206,19 @@ cnt/LTimer<4> 2 7 FB7_15 STD RESET cnt/LTimer<12> 2 15 FB7_16 STD RESET cnt/LTimer<11> 2 14 FB7_17 STD RESET cnt/LTimer<10> 2 13 FB7_18 STD RESET -ram/RASEL 14 12 FB8_1 STD RESET -cnt/Timer<2> 5 6 FB8_3 STD RESET -cnt/Timer<0> 2 4 FB8_4 STD RESET -cnt/INITS_FSM_FFd2 2 6 FB8_7 STD RESET -fsb/Ready0r 2 7 FB8_9 STD RESET -cnt/Timer<1> 4 5 FB8_10 STD RESET -ram/RAMReady 9 12 FB8_11 STD RESET -ram/RAMEN 9 12 FB8_14 STD RESET -ram/RS_FSM_FFd3 13 12 FB8_16 STD RESET +iobs/Load1 14 18 FB8_3 STD RESET +iobs/IOReady 4 8 FB8_4 STD RESET +iobs/PS_FSM_FFd2 12 19 FB8_7 STD RESET +ram/RS_FSM_FFd3 7 10 FB8_9 STD RESET +IOL0 3 5 FB8_10 STD RESET +ram/RS_FSM_FFd1 3 9 FB8_11 STD RESET +iobs/PS_FSM_FFd1 2 3 FB8_13 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cnt/INITS_FSM_FFd1 1 7 FB8_17 STD RESET -cnt/LTimer<0> 1 3 FB8_18 STD RESET +ALE0S 1 2 FB8_14 STD RESET +nRESout 1 2 FB8_16 STD RESET +iobs/Once 15 18 FB8_17 STD RESET ** 35 Inputs ** @@ -275,63 +276,64 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 21/33 -Number of signals used by logic mapping into function block: 21 +Number of function block inputs used/remaining: 22/32 +Number of signals used by logic mapping into function block: 22 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RefUrg 1 0 0 4 FB1_1 (b) (b) -ram/RefReqSync 1 0 0 4 FB1_2 11 I/O I -ram/RefReq 1 0 0 4 FB1_3 12 I/O I +ram/RegUrgSync 1 0 0 4 FB1_1 (b) (b) +ram/RefUrg 1 0 0 4 FB1_2 11 I/O I +ram/RefReqSync 1 0 0 4 FB1_3 12 I/O I iobs/IOACTr 1 0 0 4 FB1_4 (b) (b) -iobm/Er 1 0 0 4 FB1_5 13 I/O I -iobm/DTACKrr 1 0 0 4 FB1_6 14 I/O I -iobm/DTACKrf 1 0 0 4 FB1_7 (b) (b) -iobm/BERRrr 1 0 0 4 FB1_8 15 I/O I -iobm/BERRrf 1 0 0 4 FB1_9 16 I/O I -cnt/nIPL2r 1 0 0 4 FB1_10 (b) (b) -cnt/Er<0> 1 0 0 4 FB1_11 17 I/O I -ALE0S 1 0 0 4 FB1_12 18 I/O I -ram/RS_FSM_FFd1 2 0 0 3 FB1_13 (b) (b) +iobm/Er2 1 0 0 4 FB1_5 13 I/O I +iobm/Er 1 0 0 4 FB1_6 14 I/O I +iobm/DTACKrr 1 0 0 4 FB1_7 (b) (b) +iobm/DTACKrf 1 0 0 4 FB1_8 15 I/O I +iobm/BERRrr 1 0 0 4 FB1_9 16 I/O I +iobm/BERRrf 1 0 0 4 FB1_10 (b) (b) +fsb/ASrf 1 0 0 4 FB1_11 17 I/O I +cnt/nIPL2r 1 0 0 4 FB1_12 18 I/O I +cnt/Er<0> 1 0 0 4 FB1_13 (b) (b) iobs/IOU1 2 0 0 3 FB1_14 19 I/O I iobs/IOL1 2 0 0 3 FB1_15 20 I/O I iobm/IOS_FSM_FFd1 2 0 0 3 FB1_16 (b) (b) ALE0M 2 0 0 3 FB1_17 22 GCK/I/O GCK -ram/RefDone 3 0 0 2 FB1_18 (b) (b) +IOU0 3 0 0 2 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: E 8: iobs/Load1 15: nUDS_FSB - 2: IOACT 9: iobs/PS_FSM_FFd1 16: ram/RS_FSM_FFd1 - 3: RefReq 10: iobs/PS_FSM_FFd2 17: ram/RS_FSM_FFd2 - 4: iobm/IOREQr 11: nBERR_IOB 18: ram/RS_FSM_FFd3 - 5: iobm/IOS_FSM_FFd1 12: nDTACK_IOB 19: ram/RefDone - 6: iobm/IOS_FSM_FFd2 13: nIPL2 20: ram/RefReqSync - 7: iobm/IOS_FSM_FFd3 14: nLDS_FSB 21: ram/RegUrgSync + 1: E 9: iobm/IOS_FSM_FFd3 16: nBERR_IOB + 2: IOACT 10: iobs/IOU1 17: nDTACK_IOB + 3: RefReq 11: iobs/Load1 18: nIPL2 + 4: RefUrg 12: iobs/PS_FSM_FFd1 19: nLDS_FSB + 5: iobm/Er 13: iobs/PS_FSM_FFd2 20: nUDS_FSB + 6: iobm/IOREQr 14: nADoutLE1 21: ram/RefDone + 7: iobm/IOS_FSM_FFd1 15: nAS_FSB 22: ram/RegUrgSync + 8: iobm/IOS_FSM_FFd2 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RefUrg ..................X.X................... 2 +ram/RegUrgSync ...X.................................... 1 +ram/RefUrg ....................XX.................. 2 ram/RefReqSync ..X..................................... 1 -ram/RefReq ..................XX.................... 2 iobs/IOACTr .X...................................... 1 +iobm/Er2 ....X................................... 1 iobm/Er X....................................... 1 -iobm/DTACKrr ...........X............................ 1 -iobm/DTACKrf ...........X............................ 1 -iobm/BERRrr ..........X............................. 1 -iobm/BERRrf ..........X............................. 1 -cnt/nIPL2r ............X........................... 1 +iobm/DTACKrr ................X....................... 1 +iobm/DTACKrf ................X....................... 1 +iobm/BERRrr ...............X........................ 1 +iobm/BERRrf ...............X........................ 1 +fsb/ASrf ..............X......................... 1 +cnt/nIPL2r .................X...................... 1 cnt/Er<0> X....................................... 1 -ALE0S ........XX.............................. 2 -ram/RS_FSM_FFd1 ...............XXX...................... 3 -iobs/IOU1 .......X......X......................... 2 -iobs/IOL1 .......X.....X.......................... 2 -iobm/IOS_FSM_FFd1 ....XXX................................. 3 -ALE0M ...XXXX................................. 4 -ram/RefDone ...............XXXXX.................... 5 +iobs/IOU1 ..........X........X.................... 2 +iobs/IOL1 ..........X.......X..................... 2 +iobm/IOS_FSM_FFd1 ......XXX............................... 3 +ALE0M .....XXXX............................... 4 +IOU0 .........X.XXX.....X.................... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 4/50 -Number of signals used by logic mapping into function block: 4 +Number of function block inputs used/remaining: 3/51 +Number of signals used by logic mapping into function block: 3 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -346,25 +348,23 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_10 (b) (unused) 0 0 0 5 FB2_11 6 I/O I (unused) 0 0 0 5 FB2_12 7 I/O I -iobm/VPArr 1 0 0 4 FB2_13 (b) (b) -iobm/VPArf 1 0 0 4 FB2_14 8 I/O I -iobm/RESrr 1 0 0 4 FB2_15 9 I/O I -iobm/RESrf 1 0 0 4 FB2_16 (b) (b) -iobm/IOREQr 1 0 0 4 FB2_17 10 I/O I -iobm/Er2 1 0 0 4 FB2_18 (b) (b) +(unused) 0 0 0 5 FB2_13 (b) +iobm/VPArr 1 0 0 4 FB2_14 8 I/O I +iobm/VPArf 1 0 0 4 FB2_15 9 I/O I +iobm/RESrr 1 0 0 4 FB2_16 (b) (b) +iobm/RESrf 1 0 0 4 FB2_17 10 I/O I +iobm/IOREQr 1 0 0 4 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: IOREQ 3: iobm/Er 4: nVPA_IOB - 2: nRES.PIN + 1: IOREQ 2: nRES.PIN 3: nVPA_IOB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr ...X.................................... 1 -iobm/VPArf ...X.................................... 1 +iobm/VPArr ..X..................................... 1 +iobm/VPArf ..X..................................... 1 iobm/RESrr .X...................................... 1 iobm/RESrf .X...................................... 1 iobm/IOREQr X....................................... 1 -iobm/Er2 ..X..................................... 1 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** @@ -419,118 +419,117 @@ iobs/Clear1 ...........................XXX.......... 3 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 31/23 -Number of signals used by logic mapping into function block: 31 +Number of function block inputs used/remaining: 25/29 +Number of signals used by logic mapping into function block: 25 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RegUrgSync 1 0 0 4 FB4_1 (b) (b) +cnt/LTimer<0> 1 0 0 4 FB4_1 (b) (b) nAoutOE 2 0 0 3 FB4_2 87 I/O O -ram/BACTr 1 0 0 4 FB4_3 (b) (b) -nRESout 1 0 0 4 FB4_4 (b) (b) +cnt/INITS_FSM_FFd1 1 0 0 4 FB4_3 (b) (b) +cnt/Er<1> 1 0 0 4 FB4_4 (b) (b) nDoutOE 1 0 0 4 FB4_5 89 I/O O nDinOE 3 0 0 2 FB4_6 90 I/O O -fsb/ASrf 1 0 0 4 FB4_7 (b) (b) +cnt/TimerTC 2 0 0 3 FB4_7 (b) (b) nRES 1 0 0 4 FB4_8 91 I/O I/O -cnt/Er<1> 1 0 0 4 FB4_9 92 I/O I -cnt/TimerTC 2 0 0 3 FB4_10 (b) (b) +cnt/Timer<0> 2 0 0 3 FB4_9 92 I/O I +cnt/LTimer<3> 2 0 0 3 FB4_10 (b) (b) nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/LTimer<3> 2 0 0 3 FB4_12 94 I/O I -cnt/LTimer<2> 2 0 0 3 FB4_13 (b) (b) -cnt/LTimer<1> 2 0 0 3 FB4_14 95 I/O I +cnt/LTimer<2> 2 0 0 3 FB4_12 94 I/O I +cnt/LTimer<1> 2 0 0 3 FB4_13 (b) (b) +cnt/INITS_FSM_FFd2 2 0 0 3 FB4_14 95 I/O I RefReq 2 0 0 3 FB4_15 96 I/O I -IOU0 3 0 0 2 FB4_16 (b) (b) -IOL0 3 0 0 2 FB4_17 97 I/O I +cnt/Timer<1> 4 0 0 1 FB4_16 (b) (b) +cnt/Timer<2> 5 0 0 0 FB4_17 97 I/O I RefUrg 5 0 0 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 12: cnt/LTimer<2> 22: iobs/PS_FSM_FFd1 - 2: A_FSB<21> 13: cnt/Timer<0> 23: iobs/PS_FSM_FFd2 - 3: A_FSB<22> 14: cnt/Timer<1> 24: nADoutLE1 - 4: A_FSB<23> 15: cnt/Timer<2> 25: nAS_FSB - 5: RefUrg 16: cnt/TimerTC 26: nAoutOE - 6: cnt/Er<0> 17: fsb/ASrf 27: nBR_IOB - 7: cnt/Er<1> 18: fsb/VPA 28: nLDS_FSB - 8: cnt/INITS_FSM_FFd1 19: iobm/DoutOE 29: nRESout - 9: cnt/INITS_FSM_FFd2 20: iobs/IOL1 30: nUDS_FSB - 10: cnt/LTimer<0> 21: iobs/IOU1 31: nWE_FSB - 11: cnt/LTimer<1> + 1: A_FSB<20> 10: cnt/LTimer<0> 18: cnt/nIPL2r + 2: A_FSB<21> 11: cnt/LTimer<1> 19: fsb/VPA + 3: A_FSB<22> 12: cnt/LTimer<2> 20: iobm/DoutOE + 4: A_FSB<23> 13: cnt/LTimerTC 21: nAS_FSB + 5: RefUrg 14: cnt/Timer<0> 22: nAoutOE + 6: cnt/Er<0> 15: cnt/Timer<1> 23: nBR_IOB + 7: cnt/Er<1> 16: cnt/Timer<2> 24: nRESout + 8: cnt/INITS_FSM_FFd1 17: cnt/TimerTC 25: nWE_FSB + 9: cnt/INITS_FSM_FFd2 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RegUrgSync ....X................................... 1 -nAoutOE .......XX................XX............. 4 -ram/BACTr ................X.......X............... 2 -nRESout .......XX............................... 2 -nDoutOE ..................X......X.............. 2 -nDinOE XXXX....................X.....X......... 6 -fsb/ASrf ........................X............... 1 -nRES ............................X........... 1 +cnt/LTimer<0> .....XX.........X....................... 3 +nAoutOE .......XX............XX................. 4 +cnt/INITS_FSM_FFd1 .....XXXX...X...XX...................... 7 cnt/Er<1> .....X.................................. 1 -cnt/TimerTC ....XXX.....XXX......................... 6 -nVPA_FSB .................X......X............... 2 -cnt/LTimer<3> .....XX..XXX...X........................ 6 -cnt/LTimer<2> .....XX..XX....X........................ 5 -cnt/LTimer<1> .....XX..X.....X........................ 4 -RefReq ....XXX......XX......................... 5 -IOU0 ....................XXXX.....X.......... 5 -IOL0 ...................X.XXX...X............ 5 -RefUrg ....XXX.....XXXX........................ 7 +nDoutOE ...................X.X.................. 2 +nDinOE XXXX................X...X............... 6 +cnt/TimerTC ....XXX......XXX........................ 6 +nRES .......................X................ 1 +cnt/Timer<0> .....XX......X..X....................... 4 +cnt/LTimer<3> .....XX..XXX....X....................... 6 +nVPA_FSB ..................X.X................... 2 +cnt/LTimer<2> .....XX..XX.....X....................... 5 +cnt/LTimer<1> .....XX..X......X....................... 4 +cnt/INITS_FSM_FFd2 .....XXXX...X...X....................... 6 +RefReq ....XXX.......XX........................ 5 +cnt/Timer<1> .....XX......XX.X....................... 5 +cnt/Timer<2> .....XX......XXXX....................... 6 +RefUrg ....XXX......XXXX....................... 7 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/1 4 FB5_1 (b) (b) -nROMCS 2 1<- \/4 0 FB5_2 35 I/O O -iobs/Load1 14 9<- 0 0 FB5_3 (b) (b) -(unused) 0 0 /\5 0 FB5_4 (b) (b) -nCAS 1 0 \/1 3 FB5_5 36 I/O O -nOE 1 1<- \/5 0 FB5_6 37 I/O O -iobs/PS_FSM_FFd2 12 7<- 0 0 FB5_7 (b) (b) -ram/RS_FSM_FFd2 8 5<- /\2 0 FB5_8 39 I/O (b) -RA<4> 2 2<- /\5 0 FB5_9 40 I/O O -iobs/PS_FSM_FFd1 2 0 /\2 1 FB5_10 (b) (b) +(unused) 0 0 /\5 0 FB5_1 (b) (b) +nROMCS 2 0 \/3 0 FB5_2 35 I/O O +ram/RAMEN 9 4<- 0 0 FB5_3 (b) (b) +ram/RAMReady 9 5<- /\1 0 FB5_4 (b) (b) +nCAS 1 1<- /\5 0 FB5_5 36 I/O O +nOE 1 0 /\1 3 FB5_6 37 I/O O +ram/RS_FSM_FFd2 7 2<- 0 0 FB5_7 (b) (b) +fsb/Ready0r 2 0 /\2 1 FB5_8 39 I/O (b) +RA<4> 2 0 0 3 FB5_9 40 I/O O +ram/RefDone 2 0 0 3 FB5_10 (b) (b) RA<3> 2 0 0 3 FB5_11 41 I/O O RA<5> 2 0 0 3 FB5_12 42 I/O O -(unused) 0 0 0 5 FB5_13 (b) -RA<2> 2 0 \/1 2 FB5_14 43 I/O O -RA<6> 2 1<- \/4 0 FB5_15 46 I/O O -iobs/IOReady 4 4<- \/5 0 FB5_16 (b) (b) -iobs/Once 15 10<- 0 0 FB5_17 49 I/O (b) -(unused) 0 0 /\5 0 FB5_18 (b) (b) +ram/BACTr 1 0 0 4 FB5_13 (b) (b) +RA<2> 2 0 0 3 FB5_14 43 I/O O +RA<6> 2 0 0 3 FB5_15 46 I/O O +ram/RefRAS 1 0 0 4 FB5_16 (b) (b) +ram/RefReq 1 0 \/4 0 FB5_17 49 I/O (b) +ram/RASEL 14 9<- 0 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<12> 13: A_FSB<3> 24: iobs/PS_FSM_FFd1 - 2: A_FSB<13> 14: A_FSB<4> 25: iobs/PS_FSM_FFd2 - 3: A_FSB<14> 15: A_FSB<5> 26: nADoutLE1 - 4: A_FSB<15> 16: A_FSB<6> 27: nAS_FSB - 5: A_FSB<16> 17: A_FSB<7> 28: nWE_FSB - 6: A_FSB<17> 18: IOBERR 29: ram/RAMEN - 7: A_FSB<18> 19: cs/nOverlay 30: ram/RASEL - 8: A_FSB<19> 20: fsb/ASrf 31: ram/RS_FSM_FFd1 - 9: A_FSB<20> 21: iobs/IOACTr 32: ram/RS_FSM_FFd2 - 10: A_FSB<21> 22: iobs/IOReady 33: ram/RS_FSM_FFd3 - 11: A_FSB<22> 23: iobs/Once 34: ram/RefUrg - 12: A_FSB<23> + 1: A_FSB<12> 11: A_FSB<4> 21: ram/RAMEN + 2: A_FSB<13> 12: A_FSB<5> 22: ram/RAMReady + 3: A_FSB<14> 13: A_FSB<6> 23: ram/RASEL + 4: A_FSB<15> 14: A_FSB<7> 24: ram/RS_FSM_FFd1 + 5: A_FSB<16> 15: cs/nOverlay 25: ram/RS_FSM_FFd2 + 6: A_FSB<20> 16: fsb/ASrf 26: ram/RS_FSM_FFd3 + 7: A_FSB<21> 17: fsb/Ready0r 27: ram/RefDone + 8: A_FSB<22> 18: nAS_FSB 28: ram/RefReq + 9: A_FSB<23> 19: nWE_FSB 29: ram/RefReqSync + 10: A_FSB<3> 20: ram/BACTr 30: ram/RefUrg Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nROMCS ........XXXX......X..................... 5 -iobs/Load1 .XX.XXXXXXXX......XX..XXXXXX............ 18 -nCAS .............................X.......... 1 -nOE ..........................XX............ 2 -iobs/PS_FSM_FFd2 .XX.XXXXXXXX......XXX.XXXXXX............ 19 -ram/RS_FSM_FFd2 ..........XX......XX......X.X.XXXX...... 10 -RA<4> ..X...........X..............X.......... 3 -iobs/PS_FSM_FFd1 ....................X..XX............... 3 -RA<3> .X...........X...............X.......... 3 -RA<5> ...X...........X.............X.......... 3 -RA<2> X...........X................X.......... 3 -RA<6> ....X...........X............X.......... 3 -iobs/IOReady .................X.XXXX.XXX............. 8 -iobs/Once .XX.XXXXXXXX......XX..XXXXXX............ 18 +nROMCS .....XXXX.....X......................... 5 +ram/RAMEN .......XX.....XX.X.XX..XXX.X.X.......... 12 +ram/RAMReady .......XX.....XX.X.XX..XXX.X.X.......... 12 +nCAS ......................X................. 1 +nOE .................XX..................... 2 +ram/RS_FSM_FFd2 .......XX.....XX.X.X...XXX.X.X.......... 11 +fsb/Ready0r .......XX.....XXXX...X.................. 7 +RA<4> ..X........X..........X................. 3 +ram/RefDone .......................XX.X.X........... 4 +RA<3> .X........X...........X................. 3 +RA<5> ...X........X.........X................. 3 +ram/BACTr ...............X.X...................... 2 +RA<2> X........X............X................. 3 +RA<6> ....X........X........X................. 3 +ram/RefRAS .......................XX............... 2 +ram/RefReq ..........................X.X........... 2 +ram/RASEL .......XX.....XX.X.XX..XXX.X.X.......... 12 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** @@ -657,58 +656,57 @@ Number of function block inputs used/remaining: 35/19 Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RASEL 14 9<- 0 0 FB8_1 (b) (b) -RA<11> 1 1<- /\5 0 FB8_2 63 I/O O -cnt/Timer<2> 5 1<- /\1 0 FB8_3 (b) (b) -cnt/Timer<0> 2 0 /\1 2 FB8_4 (b) (b) -nRAS 0 0 0 5 FB8_5 64 I/O O -nRAMLWE 1 0 0 4 FB8_6 65 I/O O -cnt/INITS_FSM_FFd2 2 0 0 3 FB8_7 (b) (b) -nRAMUWE 1 0 0 4 FB8_8 66 I/O O -fsb/Ready0r 2 0 \/2 1 FB8_9 67 I/O (b) -cnt/Timer<1> 4 2<- \/3 0 FB8_10 (b) (b) -ram/RAMReady 9 4<- 0 0 FB8_11 68 I/O (b) -nBERR_FSB 4 0 /\1 0 FB8_12 70 I/O O -(unused) 0 0 \/5 0 FB8_13 (b) (b) -ram/RAMEN 9 5<- \/1 0 FB8_14 71 I/O (b) -nBR_IOB 2 1<- \/4 0 FB8_15 72 I/O O -ram/RS_FSM_FFd3 13 8<- 0 0 FB8_16 (b) (b) -cnt/INITS_FSM_FFd1 1 0 /\4 0 FB8_17 73 I/O (b) -cnt/LTimer<0> 1 0 \/4 0 FB8_18 (b) (b) +(unused) 0 0 \/1 4 FB8_1 (b) (b) +RA<11> 1 1<- \/5 0 FB8_2 63 I/O O +iobs/Load1 14 9<- 0 0 FB8_3 (b) (b) +iobs/IOReady 4 3<- /\4 0 FB8_4 (b) (b) +nRAS 2 0 /\3 0 FB8_5 64 I/O O +nRAMLWE 1 0 \/4 0 FB8_6 65 I/O O +iobs/PS_FSM_FFd2 12 7<- 0 0 FB8_7 (b) (b) +nRAMUWE 1 0 /\3 1 FB8_8 66 I/O O +ram/RS_FSM_FFd3 7 2<- 0 0 FB8_9 67 I/O (b) +IOL0 3 0 /\2 0 FB8_10 (b) (b) +ram/RS_FSM_FFd1 3 0 0 2 FB8_11 68 I/O (b) +nBERR_FSB 4 0 0 1 FB8_12 70 I/O O +iobs/PS_FSM_FFd1 2 0 0 3 FB8_13 (b) (b) +ALE0S 1 0 0 4 FB8_14 71 I/O (b) +nBR_IOB 2 0 \/1 2 FB8_15 72 I/O O +nRESout 1 1<- \/5 0 FB8_16 (b) (b) +iobs/Once 15 10<- 0 0 FB8_17 73 I/O (b) +(unused) 0 0 /\5 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<19> 13: cnt/TimerTC 25: nLDS_FSB - 2: A_FSB<22> 14: cnt/nIPL2r 26: nUDS_FSB - 3: A_FSB<23> 15: cs/nOverlay 27: nWE_FSB - 4: IOBERR 16: fsb/ASrf 28: ram/BACTr - 5: cnt/Er<0> 17: fsb/Ready0r 29: ram/RAMEN - 6: cnt/Er<1> 18: iobs/IOACTr 30: ram/RAMReady - 7: cnt/INITS_FSM_FFd1 19: iobs/Once 31: ram/RS_FSM_FFd1 - 8: cnt/INITS_FSM_FFd2 20: iobs/PS_FSM_FFd2 32: ram/RS_FSM_FFd2 - 9: cnt/LTimerTC 21: nADoutLE1 33: ram/RS_FSM_FFd3 - 10: cnt/Timer<0> 22: nAS_FSB 34: ram/RefReq - 11: cnt/Timer<1> 23: nBERR_FSB 35: ram/RefUrg - 12: cnt/Timer<2> 24: nBR_IOB + 1: A_FSB<13> 13: cnt/INITS_FSM_FFd2 25: nBERR_FSB + 2: A_FSB<14> 14: cnt/nIPL2r 26: nBR_IOB + 3: A_FSB<16> 15: cs/nOverlay 27: nLDS_FSB + 4: A_FSB<17> 16: fsb/ASrf 28: nUDS_FSB + 5: A_FSB<18> 17: iobs/IOACTr 29: nWE_FSB + 6: A_FSB<19> 18: iobs/IOL1 30: ram/RAMEN + 7: A_FSB<20> 19: iobs/IOReady 31: ram/RS_FSM_FFd1 + 8: A_FSB<21> 20: iobs/Once 32: ram/RS_FSM_FFd2 + 9: A_FSB<22> 21: iobs/PS_FSM_FFd1 33: ram/RS_FSM_FFd3 + 10: A_FSB<23> 22: iobs/PS_FSM_FFd2 34: ram/RefRAS + 11: IOBERR 23: nADoutLE1 35: ram/RefUrg + 12: cnt/INITS_FSM_FFd1 24: nAS_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL .XX...........XX.....X.....XX.XXXXX..... 12 -RA<11> X....................................... 1 -cnt/Timer<2> ....XX...XXXX........................... 6 -cnt/Timer<0> ....XX...X..X........................... 4 -nRAS ........................................ 0 -nRAMLWE .....................X..X.X.X........... 4 -cnt/INITS_FSM_FFd2 ....XXXXX...X........................... 6 -nRAMUWE .....................X...XX.X........... 4 -fsb/Ready0r .XX...........XXX....X.......X.......... 7 -cnt/Timer<1> ....XX...XX.X........................... 5 -ram/RAMReady .XX...........XX.....X.....XX.XXXXX..... 12 -nBERR_FSB ...X...........X.XXXXXX................. 8 -ram/RAMEN .XX...........XX.....X.....XX.XXXXX..... 12 -nBR_IOB ......XX.....X.........X................ 4 -ram/RS_FSM_FFd3 .XX...........XX.....X.....XX.XXXXX..... 12 -cnt/INITS_FSM_FFd1 ....XXXXX...XX.......................... 7 -cnt/LTimer<0> ....XX......X........................... 3 +RA<11> .....X.................................. 1 +iobs/Load1 XXXXXXXXXX....XX...XXXXX....X........... 18 +iobs/IOReady ..........X....XX.XX.XXX................ 8 +nRAS ........XX....X........X.....X...X...... 6 +nRAMLWE .......................X..X.XX.......... 4 +iobs/PS_FSM_FFd2 XXXXXXXXXX....XXX..XXXXX....X........... 19 +nRAMUWE .......................X...XXX.......... 4 +ram/RS_FSM_FFd3 ........XX....XX.......X.....XXXX.X..... 10 +IOL0 .................X..XXX...X............. 5 +ram/RS_FSM_FFd1 ........XX....XX.......X.....XXXX....... 9 +nBERR_FSB ..........X....XX..X.XXXX............... 8 +iobs/PS_FSM_FFd1 ................X...XX.................. 3 +ALE0S ....................XX.................. 2 +nBR_IOB ...........XXX...........X.............. 4 +nRESout ...........XX........................... 2 +iobs/Once XXXXXXXXXX....XX...XXXXX....X........... 18 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -750,8 +748,6 @@ C25MEN <= '1'; - - @@ -886,8 +882,8 @@ RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) OR (ram/RASEL AND A_FSB(6))); -RA(6) <= ((ram/RASEL AND A_FSB(7)) - OR (A_FSB(16) AND NOT ram/RASEL)); +RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); RA(7) <= ((A_FSB(8) AND ram/RASEL) @@ -1017,15 +1013,15 @@ cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1)); -cnt/Timer_D(1) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(1)) - OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/Timer(0) AND cnt/Timer(1))); +cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1)) + OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1)) + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2)); -cnt/Timer_D(2) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) - OR (NOT cnt/Timer(0) AND NOT cnt/Timer(2)) +cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2)) OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); @@ -1224,13 +1220,13 @@ iobs/IORW1_T <= ((iobs/Once) OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,FCLK,'0','0'); -iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND +iobs/IOReady_T <= ((iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); + NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1) + OR (iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); @@ -1239,11 +1235,11 @@ iobs/Load1_D <= ((iobs/Once) OR (NOT nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22)) OR (nAS_FSB AND NOT fsb/ASrf) @@ -1303,14 +1299,14 @@ iobs/PS_FSM_FFd2_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) @@ -1341,19 +1337,19 @@ nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND NOT nAoutOE)); FTCPE_nBERR_FSB: FTCPE port map (nBERR_FSB,nBERR_FSB_T,FCLK,'0','0'); -nBERR_FSB_T <= ((NOT nBERR_FSB AND nAS_FSB AND NOT fsb/ASrf) +nBERR_FSB_T <= ((nAS_FSB AND NOT nBERR_FSB AND NOT fsb/ASrf) OR (iobs/Once AND NOT nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) - OR (iobs/Once AND nBERR_FSB AND NOT nAS_FSB AND + OR (iobs/Once AND NOT nAS_FSB AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) OR (iobs/Once AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); -nBR_IOB_T <= ((NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND - cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r) - OR (nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND - NOT cnt/INITS_FSM_FFd2)); +nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND + NOT cnt/INITS_FSM_FFd2) + OR (NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND + cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0'); @@ -1386,9 +1382,9 @@ FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); -nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) +nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB)); + OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE)); @@ -1411,7 +1407,9 @@ nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND NOT nAS_FSB AND ram/RAMEN)); nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); -nRAS <= '1'; +nRAS <= NOT (((ram/RefRAS) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RAMEN))); nRES_I <= '0'; @@ -1422,8 +1420,8 @@ FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); nRESout_D <= (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2); -nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); +nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay))); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); @@ -1451,13 +1449,13 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND ram/RAMEN) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RAMEN) - OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN) + OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN) OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND ram/BACTr) OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND @@ -1468,24 +1466,24 @@ ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN) NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf)); FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0'); -ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) + OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND NOT ram/RefUrg) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); @@ -1507,69 +1505,59 @@ ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (NOT nAS_FSB AND ram/RS_FSM_FFd1) - OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2) - OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd1 AND NOT ram/RefUrg) - OR (ram/RS_FSM_FFd1 AND fsb/ASrf)); + OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg) + OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf)); -FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,'0','0'); -ram/RS_FSM_FFd1_D <= ((ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2)); +FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0'); +ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND + fsb/ASrf)); -FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,'0','0'); -ram/RS_FSM_FFd2_D <= ((ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RefUrg AND - fsb/ASrf) +FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0'); +ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RefUrg AND NOT fsb/ASrf) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND + ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND + NOT ram/RefReq) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg AND - fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND - fsb/ASrf) - OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - ram/RefUrg)); + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0'); -ram/RS_FSM_FFd3_T <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND - fsb/ASrf) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - ram/RS_FSM_FFd3) - OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND +ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) + OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN) + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)); + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND ram/RefUrg) + OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND ram/RefUrg AND fsb/ASrf)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync) - OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd3 AND ram/RefReqSync) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND - ram/RS_FSM_FFd3 AND ram/RefReqSync)); + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + ram/RefReqSync)); + +FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0'); +ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync); diff --git a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm index 79685ca..0cf58b7 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm @@ -43,8 +43,6 @@ C25MEN <= '1'; - - FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0');
     IOACT_D <= ((C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND
      iobm/RESrf AND iobm/RESrr) @@ -176,8 +174,8 @@ RA(5) <= ((A_FSB(15) AND NOT ram/RASEL)
      OR (ram/RASEL AND A_FSB(6))); -RA(6) <= ((ram/RASEL AND A_FSB(7)) -
      OR (A_FSB(16) AND NOT ram/RASEL)); +RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(7))); RA(7) <= ((A_FSB(8) AND ram/RASEL) @@ -307,15 +305,15 @@ FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/T
     cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1)); -
     cnt/Timer_D(1) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(1)) -
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/Timer(0) AND cnt/Timer(1))); +
     cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1)) +
      OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1)) +
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
     cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2)); -
     cnt/Timer_D(2) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) -
      OR (NOT cnt/Timer(0) AND NOT cnt/Timer(2)) +
     cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2))
      OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) +
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
     cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); @@ -514,13 +512,13 @@ FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0');
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,FCLK,'0','0'); -
     iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) -
      OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND +
     iobs/IOReady_T <= ((iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
      OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
      OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); +
      NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1) +
      OR (iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); @@ -529,11 +527,11 @@ FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0');
      OR (NOT nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17))
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20))
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22))
      OR (nAS_FSB AND NOT fsb/ASrf) @@ -593,14 +591,14 @@ FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) @@ -631,19 +629,19 @@ FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0');
      NOT nAoutOE)); FTCPE_nBERR_FSB: FTCPE port map (nBERR_FSB,nBERR_FSB_T,FCLK,'0','0'); -
     nBERR_FSB_T <= ((NOT nBERR_FSB AND nAS_FSB AND NOT fsb/ASrf) +
     nBERR_FSB_T <= ((nAS_FSB AND NOT nBERR_FSB AND NOT fsb/ASrf)
      OR (iobs/Once AND NOT nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) -
      OR (iobs/Once AND nBERR_FSB AND NOT nAS_FSB AND +
      OR (iobs/Once AND NOT nAS_FSB AND nBERR_FSB AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
      OR (iobs/Once AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); -
     nBR_IOB_T <= ((NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND -
      cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r) -
      OR (nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND -
      NOT cnt/INITS_FSM_FFd2)); +
     nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND +
      NOT cnt/INITS_FSM_FFd2) +
      OR (NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND +
      cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0'); @@ -676,9 +674,9 @@ FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0');
     nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); -nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) +nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
      OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) -
      OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB)); +
      OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE)); @@ -701,7 +699,9 @@ nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND NOT nAS_FSB AND ram/RAMEN)); nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); -nRAS <= '1'; +nRAS <= NOT (((ram/RefRAS) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      ram/RAMEN))); nRES_I <= '0'; @@ -712,8 +712,8 @@ FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0');
     nRESout_D <= (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2); -nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); +nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay))); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); @@ -741,13 +741,13 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0');
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -
     ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN) -
      OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND ram/RAMEN) -
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RAMEN) -
      OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
     ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) +
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN) +
      OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +
      ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) +
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN)
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND
      ram/BACTr)
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND @@ -758,24 +758,24 @@ FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0');
      NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf)); FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0'); -
     ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
     ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) -
      OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) +
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +
      ram/RS_FSM_FFd3 AND NOT ram/RefUrg) +
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
      NOT ram/RAMEN)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); @@ -797,69 +797,59 @@ FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0');
      NOT ram/RefUrg AND ram/BACTr)
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
      NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (NOT nAS_FSB AND ram/RS_FSM_FFd1) -
      OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2) -
      OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd1 AND NOT ram/RefUrg) -
      OR (ram/RS_FSM_FFd1 AND fsb/ASrf)); +
      OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      ram/RS_FSM_FFd3) +
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg) +
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf)); -FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd1_D <= ((ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2)); +FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0'); +
     ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND +
      fsb/ASrf)); -FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd2_D <= ((ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RefUrg AND -
      fsb/ASrf) +FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0'); +
     ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) +
      OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +
      ram/RefUrg AND NOT fsb/ASrf) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND +
      ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND +
      NOT ram/RefReq) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RefUrg AND NOT fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg AND -
      fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND -
      fsb/ASrf) -
      OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) -
      OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND -
      ram/RefUrg)); +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0'); -
     ram/RS_FSM_FFd3_T <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND -
      fsb/ASrf) -
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND -
      ram/RS_FSM_FFd3) -
      OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND +
     ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) +
      OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN) +
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg) -
      OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)); +
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +
      ram/RS_FSM_FFd3 AND ram/RefUrg) +
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +
      ram/RS_FSM_FFd3 AND ram/RefUrg AND fsb/ASrf)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0');
     ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync) -
      OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd3 AND ram/RefReqSync) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND -
      ram/RS_FSM_FFd3 AND ram/RefReqSync)); +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      ram/RefReqSync)); + +FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0'); +
     ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0');
     ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync); diff --git a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm index bbd1f94..4e65e08 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm @@ -3,9 +3,9 @@