diff --git a/cpld/CS.v b/cpld/CS.v index 0f4b6af..c5753b0 100644 --- a/cpld/CS.v +++ b/cpld/CS.v @@ -45,7 +45,7 @@ module CS( ((A[15:12]==4'hA) && ((A[11:8]==4'h1) || (A[11:8]==4'h2) || (A[11:8]==4'h3)))); /* Select signals - IOB domain */ - assign IACS = (A[23:20]==4'hF); // IACK + assign IACS = (A[23:20]==4'hF) && (A[19:18]==2'b11); // IACK assign IOCS = (A[23:20]==4'hF) || // IACK (A[23:20]==4'hE) || // VIA (A[23:20]==4'hD) || // IWM diff --git a/cpld/FSB.v b/cpld/FSB.v index 9469a83..0802b1b 100644 --- a/cpld/FSB.v +++ b/cpld/FSB.v @@ -20,7 +20,7 @@ module FSB( /* DTACK/VPA control */ wire Ready = /*(RAMCS && RAMReady && !IOPWCS) ||*/ (/*RAMCS && RAMReady &&*/ IOPWCS && IOPWReady /*&& !QoSCS*/) || - /*(RAMCS && RAMReady && IOPWCS && IOPWReady && QoSCS && QoSReady)*/ || + /*(RAMCS && RAMReady && IOPWCS && IOPWReady && QoSCS && QoSReady) ||*/ (ROMCS) || (IONPReady); always @(posedge FCLK) nDTACK <= !(Ready && BACT && !IACS); always @(posedge FCLK, posedge nAS) begin diff --git a/cpld/IOBM.v b/cpld/IOBM.v index 16399f3..331f2d8 100644 --- a/cpld/IOBM.v +++ b/cpld/IOBM.v @@ -16,6 +16,27 @@ module IOBM( reg IORDREQr; always @(posedge C16M) IORDREQr <= IORDREQ; reg IOWRREQr; always @(posedge C16M) IOWRREQr <= IOWRREQ; wire IOREQr = IORDREQr || IOWRREQr; + + /* VPA synchronization */ + reg VPAr; always @(negedge C8M) VPAr <= !nVPA; + + /* E clock synchronization */ + reg Er; always @(negedge C8M) begin Er <= E; end + + /* E clock state */ + reg [3:0] ES; + always @(negedge C8M) begin + if (!E && Er) ES <= 1; + else if (ES==0 || ES==9) ES <= 0; + else ES <= ES+1; + end + + /* ETACK and VMA generation */ + wire ETACK = (ES==8) && !nVMA; + always @(posedge C8M) begin + if ((ES==5) && IOACT && VPAr) nVMA <= 0; + else if(ES==0) nVMA <= 1; + end /* DTACK and BERR synchronization */ always @(negedge C8M, posedge nASout) begin @@ -24,34 +45,10 @@ module IOBM( IOBERR <= 0; end else begin IODONE <= (!nDTACK || ETACK || !nRES); - IOBERR <= !nIOBERR; + IOBERR <= !nBERR; end end - /* VPA and RESET synchronization */ - reg RESr; always @(posedge C16M) RESr <= !nRES; - reg VPAr; always @(posedge C16M) VPAr <= !nVPA; - - /* E clock synchronization */ - reg Er; always @(negedge C8M) begin Er <= E; end - reg Er2; always @(posedge C16M) begin Er2 <= Er; end - - /* E clock state */ - reg [4:0] ES; - always @(posedge C16M) begin - if (Er2 && ~Er) ES <= 1; - else if (ES==0 || ES==19) ES <= 0; - else ES <= ES+1; - end - - /* ETACK and VMA generation */ - reg ETACK = 0; - always @(posedge C16M) begin ETACK <= ES==16 && ~nVMA; end - always @(posedge C16M) begin - if (ES==7 && IOACT && VPAr) nVMA <= 0; - else if (ES==0) nVMA <= 1; - end - /* I/O bus state */ reg [2:0] IOS = 0; reg IOS0; @@ -111,13 +108,13 @@ module IOBM( DoutOE <= (IOS==0 && IOWRREQr && !C8Mr) || (DoutOE && (IOS==2 || IOS==3 || IOS==4 || IOS==5)); end - assign nDoutOE = !(AoutOE && (DoutOE || (IOS==0 && !IOREQr))); + assign nDoutOE = !(AoutOE && (DoutOE || (IOS0 && !IOREQr))); /* AS, DS control */ always @(negedge C16M) begin - nASout <= ~((IOS==0 && IOREQr && !C8Mr) || IOS==2 || IOS==3 || IOS==4 || IOS==5); - nLDS <= ~(IOLDS && ((IOS==0 && IORDREQr && !C8Mr) || (IOS==2 && IORDREQr) || IOS==3 || IOS==4 || IOS==5)); - nUDS <= ~(IOUDS && ((IOS==0 && IORDREQr && !C8Mr) || (IOS==2 && IORDREQr) || IOS==3 || IOS==4 || IOS==5)); + nASout <= !((IOS==0 && IOREQr && !C8Mr) || IOS==2 || IOS==3 || IOS==4 || IOS==5); + nLDS <= !(IOLDS && ((IOS==0 && IORDREQr && !C8Mr) || (IOS==2 && !nLDS) || IOS==3 || IOS==4 || IOS==5)); + nUDS <= !(IOUDS && ((IOS==0 && IORDREQr && !C8Mr) || (IOS==2 && !nUDS) || IOS==3 || IOS==4 || IOS==5)); end endmodule diff --git a/cpld/IOBS.v b/cpld/IOBS.v index f8b514b..f0b8b70 100644 --- a/cpld/IOBS.v +++ b/cpld/IOBS.v @@ -21,10 +21,9 @@ module IOBS( reg IOACTr = 0; always @(posedge CLK) IOACTr <= IOACT; /* IODTACK input synchronization */ - reg [1:0] IODONErr; reg [1:0] IODONErf; - always @(posedge CLK) IODONErr[1:0] <= { IODONErr[0], IODONEin }; - always @(posedge CLK) IODONErf[1:0] <= { IODONErf[0], IODONEin }; - wire IODONE = IODONErr[1]; + reg [1:0] IODONEr; + always @(posedge CLK) IODONEr[1:0] <= { IODONEr[0], IODONEin }; + wire IODONE = IODONEr[0]; /* Read data OE control */ assign nDinOE = !(!nAS && IOCS && nWE && !ROMCS); @@ -42,7 +41,6 @@ module IOBS( * transitions to TS1 when IOACT false */ reg [1:0] TS = 0; reg Sent = 0; - reg PostSent = 0; /* FIFO secondary level control */ reg Load1; @@ -81,11 +79,15 @@ module IOBS( TS <= 3; IORDREQ <= IORW1; IOWRREQ <= !IORW1; + IOL0 <= IOL1; + IOU0 <= IOU1; end else if (BACT && IOCS && !ALE1 && !Sent) begin // FSB request // Request transfer from IOBM and latch R/W from FSB TS <= 3; IORDREQ <= nWE; IOWRREQ <= !nWE; + IOL0 <= !nLDS; + IOU0 <= !nUDS; end else begin // Otherwise stay in idle TS <= 0; IORDREQ <= 0; diff --git a/cpld/RAM.v b/cpld/RAM.v index c6f23f3..24d775f 100644 --- a/cpld/RAM.v +++ b/cpld/RAM.v @@ -13,15 +13,6 @@ module RAM( /* BACT saved from last cycle */ reg BACTr; always @(posedge CLK) BACTr <= BACT; - - /* Refresh command generation */ - reg RefDone; // Refresh done "remember" - always @(posedge CLK) begin - if (!RefReqIn && !RefUrgIn) RefDone <= 0; - else if (RS==4 || RS==5) RefDone <= 1; - end - wire RefReq = RefReqIn && !RefDone; - wire RefUrg = RefUrgIn && !RefDone; /* RAM control state */ reg [2:0] RS = 0; @@ -32,6 +23,15 @@ module RAM( reg RASrr = 0; reg RASrf = 0; + /* Refresh command generation */ + reg RefDone; // Refresh done "remember" + always @(posedge CLK) begin + if (!RefReqIn && !RefUrgIn) RefDone <= 0; + else if (RS==4 || RS==5) RefDone <= 1; + end + wire RefReq = RefReqIn && !RefDone; + wire RefUrg = RefUrgIn && !RefDone; + /* RAM control signals */ assign nRAS = !((!nAS && RAMCS && RAMEN) || RASrr || RASrf); assign nOE = !((!nAS && nWE)); // Shared with ROM @@ -65,7 +65,7 @@ module RAM( wire RefFromRS2 = RefUrg; wire RAMStart = BACT && RAMCS && RAMEN; always @(posedge CLK) begin - case (RS[3:0]) + case (RS[2:0]) 0: begin if (RAMStart) begin RS <= 1; diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index e239c8d..37e86f0 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -303,3 +303,92 @@ cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\taengine.err} +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\taengine.err} +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE diff --git a/cpld/XC95144XL/WarpSE.data b/cpld/XC95144XL/WarpSE.data new file mode 100644 index 0000000..31fc178 --- /dev/null +++ b/cpld/XC95144XL/WarpSE.data @@ -0,0 +1,922 @@ +MODELDATA +MODELDATA_VERSION "v1998.8" +DESIGN "WarpSE"; + +/* port drive, load, max capacitance and max transition in data file */ +PORTDATA +A_FSB<23>: MAXTRANS(0.0); +A_FSB<22>: MAXTRANS(0.0); +A_FSB<21>: MAXTRANS(0.0); +A_FSB<20>: MAXTRANS(0.0); +A_FSB<19>: MAXTRANS(0.0); +A_FSB<18>: MAXTRANS(0.0); +C8M: MAXTRANS(0.0); +C16M: MAXTRANS(0.0); +FCLK: MAXTRANS(0.0); +nAS_FSB: MAXTRANS(0.0); +nWE_FSB: MAXTRANS(0.0); +nBERR_IOB: MAXTRANS(0.0); +nDTACK_IOB: MAXTRANS(0.0); +nLDS_FSB: MAXTRANS(0.0); +nUDS_FSB: MAXTRANS(0.0); +E: MAXTRANS(0.0); +nIPL2: MAXTRANS(0.0); +nVPA_IOB: MAXTRANS(0.0); +A_FSB<10>: MAXTRANS(0.0); +A_FSB<11>: MAXTRANS(0.0); +A_FSB<12>: MAXTRANS(0.0); +A_FSB<13>: MAXTRANS(0.0); +A_FSB<14>: MAXTRANS(0.0); +A_FSB<15>: MAXTRANS(0.0); +A_FSB<16>: MAXTRANS(0.0); +A_FSB<17>: MAXTRANS(0.0); +A_FSB<9>: MAXTRANS(0.0); +nRES: MAXTRANS(0.0); +nVMA_IOB: MAXTRANS(0.0); +nAS_IOB: MAXTRANS(0.0); +nLDS_IOB: MAXTRANS(0.0); +nUDS_IOB: MAXTRANS(0.0); +nBERR_FSB: MAXTRANS(0.0); +nVPA_FSB: MAXTRANS(0.0); +nBR_IOB: MAXTRANS(0.0); +nOE: MAXTRANS(0.0); +nROMWE: MAXTRANS(0.0); +nADoutLE0: MAXTRANS(0.0); +nCAS: MAXTRANS(0.0); +nDTACK_FSB: MAXTRANS(0.0); +nDinLE: MAXTRANS(0.0); +RA<1>: MAXTRANS(0.0); +RA<4>: MAXTRANS(0.0); +RA<5>: MAXTRANS(0.0); +RA<6>: MAXTRANS(0.0); +RA<7>: MAXTRANS(0.0); +RA<9>: MAXTRANS(0.0); +RA<2>: MAXTRANS(0.0); +RA<10>: MAXTRANS(0.0); +RA<8>: MAXTRANS(0.0); +RA<3>: MAXTRANS(0.0); +RA<11>: MAXTRANS(0.0); +RA<0>: MAXTRANS(0.0); +nADoutLE1: MAXTRANS(0.0); +nAoutOE: MAXTRANS(0.0); +nDinOE: MAXTRANS(0.0); +nDoutOE: MAXTRANS(0.0); +nRAMLWE: MAXTRANS(0.0); +nRAMUWE: MAXTRANS(0.0); +nRAS: MAXTRANS(0.0); +nROMCS: MAXTRANS(0.0); +C20MEN: MAXTRANS(0.0); +C25MEN: MAXTRANS(0.0); +ENDPORTDATA + +/* timing arc data */ +TIMINGDATA + +ARCDATA +A_FSB<9>_RA<0>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<17>_RA<10>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<19>_RA<11>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<10>_RA<1>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<16>_RA<2>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<19>_RA<3>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<11>_RA<4>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<12>_RA<5>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<13>_RA<6>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<14>_RA<7>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<18>_RA<8>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<15>_RA<9>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nRAMLWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nRAMLWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nLDS_FSB_nRAMLWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nUDS_FSB_nRAMUWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nRAMUWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nRAMUWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nROMWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nROMWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +FCLK_nRES_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nVMA_IOB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nAS_IOB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nLDS_IOB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nUDS_IOB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nBERR_FSB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nVPA_FSB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nBR_IOB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nADoutLE0_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nCAS_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nDTACK_FSB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nADoutLE1_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nAoutOE_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nDoutOE_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nRAMLWE_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nRAMUWE_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nRAS_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +C16M_nAS_IOB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +C16M_nLDS_IOB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +C16M_nUDS_IOB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +C16M_nADoutLE0_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +C16M_nDinLE_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +C16M_nDoutOE_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +C8M_nVMA_IOB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +A_FSB<18>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("10.5"); +} +ENDARCDATA + +ARCDATA +A_FSB<19>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("10.5"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("10.5"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("10.5"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("10.5"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("10.5"); +} +ENDARCDATA + +ARCDATA +E_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("10.5"); +} +ENDARCDATA + +ARCDATA +nIPL2_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nLDS_FSB_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nRES_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nUDS_FSB_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("10.5"); +} +ENDARCDATA + +ARCDATA +A_FSB<18>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-4"); +} +ENDARCDATA + +ARCDATA +A_FSB<19>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-4"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-4"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-4"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-4"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-4"); +} +ENDARCDATA + +ARCDATA +E_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-4"); +} +ENDARCDATA + +ARCDATA +nIPL2_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nLDS_FSB_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nRES_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nUDS_FSB_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-4"); +} +ENDARCDATA + +ARCDATA +C8M_C16M_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +C8M_C16M_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +E_C8M_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nBERR_IOB_C8M_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nDTACK_IOB_C8M_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nRES_C8M_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nVPA_IOB_C8M_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +E_C8M_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nBERR_IOB_C8M_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nDTACK_IOB_C8M_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nRES_C8M_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nVPA_IOB_C8M_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ENDTIMINGDATA +ENDMODELDATA diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index 8f64749..f546af3 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -67,7 +67,7 @@ - + @@ -87,7 +87,7 @@ - + @@ -96,7 +96,7 @@ - + @@ -114,17 +114,14 @@ - + - - - + + - - diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index 2d116ea..5857995 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -11,18 +11,10 @@ A_FSB<16> S:PIN14 A_FSB<17> S:PIN15 A_FSB<18> S:PIN16 A_FSB<19> S:PIN17 -A_FSB<1> S:PIN94 A_FSB<20> S:PIN18 A_FSB<21> S:PIN19 A_FSB<22> S:PIN20 A_FSB<23> S:PIN24 -A_FSB<2> S:PIN95 -A_FSB<3> S:PIN96 -A_FSB<4> S:PIN97 -A_FSB<5> S:PIN2 -A_FSB<6> S:PIN3 -A_FSB<7> S:PIN4 -A_FSB<8> S:PIN6 A_FSB<9> S:PIN7 C16M S:PIN22 C8M S:PIN23 @@ -36,21 +28,21 @@ nLDS_FSB S:PIN30 nUDS_FSB S:PIN33 nVPA_IOB S:PIN77 nWE_FSB S:PIN29 -RA<11> S:PIN63 -RA<10> S:PIN55 -C25MEN S:PIN58 -C20MEN S:PIN59 -nRES S:PIN91 -RA<0> S:PIN53 RA<1> S:PIN50 -RA<2> S:PIN43 -RA<3> S:PIN41 RA<4> S:PIN40 RA<5> S:PIN42 RA<6> S:PIN46 RA<7> S:PIN52 -RA<8> S:PIN54 RA<9> S:PIN56 +RA<2> S:PIN43 +RA<10> S:PIN55 +RA<8> S:PIN54 +RA<3> S:PIN41 +RA<11> S:PIN63 +RA<0> S:PIN53 +nRES S:PIN91 +C20MEN S:PIN59 +C25MEN S:PIN58 nADoutLE0 S:PIN85 nADoutLE1 S:PIN82 nAS_IOB S:PIN81 @@ -77,40 +69,39 @@ nVPA_FSB S:PIN93 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 ram/RefUrg ram/RefReqSync ram/RefReq ram/RefRAS - iobs/IODTACKr iobm/RESrf iobm/Er2 iobm/Er - iobm/DTACKrf iobm/BERRrf cnt/nIPL2r cnt/Er<0> - ram/RefDone iobs/TS_FSM_FFd1 iobs/IOU1 iobs/IOL1 - iobm/IOS_FSM_FFd1 IOU0 -PARTITION FB2_17 iobm/VPAr iobm/IOREQr -PARTITION FB3_1 fsb/VPA EXP10_ iobs/IOACTr iobs/Clear1 - fsb/ASrf ALE0S iobs/Load1 $OpTx$$OpTx$FX_DC$47_INV$153 - nDTACK_FSB_OBUF EXP11_ fsb/Ready0r cs/ODCSr - iobs/Sent EXP12_ EXP13_ fsb/Ready1r - nROMWE_OBUF ram/BACTr -PARTITION FB4_2 nAoutOE_OBUF -PARTITION FB4_4 ram/RegUrgSync nDoutOE_OBUF nDinOE_OBUF nRESout - N0 cnt/Er<1> cnt/TimerTC nVPA_FSB_OBUF - cnt/Timer<0> cnt/LTimerTC cnt/LTimer<12> RefReq - cnt/Timer<1> cnt/Timer<2> RefUrg -PARTITION FB5_1 IOL0 nROMCS_OBUF iobs/IORW1 EXP14_ - nCAS_OBUF nOE_OBUF iobs/TS_FSM_FFd2 EXP15_ - RA_4_OBUF iobs/DTACKEN RA_3_OBUF RA_5_OBUF - IOREQ RA_2_OBUF RA_6_OBUF EXP16_ - IORW0 EXP17_ -PARTITION FB6_1 iobm/ETACK nVMA_IOBout ALE0M iobm/IOS_FSM_FFd3 - iobm/ES<3> iobm/ES<1> iobm/ES<0> iobm/DoutOE - nLDS_IOBout iobm/IOS_FSM_FFd2 nUDS_IOBout nAS_IOBout - iobm/ES<4> nADoutLE1_OBUF nADoutLE0_OBUF iobm/ES<2> - nDinLE_OBUF IOACT -PARTITION FB7_1 cnt/LTimer<0> RA_1_OBUF cs/nOverlay cnt/LTimer<9> - RA_7_OBUF RA_0_OBUF cnt/LTimer<8> RA_8_OBUF - A_FSB_21_IBUF$BUF0 cnt/LTimer<7> RA_9_OBUF C25MEN_OBUF - cnt/LTimer<3> C25MEN_OBUF$BUF0 cnt/LTimer<2> cnt/LTimer<1> +PARTITION FB1_1 iobm/Er +PARTITION FB1_11 cs/nOverlay iobm/ES<2> iobm/ES<0> iobm/ES<3> + iobm/ES<1> IODONE IOU0 IOL0 + +PARTITION FB2_15 iobm/VPAr cnt/nIPL2r IOBERR cnt/Timer<1> + +PARTITION FB3_4 ram/RS_FSM_FFd4 ram/RS_FSM_FFd1 iobs/IODONEr<0> cnt/INITS_FSM_FFd1 + cnt/Er<0> nDTACK_FSB_OBUF cnt/TimerTC cnt/LTimer<3> + cnt/LTimer<2> cnt/LTimer<1> cnt/INITS_FSM_FFd2 RefReq + cnt/Timer<2> nROMWE_OBUF RefUrg +PARTITION FB4_1 ram/BACTr nAoutOE_OBUF nRESout iobs/Clear1 + nDoutOE_OBUF nDinOE_OBUF ram/Once C20MEN_OBUF + iobs/TS_FSM_FFd1 cs/ODCSr nVPA_FSB_OBUF IOWRREQ + iobs/Load1 iobs/IORW1 IONPReady iobs/TS_FSM_FFd2 + IORDREQ iobs/Sent +PARTITION FB5_1 cnt/LTimerTC nROMCS_OBUF cnt/LTimer<9> cnt/LTimer<8> + nCAS_OBUF nOE_OBUF cnt/LTimer<7> cnt/LTimer<6> + A_FSB_11_IBUF$BUF0 cnt/LTimer<5> A_FSB_19_IBUF$BUF0 A_FSB_12_IBUF$BUF0 + cnt/LTimer<4> A_FSB_16_IBUF$BUF0 A_FSB_13_IBUF$BUF0 cnt/LTimer<12> cnt/LTimer<11> cnt/LTimer<10> -PARTITION FB8_1 EXP18_ A_FSB_19_IBUF$BUF0 ram/RAMEN ram/RAMReady - nRAS_OBUF nRAMLWE_OBUF ram/RS_FSM_FFd2 nRAMUWE_OBUF - ram/RS_FSM_FFd3 ram/RS_FSM_FFd1 cnt/INITS_FSM_FFd2 nBERR_FSB_OBUF - cnt/LTimer<4> cnt/LTimer<5> nBR_IOB_OBUF cnt/LTimer<6> - cnt/INITS_FSM_FFd1 ram/RASEL +PARTITION FB6_2 nVMA_IOBout +PARTITION FB6_5 iobs/IOACTr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 + nLDS_IOBout iobm/C8Mr nUDS_IOBout nAS_IOBout + iobm/IOS_FSM_FFd6 nADoutLE1_OBUF nADoutLE0_OBUF iobm/IOS_FSM_FFd7 + nDinLE_OBUF ALE0M +PARTITION FB7_1 iobm/IOWRREQr A_FSB_10_IBUF$BUF0 iobm/IORDREQr cnt/LTimer<0> + A_FSB_14_IBUF$BUF0 A_FSB_9_IBUF$BUF0 cnt/Er<1> A_FSB_18_IBUF$BUF0 + A_FSB_17_IBUF$BUF0 iobm/IOS_FSM_FFd2 A_FSB_15_IBUF$BUF0 C25MEN_OBUF + cnt/Timer<0> C20MEN_OBUF$BUF0 iobm/IOS_FSM_FFd3 iobm/DoutOE + iobm/IOS0 IOACT +PARTITION FB8_1 ram/RS_FSM_FFd3 A_FSB_19_IBUF$BUF1 ram/RS_FSM_FFd2 fsb/ASrf + nRAS_OBUF nRAMLWE_OBUF ALE0S nRAMUWE_OBUF + ram/RefDone iobs/IOU1 iobs/IOL1 nBERR_FSB_OBUF + IOPWReady ram/RS_FSM_FFd6 nBR_IOB_OBUF ram/RS_FSM_FFd8 + ram/CAS ram/RAMEN diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed index caf69eb..50c476f 100644 --- a/cpld/XC95144XL/WarpSE.jed +++ b/cpld/XC95144XL/WarpSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Sat Apr 01 08:21:57 2023 +Date Extracted: Fri Apr 07 00:29:14 2023 QF93312* QP100* @@ -90,546 +90,546 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000 11100000* -L0000064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100000* -L0000128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100000* -L0000192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0000256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01010000* -L0000320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000100* -L0000448 00000000 00000000 00000000 00000000 10000000 00000000 00000000 01000100* -L0000512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000100* -L0000576 000000 000000 000000 000000 000000 000000 000000 110001* +L0000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000128 00001000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0000192 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000100* +L0000256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0000320 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0000384 00000000 00000000 00000000 01000000 10000000 00000000 00000000 00000000* +L0000448 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0000512 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00001100* +L0000576 000000 000000 000000 000000 000000 000000 000000 000001* L0000624 000000 000000 000000 000000 000000 000000 000000 000000* -L0000672 000000 000000 000000 000000 000000 000000 000000 100000* -L0000720 000000 000000 000000 000000 000000 000000 000000 100000* +L0000672 000100 000000 000000 000000 000000 000000 000000 000000* +L0000720 000000 000000 000000 000000 000000 000000 000000 000000* L0000768 000000 000000 000000 000000 000000 000000 000000 000000* L0000816 000000 000000 000000 000000 000000 000000 000000 000001* -L0000864 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0000928 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0000992 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0001056 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0001120 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0001184 00000000 00000000 10000000 00001000 00000000 00000000 00010000 00000000* -L0001248 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0001312 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00100000* -L0001376 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0000864 00001000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* +L0000928 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0000992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001184 00001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0001248 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0001312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001440 000000 000000 000000 000000 000000 000000 000000 000000* -L0001488 000000 000000 000000 000000 000000 001000 000000 000000* -L0001536 000000 000000 000001 000000 000000 000000 000000 000000* -L0001584 000000 000000 000001 000000 000000 001000 000000 000000* -L0001632 000000 000000 000001 000000 000000 001000 000000 001000* -L0001680 000000 000000 000001 000000 000000 000000 000000 001000* -L0001728 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001488 000000 000000 000000 000000 000000 000000 000000 000000* +L0001536 000000 000000 000000 000000 000000 000000 000000 000000* +L0001584 000110 000000 000000 000000 000000 000000 000000 000000* +L0001632 000000 000000 000000 000000 000000 000000 000000 000000* +L0001680 000000 000000 000000 000000 000000 000000 000000 000000* +L0001728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001792 00000010 00000000 00000000 00000000 00000000 00000000 10000000 00000000* L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002048 00000000 00000000 00000000 11000000 00000000 10000000 00000000 00000000* -L0002112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002048 00000000 00000000 00000000 11000000 00000000 00000000 00000000 00000000* +L0002112 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002240 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* -L0002304 000000 000000 000000 000000 000001 000000 000000 000000* +L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002304 000000 000000 000000 000000 000000 000000 000000 000000* L0002352 000000 000000 000000 000000 000000 000000 000000 000000* L0002400 000000 000000 000000 000000 000000 000000 000000 000000* L0002448 000000 000000 000000 000000 000000 000000 000000 000000* L0002496 000000 000000 000000 000000 000000 000000 000000 000000* -L0002544 000000 000000 000000 000000 000000 000000 000000 000000* -L0002592 00001000 00000000 00100000 00000000 01000000 00000000 00000000 00000000* -L0002656 00001000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0002720 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0002544 000010 000000 000000 000000 000000 000000 000001 000000* +L0002592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002912 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0002976 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0003040 00000000 00000000 10011100 00000000 00000000 10000000 00000000 00000000* -L0003104 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0003168 000000 000000 100100 000000 000000 000000 000000 000000* -L0003216 100000 000000 000000 000000 101000 000000 000000 000000* -L0003264 000000 000000 111000 000000 100000 000000 000000 000000* -L0003312 000000 000000 100000 000000 100000 000000 000000 000000* -L0003360 000000 000000 001000 000000 000000 000000 000000 000000* -L0003408 000000 000000 000010 000000 000000 000000 000000 000000* -L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0003520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0003648 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0003712 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* +L0002912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003168 000000 000000 000000 000000 000000 000000 000000 000000* +L0003216 000000 000000 000000 000000 000000 000000 000000 000000* +L0003264 000000 000000 000000 000000 000000 000000 000000 000000* +L0003312 000000 000000 000000 000000 000000 000000 000000 000000* +L0003360 000000 000000 000000 000000 000000 000000 000000 000000* +L0003408 000000 000000 000000 000000 000000 000000 000000 000000* +L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003712 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0003840 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000100* -L0004032 000000 000000 001000 000000 000000 000000 000000 000000* -L0004080 000000 000000 000000 000000 000000 000010 000000 000000* -L0004128 000000 000000 000000 000000 000000 000000 000000 100000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004032 000000 000000 000000 000000 000000 000000 000000 000000* +L0004080 000000 000000 000000 000000 000000 000000 000000 000000* +L0004128 000000 000000 000000 000000 000000 000000 000000 000000* L0004176 000000 000000 000000 000000 000000 000000 000000 000000* -L0004224 000000 000000 000010 000000 000000 000000 000000 000000* -L0004272 000000 000000 000101 000000 000000 000000 000000 000000* -L0004320 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0004384 00000000 00000000 00100000 00000000 00101000 00000000 00000000 00000000* -L0004448 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0004512 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0004576 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0004640 00000000 00000000 10000000 00000000 00000000 00000000 01000000 00000000* -L0004704 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0004768 00000000 00000000 10101100 00000000 00000000 00000000 00000000 00000000* -L0004832 00000000 00000000 10001100 00000000 00000000 00000000 00000000 00000000* -L0004896 000000 000000 000010 000000 000000 000000 000000 000000* -L0004944 000000 000000 000000 000000 000000 000000 000000 000000* -L0004992 000000 000000 011001 010000 000000 000000 000000 000000* -L0005040 000000 000000 011000 000000 000000 000000 000000 000000* -L0005088 000000 000000 011000 000000 000000 000000 000000 000000* -L0005136 000000 000000 001010 000000 000000 000000 000000 000000* -L0005184 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005248 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005312 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005376 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005440 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005504 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005568 00000000 00000000 00010000 00000000 00000000 00001000 00000000 00000000* -L0005632 00000010 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0004224 000000 000000 000000 000000 000000 000100 000000 000000* +L0004272 000001 000000 000000 000000 000000 000001 000000 000000* +L0004320 00000000 00000000 00000000 00000000 10000100 00001000 00000000 00000000* +L0004384 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0004448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004640 00000000 00000000 01000000 00000000 00000100 00000000 00000000 00000000* +L0004704 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0004768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004896 000000 000000 000000 000000 000000 000000 000000 000000* +L0004944 000000 000000 000000 000000 000001 000000 000000 000000* +L0004992 000000 000000 000000 000000 000000 000000 000000 000000* +L0005040 000000 000000 000000 000000 000000 000000 000000 000000* +L0005088 000000 000000 000000 000000 000000 000000 000000 000000* +L0005136 000000 000000 000000 000000 000000 000000 000000 000000* +L0005184 00000010 00000000 00000000 00100000 00000000 00000000 00000000 00000100* +L0005248 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0005312 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0005376 10000011 00000000 00000000 00100000 00000000 00000000 00000000 00000100* +L0005440 00000110 00000000 00000000 00000100 00000000 00000000 00000000 00000100* +L0005504 00010001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005568 00010000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0005632 00000010 00000000 00000000 10000000 00000000 00000000 00000000 00000000* L0005696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005760 000000 000000 000000 000000 000000 000000 000000 000000* -L0005808 000000 000000 000000 000000 000000 000000 000000 000000* -L0005856 000000 000000 000001 000000 000000 000000 000000 000000* -L0005904 000000 000000 000000 000000 000000 000000 000000 000000* -L0005952 000000 000000 000000 000000 000000 000000 000000 000000* +L0005760 000000 000000 000000 000110 000000 000000 000000 000000* +L0005808 000000 000000 000000 000001 000000 000000 000000 000000* +L0005856 000000 000000 000000 000000 000000 000000 000000 000000* +L0005904 000000 000000 000000 000000 000000 000000 000000 000001* +L0005952 000000 000000 000000 000010 000000 000000 000000 000000* L0006000 000000 000000 000000 000000 000000 000000 000000 000000* -L0006048 10000010 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0006112 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006240 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006048 00000010 00000000 00001000 00000000 10000100 00000000 00000000 00000000* +L0006112 00000011 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0006176 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0006240 00000001 00000000 00000000 00001000 00000000 00000000 00000000 00000000* L0006304 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006368 00000011 00000000 00000000 00001000 00000000 00000000 00000000 01000000* -L0006432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006496 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0006560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006368 00000011 00000000 00010000 00000000 00000100 00000000 00010000 00000000* +L0006432 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0006496 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0006560 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* L0006624 000000 000000 000000 000000 000000 000000 000000 000000* -L0006672 000000 000000 000000 000000 000000 000000 000000 000000* +L0006672 000000 000000 000100 000000 100001 000000 000000 000000* L0006720 000000 000000 000000 000000 000000 000000 000000 000000* L0006768 000000 000000 000000 000000 000000 000000 000000 000000* L0006816 000000 000000 000000 000000 000000 000000 000000 000000* L0006864 000000 000000 000000 000000 000000 000000 000000 000000* -L0006912 00000000 00000000 00000000 00000000 00010000 10000000 00000000 00000000* -L0006976 00000000 00000000 10000000 00000000 00000000 00100000 00000000 00000000* -L0007040 00000000 00000000 00010100 00000000 00000000 00100000 00000000 00000000* -L0007104 00000000 00000000 00000000 00000000 00101000 00001000 00000000 00000000* -L0007168 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0007232 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0006912 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007232 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0007296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007360 00000000 00000000 10000100 00000000 00000000 10000000 00000000 00000000* -L0007424 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* -L0007488 000000 000000 001000 000000 000000 000000 000000 000000* -L0007536 010000 000000 001000 000000 000000 000000 100000 000000* -L0007584 000000 000000 000010 000000 000000 000000 100000 000000* -L0007632 000000 000000 000011 000000 000000 000000 000000 000000* -L0007680 000000 000000 001011 000000 000001 000000 000000 000000* -L0007728 000000 000000 001001 000000 000000 000000 000000 000000* -L0007776 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0007840 00000000 00000000 00000000 00000000 01000000 00001000 00000000 00000000* -L0007904 00000000 00000000 00000000 00000000 01000000 00001000 00000000 00000000* +L0007360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007488 000000 000000 000000 000000 000000 000000 000000 000000* +L0007536 000000 000000 000000 000000 000000 000000 000000 000000* +L0007584 000000 000000 000000 000000 000000 000000 000000 000000* +L0007632 000000 000000 000000 000000 000000 000000 000000 000000* +L0007680 000000 000000 000000 000000 000000 000000 000000 000000* +L0007728 000000 000000 000000 000000 000000 000000 000000 000000* +L0007776 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0007840 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0007968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0008032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008096 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0008160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008096 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0008160 00010000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* L0008224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0008288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008352 000000 000000 000100 000000 000000 000000 000000 000000* -L0008400 000000 000000 000000 000000 000000 000000 000000 000000* -L0008448 000000 000000 000000 010000 000000 000000 000000 000000* -L0008496 000000 000000 100000 010000 100000 000000 000000 000000* +L0008352 000000 000000 000000 000000 000000 000000 000000 000000* +L0008400 000000 000000 000000 000000 000000 000000 010000 000000* +L0008448 000000 000000 000000 001100 000000 000000 000000 000000* +L0008496 000000 000000 000000 000000 000000 000000 000000 000000* L0008544 000000 000000 000000 000000 000000 000000 000000 000000* L0008592 000000 000000 000000 000000 000000 000000 000000 000000* L0008640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0008704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0008768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008832 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009024 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0009024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0009088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0009216 000000 000000 000000 000000 000000 000000 000000 000000* -L0009264 000000 000000 000000 000000 000000 000000 000000 000000* -L0009312 000000 000000 000000 000000 000000 000000 000000 000000* -L0009360 000000 000000 000000 000000 000000 000000 000000 000000* -L0009408 000000 000000 000000 000000 000000 000000 000000 000000* -L0009456 000000 000000 000000 000000 000000 000000 000000 000000* -L0009504 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0009568 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0009632 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0009696 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0009824 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0009888 00000000 00000000 10001100 00000000 00000000 00000000 00000000 00000000* -L0009952 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0010016 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0010080 000000 000000 100110 000000 000000 000000 000000 000000* +L0009264 000000 000000 000000 000000 000000 000101 000000 000000* +L0009312 000000 000000 000000 000010 000000 000001 000000 000000* +L0009360 000000 000000 000000 000000 000000 000101 000000 000000* +L0009408 000000 000000 000000 000000 000000 000101 000000 000000* +L0009456 000000 000000 000000 000000 000000 000001 000000 000000* +L0009504 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0009568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009824 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0009888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0010016 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0010080 000000 000000 000000 000000 000000 000000 000000 000000* L0010128 000000 000000 000000 000000 000000 000000 000000 000000* -L0010176 000000 000000 111000 000000 000000 000000 000000 000000* -L0010224 000000 000000 111000 000000 000000 000000 000000 000000* -L0010272 000000 000000 011000 000000 000000 000000 000000 000000* -L0010320 000000 000000 001010 000000 000000 000000 000000 000000* -L0010368 00000011 00000000 00000010 00000001 00000011 00000011 00000001 10000001* -L0010432 00000011 00000000 00001001 00000011 00000011 00000011 00000011 11010011* -L0010496 00000011 00000000 00001011 00000001 00000010 00101011 00000010 11010011* -L0010560 00000011 00000000 00001011 00000011 00000001 00000011 00000011 11010011* -L0010624 00000011 00000000 00001010 00000011 00000011 00000011 00000010 11010011* -L0010688 00000011 00000000 00001010 00000011 00000011 00000011 00000011 00000011* -L0010752 00000011 00000000 00000011 00100011 00000010 00000011 00000011 01000111* -L0010816 00000011 00000001 00000011 00000001 00000001 01000011 00000011 01000111* -L0010880 00000011 00000001 00000011 00000011 00000010 00000011 00000011 01000111* -L0010944 000000 000000 000000 000000 000000 000000 000000 110001* -L0010992 000000 000000 000000 000000 000000 000000 000000 101000* -L0011040 000000 000000 000000 000000 000000 000000 000000 001000* -L0011088 000000 000000 000100 000000 000000 000000 000000 001000* -L0011136 000000 000000 000100 000000 000000 000000 000000 000000* -L0011184 000000 000000 000100 000000 000000 000000 000000 000000* -L0011232 00000000 00000000 01100001 00000000 00000000 00101000 01000000 01010010* -L0011296 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0011360 00000000 00000000 00100000 00000000 00000001 00000100 00000000 00000000* -L0011424 00000100 00000000 00100000 00000000 00000010 00000000 00000000 00000000* -L0011488 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0011552 00000000 00000000 00000001 00000000 00000000 01000000 00000100 00000000* -L0011616 00000000 00000000 00000000 00000000 00000001 00000000 00000000 10100000* -L0011680 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00100000* -L0011744 00000000 00000000 00000000 00000000 00000001 00000000 00000000 10000000* +L0010176 000000 000000 000000 000000 000000 000000 000000 000000* +L0010224 000000 000000 000000 000000 000000 000000 000000 000000* +L0010272 000000 000000 000000 000000 000000 001000 000000 000000* +L0010320 000000 000000 000000 000000 000000 000000 000000 000000* +L0010368 00000010 00000000 00100001 00000001 00000011 00000001 00000011 00000011* +L0010432 00000001 00000000 00000001 00000011 00000011 00000011 00000011 00000011* +L0010496 00000001 00000000 00000001 00000011 00000011 00000001 00000010 00000011* +L0010560 00000001 00000000 00000011 00000011 00000011 00000001 00000001 00000011* +L0010624 00000001 00000000 00000011 00000011 00000011 00000011 00000010 00000011* +L0010688 00000001 00000001 00000011 00000011 00000011 00000111 00000011 00000011* +L0010752 00000001 00000001 00001011 00000011 00000011 00000011 00000011 00000011* +L0010816 00000001 00000001 00000011 00000001 00000011 00000011 00000011 00000011* +L0010880 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0010944 000000 000000 000000 000000 000000 000000 000000 000000* +L0010992 000000 000000 000000 000000 000000 000101 000000 000010* +L0011040 000000 000000 000000 000000 000000 000001 000000 000010* +L0011088 000000 000000 000000 000000 000000 000101 000000 000000* +L0011136 000000 000000 000000 000000 000000 000101 000000 000000* +L0011184 000000 000000 000000 000000 000000 000001 000000 000000* +L0011232 00000000 00000100 00000000 00000010 11000100 00000000 00001000 00000000* +L0011296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0011360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0011424 00000000 00000000 00000000 00000000 00000000 00000000 01001000 00000000* +L0011488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0011552 00000000 00000000 00001000 00000000 00000100 00000000 00000000 00000000* +L0011616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0011680 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0011744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0011808 000000 000000 000000 000000 000000 000000 000000 000000* -L0011856 000000 000000 010000 000000 000000 000000 000001 000000* -L0011904 000000 000000 000000 000000 001000 000000 000000 000001* -L0011952 000000 000000 010000 000000 000000 010000 000000 000001* -L0012000 000010 000000 000000 000000 000000 000000 000000 001001* -L0012048 000000 000000 000000 000000 000000 000000 000000 001001* -L0012096 00000000 00000000 10000010 00000000 00000001 00101001 00000000 00000001* -L0012160 00000000 00000000 10000001 00000010 00000011 00000011 00000011 00000001* -L0012224 00000000 00000000 10000001 00000000 00000010 00000111 00000010 00000010* -L0012288 00000001 00000000 10000001 00000000 00000001 00000011 00000000 00000010* -L0012352 00000001 00000000 10000000 00000000 00000000 00000011 00000010 00000000* -L0012416 01000000 00000000 10000000 00000010 00000001 01000010 00000010 00000001* -L0012480 00000000 00000000 10000011 00000001 00000010 00000011 00000000 00000010* -L0012544 00000001 00000000 10000000 00000011 00000001 00000010 00000010 00000000* -L0012608 00000001 00000000 10000010 00000001 00000010 00000011 00000000 00000011* -L0012672 000000 000000 100000 000000 000000 000000 000000 000000* -L0012720 001000 000000 000000 000000 000000 000000 000000 000000* -L0012768 000000 000000 100001 000000 000000 000000 000000 000000* -L0012816 000000 000000 100001 000000 000000 010000 000000 000000* -L0012864 000000 000000 000001 000000 000000 000000 000000 000000* +L0011856 000000 000000 000000 000000 100001 000000 000000 000000* +L0011904 000000 000000 000000 000000 000000 000000 000000 000000* +L0011952 000000 000000 000000 000000 000000 001000 000000 000000* +L0012000 000000 000000 000000 000000 000000 000000 000000 000000* +L0012048 000000 000000 000000 000000 000000 000000 000000 000000* +L0012096 00000000 00000000 00100000 00000001 00000000 00000100 00001001 00000000* +L0012160 00000101 00000000 00000000 00000011 00000010 00000111 00000000 00000000* +L0012224 00000001 00000000 00000000 00000001 00000000 00000101 00000000 00000001* +L0012288 00000001 00000000 00011100 00000001 00000000 00000001 01001000 00000001* +L0012352 00000001 00000000 00000101 00000011 00000000 00000001 00000000 00000001* +L0012416 00000001 00000000 00001000 00000011 00000000 00000000 00000001 00000001* +L0012480 00000001 00000000 00001001 00000001 00000000 00000001 00000001 00000001* +L0012544 00000001 00000000 00000000 00000011 00000000 00000000 00000001 00000001* +L0012608 00000001 00000001 00010011 00000011 00000000 00000011 00000001 00000011* +L0012672 000000 000000 000000 000000 000000 000000 000000 000000* +L0012720 000000 000000 000000 000000 000000 000000 000000 000000* +L0012768 000000 000000 000000 000000 000000 000000 000000 000000* +L0012816 000000 000000 000000 000000 000000 000000 000000 000000* +L0012864 000000 000000 000111 000000 000000 000000 000000 000000* L0012912 000000 000000 000001 000000 000000 000000 000000 000000* -L0012960 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000010* -L0013024 00000000 00000000 00000010 00000000 00000000 00101011 00000000 00000010* -L0013088 00000000 00000000 00000010 00000000 00000001 00000001 00000000 00000000* -L0013152 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0013216 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000010* -L0013280 00000000 00000000 00000011 01000000 00000010 00000000 00000000 00000010* -L0013344 00000000 00000000 00000000 00000000 00000001 01000000 01000000 00000000* -L0013408 00000000 00000000 00000011 00000010 00000010 00000001 00000000 00000011* -L0013472 00000000 00000000 00000001 00000000 00000001 00000010 00000000 00000000* +L0012960 00000010 00000000 00000001 00000010 11100100 00000001 00100010 00000010* +L0013024 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0013088 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0013152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0013216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0013280 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0013344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0013408 00000000 00000000 00000010 00000010 00000000 00000010 00000000 00000000* +L0013472 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* L0013536 000000 000000 000000 000000 000000 000000 000000 000000* -L0013584 000000 000000 000000 000000 000000 000000 000000 000000* -L0013632 000000 000000 000000 000000 000000 000000 000000 000000* +L0013584 000000 000000 000001 000000 100001 000000 000000 000000* +L0013632 000000 000000 000000 000000 000000 000001 000000 000000* L0013680 000000 000000 000000 000000 000000 000000 000000 000000* L0013728 000000 000000 000000 000000 000000 000000 000000 000000* L0013776 000000 000000 000000 000000 000000 000000 000000 000000* -L0013824 00000000 00000000 00000010 00000000 00000001 00000001 00000000 00000001* -L0013888 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00010000* -L0013952 00000000 00000000 00000000 00000000 00000010 00000001 00000000 00000010* -L0014016 00000000 00000000 00000001 00000000 00000001 00010011 00000000 10100010* -L0014080 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0014144 00000000 00000000 00000000 00010010 00000000 00000010 00000000 00100000* -L0014208 00000000 00000000 00000011 00000001 00000010 00000111 00000000 00000010* -L0014272 00000000 00000000 00000000 00000001 00000001 00000010 00000010 00000000* -L0014336 00000000 00000000 00000010 00000001 00000000 00000011 00000000 00000011* -L0014400 000000 000000 000000 000000 000000 000000 000000 000000* -L0014448 000000 000000 000000 010000 000000 000000 000000 010000* -L0014496 000000 000000 000000 010000 000000 000000 000000 010001* -L0014544 000000 000000 000000 010000 000000 000000 000000 000000* -L0014592 000000 000000 000000 000000 000000 000000 000000 101000* -L0014640 000000 000000 000000 000000 000000 000001 000000 000000* -L0014688 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000010* -L0014752 00000000 00000000 00010011 00000000 00000011 00000000 00000000 01000010* -L0014816 00000000 00000000 00010011 00000000 00000001 00000000 00000000 10100000* -L0014880 00000000 00000000 00010000 00000000 00000010 00000000 11111100 00010000* -L0014944 00000000 00000000 00010001 00000000 00000001 00000000 00000000 00000010* -L0015008 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000000* -L0015072 00000000 00000000 00100000 00000000 00000001 00000000 00000000 00000000* -L0015136 00000000 00000000 00100011 00000000 00000010 00000000 00000000 00100011* -L0015200 00000000 00000000 00100001 00000000 00000011 00000000 00000100 00000000* -L0015264 000000 000000 001000 000000 000000 000000 000000 100000* -L0015312 000000 000000 001000 000000 000000 000000 000000 000000* -L0015360 000000 000000 001000 000000 000000 000000 000000 000000* -L0015408 000000 000000 001000 000000 000010 000000 000000 000000* -L0015456 000000 000000 001000 000000 000000 000000 000011 000000* -L0015504 000000 000000 001000 000000 000000 000000 000000 000000* -L0015552 00000000 00000000 10000010 00000001 00000011 00000001 00000011 00000000* -L0015616 00000000 00000000 00010100 00000000 00010000 00000001 00000000 00000000* -L0015680 00000000 00000000 10000000 00000001 00010010 00000000 00000000 00000010* -L0015744 00000000 00000000 10010101 00000001 00010001 00010001 00000011 00000011* -L0015808 00000000 00000000 10010100 00000001 00010000 00000000 00000000 00000001* -L0015872 00000001 00000000 10000000 00000001 00000000 00000000 00000001 00000000* -L0015936 00000001 00000000 10100111 00000001 00000010 00000101 00000011 00000011* -L0016000 00000000 00000000 00000000 00000001 00000001 00000000 00000011 00000000* -L0016064 00000001 00000000 00000010 00000001 00000000 00000011 00000001 00000011* -L0016128 000000 000000 000000 000000 000000 000000 000000 000000* -L0016176 000000 000000 000010 000000 000000 000000 000000 000000* -L0016224 000000 000000 001000 000000 000000 000000 000000 000000* -L0016272 000000 000000 001000 000001 001000 000000 000000 000000* +L0013824 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0013888 00000000 00000000 00000000 00000000 00000000 00000011 00000000 10000100* +L0013952 00000001 00000000 00000000 00000001 00000000 00000001 00000000 10000101* +L0014016 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000100* +L0014080 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000101* +L0014144 00000001 00000000 00000100 00000011 00000000 00000000 00000001 00001000* +L0014208 00000001 00000000 00000001 00000001 00000000 00000001 00000001 00001101* +L0014272 00000001 00000001 00000000 00000001 00000000 00000000 00000001 00001101* +L0014336 00000001 00000001 00000011 00000001 00000000 00000011 00000001 00001101* +L0014400 000000 000000 000000 000000 000000 000000 000000 000001* +L0014448 000000 000000 000000 000000 010000 000000 000000 000000* +L0014496 000000 000000 000000 000000 000000 000000 000000 001000* +L0014544 000000 000000 000000 000000 000000 000000 000000 000000* +L0014592 000000 000000 000000 000000 000000 000000 000000 000001* +L0014640 000000 000000 000000 000000 000000 001000 000000 000001* +L0014688 00000010 00000000 00000000 00001110 00000000 00000000 00000010 00000010* +L0014752 00000000 00000000 00000000 00000111 00000000 00000000 00000000 00000000* +L0014816 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0014880 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0014944 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0015008 00010000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0015072 00010001 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0015136 00000000 00000001 00000000 00001000 00000000 00000000 00000000 00000000* +L0015200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0015264 000000 000000 000000 000000 000000 000000 000000 000000* +L0015312 000000 000000 000000 000100 000000 000000 000000 000000* +L0015360 000000 000000 001000 001100 000000 000000 000000 000000* +L0015408 000000 000000 000000 000001 000000 000000 000000 000000* +L0015456 000000 000000 000000 000001 000000 000000 000000 000000* +L0015504 000000 000000 000000 000000 000000 000000 000000 000000* +L0015552 00000000 00000000 00000001 00000000 00000011 00001000 00000000 00000001* +L0015616 00000000 00000000 00000001 00000001 00000000 00011101 00000000 00000001* +L0015680 00000000 00000000 00000001 00000001 00000010 00000101 00000000 00000000* +L0015744 00000000 00000000 00000001 00000001 00000011 00000000 10000011 00000000* +L0015808 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0015872 00000001 00000000 00000001 00000010 00000000 00000000 00000000 00000000* +L0015936 00000000 00000000 00000001 00000010 00000011 00100000 00000001 00000001* +L0016000 00000001 00000000 00000000 00000001 00000011 00000000 00000001 00000001* +L0016064 00000001 00000001 00000011 00000001 00000001 00000011 00000001 00000001* +L0016128 000000 000000 000000 000000 000000 000000 000001 000000* +L0016176 000000 000000 000000 000000 000000 000000 000000 000000* +L0016224 000000 000000 000000 000000 000000 000000 000000 000000* +L0016272 000000 000000 000000 000000 000000 000000 000000 000000* L0016320 000000 000000 000000 000000 000000 000000 000000 000000* -L0016368 000000 000000 000010 000000 000000 000000 000000 000000* -L0016416 00000000 00000000 00000001 00000001 00000010 00000000 01100011 00000011* -L0016480 00000000 00000000 00000011 00000000 00000001 00000000 00000000 00000010* -L0016544 00000000 00000000 00000001 00000101 00000001 00000000 00000000 00000000* -L0016608 00000000 00000000 00000000 00001001 00000000 00000000 00000011 00001101* -L0016672 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000011* -L0016736 00000001 00000000 00000011 00000001 00000010 00000000 00000101 00010100* -L0016800 00000101 00000000 00000000 00000001 00000001 00000000 00000011 00010001* -L0016864 00000000 00000000 00000011 00000001 00000010 00000000 00000001 00000001* -L0016928 00000001 00000000 00010001 00001001 00000001 00000000 00000001 00001000* -L0016992 000000 000000 000100 000001 000000 000000 000000 000000* -L0017040 000000 000000 000000 000100 000000 000000 000001 000000* -L0017088 000000 000000 100000 000000 000000 000000 000000 000000* -L0017136 000000 000000 100000 000000 000000 000000 000000 000000* +L0016368 000000 000000 000000 000000 000000 000000 000000 000000* +L0016416 00000010 00000000 00000001 00000010 11100111 00000000 00000010 00000001* +L0016480 00000000 00000000 00010001 00000001 00000000 00000000 00000000 00000001* +L0016544 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000000* +L0016608 00000000 00000000 00000001 00000000 00000011 00000000 00000011 00000000* +L0016672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0016736 00000000 00000000 00000001 00000001 00100100 00000000 00000000 00000000* +L0016800 00010001 00000000 00000001 00000011 00000011 00000000 00000000 00000000* +L0016864 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000000* +L0016928 00000000 00000001 00000001 00000000 00000001 00000000 00000000 00000000* +L0016992 000000 000000 000000 000000 000000 000000 000000 000000* +L0017040 000000 000000 000000 000000 100001 000100 000000 000000* +L0017088 000000 000000 000000 000000 000000 000000 000000 000000* +L0017136 000000 000000 000000 000000 000000 000000 000000 000000* L0017184 000000 000000 000000 000000 000000 000000 000000 000000* -L0017232 000000 000000 000000 000001 000000 000000 000000 000000* -L0017280 00000000 00000000 00000010 00100000 00000001 00000000 00000000 00000000* -L0017344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017408 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000010* -L0017472 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000010* +L0017232 000000 000000 000000 000000 000000 000000 000000 000000* +L0017280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017344 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0017408 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0017472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0017536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017600 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0017664 00000000 00000000 00010011 00000000 00000010 00000001 00000000 00000010* -L0017728 00000000 00000000 00100000 00000001 00000001 00000000 00000010 00000000* -L0017792 00000000 00000000 00000010 00000001 00000000 00000001 00000000 00000011* -L0017856 000000 000000 000000 000000 000000 000000 000000 000000* +L0017600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017664 00010000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* +L0017728 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000001* +L0017792 00000000 00000000 00000011 00000000 00000000 00000011 00000001 00000001* +L0017856 000000 000000 000000 000000 000000 000100 000000 000000* L0017904 000000 000000 000000 000000 000000 000000 000000 000000* -L0017952 000000 000000 000001 000000 000000 000000 000000 000010* +L0017952 000000 000000 000000 000000 000000 000000 000000 000000* L0018000 000000 000000 000000 000000 000000 000000 000000 000000* L0018048 000000 000000 000000 000000 000000 000000 000000 000000* L0018096 000000 000000 000000 000000 000000 000000 000000 000000* -L0018144 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000011* -L0018208 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000010* -L0018272 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000000* -L0018336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0018400 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000010* -L0018464 00000000 00000000 00000001 00000000 00000010 00000000 10000000 00000100* -L0018528 00000000 00000000 00000000 10000000 00000001 00000000 00000000 00000000* -L0018592 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000001* -L0018656 00000000 00000000 00000001 00000000 00010001 00000000 00000000 00000000* +L0018144 00000010 00000000 00000000 00000010 11111100 00000000 00000000 00000000* +L0018208 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0018272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0018336 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0018400 10000000 00000000 00000000 10001001 00000000 00000000 00000000 00000000* +L0018464 00010001 00000000 00000000 00000001 00100100 00000000 00000000 00000000* +L0018528 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0018592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0018656 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* L0018720 000000 000000 000000 000000 000000 000000 000000 000000* -L0018768 000000 000000 000000 000000 000000 000000 000000 000000* +L0018768 000000 000000 000000 000000 100001 000000 000000 000000* L0018816 000000 000000 000000 000000 000000 000000 000000 000000* L0018864 000000 000000 000000 000000 000000 000000 000000 000000* -L0018912 000000 000000 000000 000000 000000 000000 000000 000000* -L0018960 000000 000000 000000 000000 000000 000000 000000 000000* -L0019008 00000000 00000000 00000000 00000000 00000001 00000000 00000010 00000000* -L0019072 00000000 00000000 00000001 00000011 00000010 00000001 00000000 00000000* -L0019136 00000000 00000000 00000000 00000101 00000000 00000010 00000001 00000001* -L0019200 00000000 00000000 00000000 00011100 00000001 00000000 00000000 00001100* -L0019264 00000000 00000000 00000000 00000010 00000000 00000001 00000001 00000010* -L0019328 00000000 00000100 00000000 00000011 00000010 00000010 00000000 00010110* -L0019392 00000000 00000000 00000001 00000001 00000010 00000011 00000000 00010010* -L0019456 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000010* -L0019520 00000000 00000000 00000001 00001100 00000000 00000010 00000000 00001011* -L0019584 000000 000000 000000 000001 000000 000000 000000 000000* -L0019632 000000 000000 000000 000100 000000 000000 000000 000000* +L0018912 000000 000000 000000 010000 000000 000000 000000 000000* +L0018960 000001 000000 000000 000011 000000 000000 000000 000000* +L0019008 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0019072 00001000 00000000 00000000 00000011 00000010 00000001 00000000 00000000* +L0019136 00001000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0019200 00000001 00000000 00000000 00000000 00000000 00000000 00000011 00000001* +L0019264 00000000 00000000 00000000 00000010 00000000 00000001 00000000 00000000* +L0019328 00000001 00000000 00000001 00000011 00000010 00000000 00000000 00000010* +L0019392 00000000 00000000 00000001 00000011 00000000 00000001 00000000 00000001* +L0019456 00000001 00000000 00000001 00000001 00000000 00000001 00000000 00000010* +L0019520 00001001 00000001 00000000 00000001 00000000 00000011 00000000 00000011* +L0019584 000000 000000 000000 000000 000000 000000 000000 000000* +L0019632 000000 000000 000000 000000 000000 000000 000000 000000* L0019680 000000 000000 000000 000000 000000 000000 000000 000000* -L0019728 000000 000000 000000 000000 000000 000000 000000 000000* -L0019776 000000 000000 000000 000111 000000 000000 000000 000000* -L0019824 000000 000000 000000 000001 000000 000000 000000 000000* -L0019872 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00000000* -L0019936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020000 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000010* -L0020064 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000000* +L0019728 000000 000000 000000 000100 000000 000000 000000 000000* +L0019776 000010 000000 000000 000000 000000 000000 000000 000000* +L0019824 000010 000000 000000 000000 000000 000000 000000 000000* +L0019872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0019936 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0020000 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0020064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0020128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020256 00000000 00000000 00000011 00000000 00000010 00000000 00000000 00000000* -L0020320 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0020384 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000001* +L0020192 00000000 00000000 00010000 00000001 00000000 00000000 00000000 00000000* +L0020256 00001001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0020320 00001001 00000100 00000000 00000001 00000000 00000000 00000000 00000000* +L0020384 00000000 00000000 00000010 00000000 00000000 00000010 00000000 00000000* L0020448 000000 000000 000000 000000 000000 000000 000000 000000* -L0020496 000000 000000 000000 001001 000000 000000 000000 000000* -L0020544 000000 000000 000000 000000 000000 000000 100000 000000* -L0020592 000000 000000 000000 000000 000000 000000 000000 000000* +L0020496 000000 000000 000000 000000 000000 000000 000000 000000* +L0020544 000000 000000 000000 000000 000000 000000 000000 000100* +L0020592 000100 000000 000000 000000 000000 000000 000000 000100* L0020640 000000 000000 000000 000000 000000 000000 000000 000000* L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 10000000 00000000 00100010 00000000 00000010 00000000 00000000 00000000* -L0020800 00000000 00000000 00101000 00000000 00000000 00100000 00000000 00000000* -L0020864 00000000 00000000 00101000 00000000 00000010 00100000 00000000 00000000* -L0020928 00000000 00000000 00101001 00000000 00000001 00000000 00000000 00000010* -L0020992 00000000 00000000 00101000 00000000 00000001 00000000 00000000 00000010* -L0021056 00000000 00000000 00001000 00000000 00000000 10000000 00000000 00000000* -L0021120 00000000 00000000 00001001 00000000 00000010 00000000 00000000 00000010* -L0021184 00000000 00000000 00001000 00000000 00000001 10000000 00000000 00000000* -L0021248 00000000 00000000 00001010 00000000 00000000 00000000 00000000 00000011* -L0021312 000000 000000 000010 000000 000000 000000 000000 000000* -L0021360 100000 000000 000000 000000 000000 000000 000000 000000* -L0021408 000000 000000 010000 000000 000000 000000 000000 000000* -L0021456 000000 000000 010100 000000 000000 000000 000000 000000* -L0021504 000000 000000 010100 000000 000000 000000 000000 000000* -L0021552 000000 000000 000100 000000 000000 000000 000000 000000* -L0021600 00001000 00000000 00001001 00001000 00000010 10001000 01110000 00000011* -L0021664 00000000 00000000 00000011 00000000 00000010 00000000 00000000 00000000* -L0021728 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0021792 00000000 00000000 00000000 00000000 00000010 00001000 00000000 00000000* -L0021856 00000000 00000000 00000001 00000000 00000001 00000100 00000000 00000010* -L0021920 00000000 00000000 00000000 00001000 00000001 00000000 00000100 00010110* -L0021984 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00010000* -L0022048 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000010* -L0022112 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0020736 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0020800 00001000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0020864 00001000 00000000 00000000 00000001 00000000 00000000 00000100 00000000* +L0020928 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0020992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021184 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0021248 00000001 00000000 00000010 00000001 00000000 00000000 00000001 00000001* +L0021312 000000 000000 000000 000000 000000 000000 000001 000000* +L0021360 000000 000000 000000 000000 000000 000000 000000 000000* +L0021408 000010 000001 000000 000000 000000 000000 000000 000000* +L0021456 000000 000000 000000 000000 000000 000000 000000 000000* +L0021504 000000 000000 000000 000000 000000 000000 000000 000000* +L0021552 000010 000000 000000 000000 000000 000000 000000 000000* +L0021600 00001010 00000000 00000001 00000010 11110100 00010000 00000010 00000010* +L0021664 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0021728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021792 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00010000* +L0021856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021920 00000000 00000000 00010000 00000000 00100100 00000000 00000000 00000000* +L0021984 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0022048 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0022112 00001001 00000000 00000000 00000001 00000000 00000000 00000000 00010000* L0022176 000000 000000 000000 000000 000000 000000 000000 000000* -L0022224 000000 000001 000000 000000 000000 000000 000001 000000* -L0022272 000000 000000 000000 000000 000000 000000 000000 000000* -L0022320 000000 000000 000000 000000 000000 000000 000000 000000* -L0022368 000000 000000 000000 000000 000000 000000 000000 000000* +L0022224 000110 000001 000100 000000 100001 000000 000000 000000* +L0022272 000100 000000 000000 000000 000000 000000 000000 000000* +L0022320 000000 000000 000000 000000 000000 000000 000001 000000* +L0022368 000010 000000 000000 000000 000000 000000 000000 000000* L0022416 000000 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000011 00000000 01000010 00000001 10000011 00000011 00000011 00000001* -L0022528 00000011 00000000 00001001 00000011 00000011 00000011 00000011 00000011* -L0022592 00000011 00000000 00001011 00000001 00010011 00000011 00000011 00000011* -L0022656 00000011 00000000 00001011 00000011 00000001 00000011 00000011 00000011* -L0022720 00000011 00000000 00001010 00000011 00000011 00000011 00000011 00000011* -L0022784 00000011 00000000 00001010 00000011 00000011 00000011 00000011 00000011* -L0022848 00000011 00000000 00000011 00000011 00000010 00001011 00000011 00000011* -L0022912 00000011 00000001 00000011 00000011 00000001 00000011 00000011 00000011* -L0022976 00000011 00000001 00000011 00000011 00000010 00000011 00000011 00000011* +L0022464 00000010 00000000 00000001 00000011 00000011 00000001 00000011 00000011* +L0022528 00001001 00000000 00000001 00000011 00000011 00000011 00000011 00000011* +L0022592 00001001 00000000 00000001 00000011 00000011 00000001 00000011 00000011* +L0022656 00000001 00000000 00000011 00000111 00000011 00000001 00000011 00000011* +L0022720 00000001 00000000 00000011 00000011 00000011 00000011 00000011 00000011* +L0022784 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0022848 00000001 00000001 00001011 00000011 00000011 00001011 00000011 00000011* +L0022912 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0022976 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* L0023040 000000 000000 000000 000000 000000 000000 000000 000000* -L0023088 000000 000000 000000 000000 000000 000000 000000 000000* -L0023136 000000 000000 000000 000000 000001 000000 000000 000000* -L0023184 000000 000000 000100 000000 000001 000000 000000 000000* -L0023232 000001 000000 000100 000000 000000 000000 000000 000000* -L0023280 000000 000000 000100 000000 000000 000000 000000 000000* -L0023328 00000000 00000000 00000000 00001000 01000100 00000000 01110000 00000000* -L0023392 00000000 00000000 00100000 00000000 01000100 00000000 00000000 00000000* -L0023456 00000000 00000000 00000000 00000000 01101100 00000000 00000000 00000000* -L0023520 00000000 00000000 00000000 00000000 00101100 00000000 00000000 00000000* -L0023584 00000000 00000000 00100000 00000000 10101100 00000000 00000000 00000000* -L0023648 00000000 00000000 00000000 00000000 00100100 00000000 00000100 10000000* -L0023712 00001000 00000000 00000000 00000000 00101100 00000000 00000000 00000000* -L0023776 00000000 00000000 00000000 00000000 10101100 00000000 00000000 00000000* -L0023840 00000000 00000000 00000000 00000000 00101100 00000000 00000000 00000000* -L0023904 000000 000000 000000 000000 001011 000000 000000 000000* -L0023952 000000 000000 000000 000000 100101 000000 000001 000000* -L0024000 000000 000000 010000 000000 110100 000000 000000 000000* -L0024048 000000 000000 000000 000000 110100 000000 000000 000000* -L0024096 000000 000000 010000 000000 110101 000000 000000 000000* -L0024144 000000 000000 000000 000000 110101 000000 000000 000000* +L0023088 000000 000000 000000 000000 000000 000000 000000 000010* +L0023136 000000 000000 000000 000000 000000 000000 000000 000000* +L0023184 000000 000000 000000 000000 000000 000000 000000 000000* +L0023232 000000 000000 000000 000000 000000 000000 000000 000000* +L0023280 000000 000000 000000 000000 000000 000000 000000 000000* +L0023328 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0023392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023648 00001000 00000000 00001000 00001000 00010000 00000000 00000000 00000000* +L0023712 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0023776 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000000* +L0023840 00001000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0023904 000000 000000 000000 000000 000000 000000 000000 000000* +L0023952 000000 000000 000000 000000 000000 000000 000000 000000* +L0024000 000000 000000 000000 000000 000000 000000 000000 000010* +L0024048 000000 000000 000000 000000 000000 000000 000000 000000* +L0024096 000010 000000 000000 000000 000000 000000 000000 000000* +L0024144 000010 000000 000000 000000 000000 000000 000000 000000* L0024192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024256 00001000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0024320 00001000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0024384 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024576 00000000 00000000 00100000 10000000 00000000 00000000 00000000 00000000* L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024768 000000 000000 000000 000000 000000 000000 001000 000000* -L0024816 000000 000000 000000 000000 000000 000000 000000 000000* -L0024864 000000 000000 000000 000000 000000 000000 000000 000000* -L0024912 000000 000000 000000 000000 000000 000000 000000 000000* -L0024960 000000 000000 000000 000000 000000 000000 000000 000000* -L0025008 000000 000000 000000 000000 000000 000000 000000 000000* -L0025056 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00001100* -L0025120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00000000 00001000 00000000 00000000 00100000 00001000* -L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025504 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0025568 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0025632 000000 000000 100100 000000 000000 000000 000000 000000* -L0025680 000000 000000 000000 000000 000000 000000 000000 000000* -L0025728 000001 000000 100000 000000 000010 000000 000000 000000* -L0025776 000000 000000 100000 000000 000000 000000 000000 000000* -L0025824 000000 000000 000000 000000 000000 000000 000000 000000* -L0025872 000000 000000 000000 000000 000000 000000 000000 000000* -L0025920 00000000 00000000 10000000 00010000 00000000 10000100 00000000 00000000* -L0025984 00000000 00000000 10010100 00000111 00000011 00100100 00000011 00000010* -L0026048 00000000 00000000 10010100 00000000 00000001 00100000 00000001 00000001* -L0026112 00000000 00000000 10010100 00000000 00000000 00001000 00000000 00000000* -L0026176 00000000 00000000 10010100 00000010 00000011 00000101 00000011 00000010* -L0026240 00000000 00000000 10000000 00000010 00000011 10000001 00000010 00000011* -L0026304 00000000 00000000 10100100 00000100 00000000 00000000 00000000 00000000* -L0026368 00000000 00000000 10000101 00000000 00000000 00000001 00000010 00000010* -L0026432 00000000 00000000 10100110 00000000 00000010 00000000 00000010 00000000* -L0026496 000000 000000 001000 000000 000000 000000 000000 000000* -L0026544 000000 000000 001010 000010 000000 000000 000000 000100* -L0026592 000000 000000 001010 000000 000000 000000 000000 000000* -L0026640 000000 000000 001011 000000 000000 000000 000000 000000* -L0026688 000000 000000 001011 000000 000000 000000 000000 000000* -L0026736 000000 000000 001011 000000 000000 000000 000000 000000* -L0026784 00000000 00000000 00000000 00000100 10000000 00000000 01111000 00000000* -L0026848 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0026912 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0026976 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0024704 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024768 000000 000000 000000 000000 000000 000000 000001 000000* +L0024816 000000 000000 001000 000000 000000 000000 000000 001000* +L0024864 000010 000000 000000 000000 000000 000000 000000 000000* +L0024912 000000 000000 001000 000000 000000 000000 000000 000000* +L0024960 000000 000000 001000 000000 000000 000000 000000 000000* +L0025008 000010 000000 001000 000000 000000 000000 000000 000000* +L0025056 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0025120 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0025184 00000000 00000000 00000000 00000000 00000000 00000000 10000000 10000000* +L0025248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025440 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025504 00001000 00000000 00000000 00000000 00000000 10000000 00000000 00001000* +L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* +L0025632 000000 000000 000000 000000 000000 000000 000000 000001* +L0025680 000110 000000 000000 000000 000100 000000 000000 000000* +L0025728 000100 000000 000000 000000 000000 000000 000010 000000* +L0025776 000000 000000 000000 000000 000000 000000 000010 000000* +L0025824 000000 000000 000000 000000 000000 000000 000001 000000* +L0025872 000000 000000 000000 000000 000000 000000 000000 000001* +L0025920 00000000 00000000 00000000 00000100 00000000 00000100 00000100 00000000* +L0025984 00000000 00000000 00000000 00000011 00000011 00000000 00000011 00000010* +L0026048 00000000 00000000 00000000 00000100 00000001 00000000 00000001 00000001* +L0026112 10000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0026176 10000100 00000000 00000000 00001110 00000011 00000001 00000011 00000010* +L0026240 00000000 00000100 00000000 00000110 00000011 00000001 00000010 00000011* +L0026304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026368 00000000 00000000 00000001 00010000 00000000 00000001 00000010 00000010* +L0026432 00000000 00000000 00000010 00000100 00000010 00000000 00000010 00000000* +L0026496 000001 000000 000000 000101 000000 000000 000000 000000* +L0026544 000000 000000 000000 000100 000000 000000 000000 000000* +L0026592 000000 000000 000000 000000 000000 000001 000000 000010* +L0026640 000000 000000 000000 000000 000000 000101 000000 000000* +L0026688 000001 000000 000000 000110 000000 000001 000000 000000* +L0026736 000001 000000 000000 000111 000000 000000 000000 000000* +L0026784 00000000 00000000 00000000 11000000 10000000 00001000 00000000 00000000* +L0026848 00000000 00000000 00000000 10001000 00000000 00011000 00000100 00000000* +L0026912 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0026976 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00000000* L0027040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027104 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0027168 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0027232 00000000 00000000 00010000 00000100 00000000 11000000 00000000 00000000* -L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027360 000000 000000 100000 000000 000000 000000 000000 000000* -L0027408 000000 000000 000000 000001 000000 000000 000011 000000* -L0027456 000000 000000 000000 000001 000000 000000 000000 000000* -L0027504 000000 000000 000000 000001 000000 000000 000000 000000* +L0027104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027168 00000000 00000000 00000000 00001000 00000000 00100000 00000000 00000000* +L0027232 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0027296 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0027360 000000 000000 000000 000000 000000 000000 000000 000000* +L0027408 000000 000000 010000 001000 000000 000001 000000 000000* +L0027456 000000 000000 000000 000000 000000 000000 000000 000000* +L0027504 000000 000000 000000 000100 000000 000000 000000 000000* L0027552 000000 000000 000000 000000 000000 000000 000000 000000* -L0027600 000000 000000 000000 000000 000000 000000 000000 000000* -L0027648 00000000 00000000 00000000 00010000 00000000 00010000 00000000 00000000* -L0027712 00000000 00000000 00000000 00000001 00000011 01000000 00000011 00000010* -L0027776 00000000 00000000 00000000 00000000 00000001 01000000 00000001 00000001* -L0027840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 00000000 00000010 00000001 00000000 00000011 00000010* -L0027968 00000000 00000000 00000000 00000110 00000111 00000001 00000010 00000110* -L0028032 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010000* -L0028096 00000000 00000000 00000011 00000010 00000000 00100000 00000010 00000010* -L0028160 00000000 00000000 00000000 00000000 00000010 00010000 00000010 00000000* +L0027600 000000 000000 000000 000000 000000 000001 000000 000000* +L0027648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027712 00000000 00000000 00000000 00000000 00000011 00000000 00000011 00000010* +L0027776 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* +L0027840 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00000010 00000001 00000000 00000011 00000000* +L0027968 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000010* +L0028032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028096 00000000 00000000 00000001 00000110 00000000 00000000 00000010 00000010* +L0028160 00000100 00000000 00000000 00000000 00000010 00000000 00000010 00000000* L0028224 000000 000000 000000 000000 000000 000000 000000 000000* -L0028272 000000 000000 000000 000010 000000 000001 100000 000010* -L0028320 000000 000000 000000 000000 000000 000001 000000 000010* -L0028368 000000 000000 000000 000000 000001 000001 000000 000000* -L0028416 000000 000000 000000 000000 000000 001000 000000 000000* -L0028464 000000 000000 000000 000000 000001 000000 000000 000000* -L0028512 00000010 00000000 00000010 00000001 00000011 01000000 00000011 00000001* -L0028576 00000011 00000000 00000001 00000010 00000000 00000000 00000000 00000001* -L0028640 00000011 00000000 00000011 00000001 00000010 00000000 00000010 00000010* -L0028704 00000011 00000000 00100011 00000011 00000001 00000000 00000011 00000011* -L0028768 00000011 00000000 00100010 00000001 10000010 00000001 00000000 00000001* -L0028832 00100001 00000000 00000010 00000001 00000000 00010100 00000001 00010001* -L0028896 00000001 00000000 10000111 00000011 00000010 00000000 00000011 00000011* -L0028960 00000000 00000000 00010000 00000101 00000001 00000000 00000001 00000001* -L0029024 00000001 00000000 10011111 00000011 00000000 00000000 00000001 00000011* -L0029088 000000 000000 100110 000000 000000 000000 000000 000000* -L0029136 000100 000000 000000 000001 000000 101100 000000 000000* -L0029184 000000 000000 100000 000001 000001 000000 100000 000000* -L0029232 000000 000000 111000 000001 000000 000000 000000 000000* -L0029280 000000 000000 010000 000000 000000 000000 000000 000000* -L0029328 000000 000000 001000 000000 000000 000000 000000 000000* -L0029376 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0029440 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0029504 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0029568 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0029632 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0029696 00000000 00000000 00000000 00000000 01011000 00000010 00000000 00000000* -L0029760 00000010 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0029824 00000001 00000001 00000000 00000000 00010000 00000011 00000000 00000000* -L0029888 00000000 00000001 00010000 00000000 00000000 00000011 00000000 00000000* +L0028272 000000 000000 000000 000000 000000 000000 000000 000000* +L0028320 000000 000000 000000 000000 000000 000000 000000 000000* +L0028368 000000 000000 000000 000000 000000 000000 000000 000100* +L0028416 000000 000000 000000 000000 000000 000000 000000 000000* +L0028464 000000 000000 000000 000000 000000 000000 000000 000000* +L0028512 00000000 00000000 00000001 00000011 10000111 00000000 00000000 00000011* +L0028576 00000001 00000000 00000001 00000011 00000000 00000000 00000000 00000001* +L0028640 00000000 00000000 00000001 00000011 00000010 00000000 00000000 00000011* +L0028704 00000000 00000000 00000011 00000011 00000011 00000000 00000011 00000011* +L0028768 00000000 00000000 00000011 00000001 00000010 00000011 00000000 00000011* +L0028832 00000000 00000000 00100011 00000001 00000000 00000000 00000000 00000001* +L0028896 00000100 00000001 00000011 00000011 00000011 00000000 00000010 00000011* +L0028960 00000101 00000000 00000010 00000001 00000011 00000000 00000000 00000001* +L0029024 00000001 00000001 00000011 00000011 00000001 00000000 00000000 00000011* +L0029088 000000 000000 000000 000000 000000 000000 000001 000000* +L0029136 000000 000000 000000 000000 000000 000000 000000 000000* +L0029184 000000 000000 000000 000000 000000 000000 000000 000000* +L0029232 000000 000000 000000 000000 000000 000000 000000 000000* +L0029280 000000 000000 000000 000000 000000 000000 000000 000000* +L0029328 000000 000000 000000 000000 000000 000000 000000 000000* +L0029376 00000000 00000000 00010000 10001000 00000000 00000001 00000011 00000000* +L0029440 00000000 00000000 00000100 10001000 00000000 00000001 00000000 00000000* +L0029504 10000000 00000000 00000000 10001000 00000000 00000001 00000010 00000000* +L0029568 00000000 00000000 00000000 10001000 00000000 00000001 00000000 00000000* +L0029632 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0029696 00000000 00000000 00000000 00001000 00000000 00000010 00000001 00000000* +L0029760 00000000 00000000 00000000 00001000 00000000 00000011 00000001 00000000* +L0029824 00000000 00000000 00000000 00001000 00000000 00000011 00000001 00000000* +L0029888 00000000 00000000 00000000 00001000 00000000 00000011 00000001 00000000* L0029952 000000 000000 000000 000000 000000 000000 000000 000000* -L0030000 000000 000000 000000 000010 001010 000000 000000 000000* -L0030048 000000 000000 100000 000000 000000 000000 000000 000000* -L0030096 000000 000000 000000 000000 000000 000000 000000 000000* -L0030144 000000 000000 000000 000000 000000 000000 000000 000000* +L0030000 000000 000000 000010 000010 000000 000010 000000 001000* +L0030048 000000 000000 000000 000001 000000 000000 000000 000000* +L0030096 000001 000000 000000 000001 000000 000000 000000 000000* +L0030144 000000 000000 000000 000001 000000 000001 000000 000000* L0030192 000000 000000 000000 000000 000000 000000 000000 000000* -L0030240 00000001 00000000 00000000 01010000 00000000 00000000 00000000 00000000* -L0030304 00000000 00000000 10000000 00000000 00000000 00000001 00000000 00100000* -L0030368 00000000 00000000 00010100 00000000 00000000 00000001 00000000 00000000* -L0030432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0030496 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000000* -L0030560 00010010 00000000 00000000 00000000 00000000 00010000 00000100 00000000* -L0030624 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000100* -L0030688 00000010 00000001 10000100 00000000 00000000 00010001 00000000 01000100* -L0030752 00000010 00000001 10100100 00000000 00010000 00010010 00000000 00000000* -L0030816 000000 000000 001000 000000 000000 000000 000000 010001* -L0030864 000000 000000 101000 000000 000000 000000 000000 000000* -L0030912 000000 000000 000010 000000 001010 000000 000000 000000* -L0030960 000000 000000 000011 000000 000010 000000 000000 100000* -L0031008 000000 000000 001011 000000 000000 000000 000000 000000* -L0031056 000000 000000 001001 000001 000000 000000 000000 000000* +L0030240 00000010 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0030304 10000000 00000000 00000000 00000000 00000000 00000001 00000000 10000100* +L0030368 00000001 00000000 00000100 00000100 00000000 00000001 00000000 00000100* +L0030432 00000001 00000000 00000000 00000000 00000000 00000000 10000000 00000010* +L0030496 00000001 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0030560 00000001 00000001 00000000 00000000 00000100 00000000 00000000 00001000* +L0030624 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00001100* +L0030688 00000000 00000001 00000000 00000000 00000000 00000001 00000000 00000100* +L0030752 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0030816 000000 000000 000000 000001 000000 000000 000000 000000* +L0030864 000000 000000 000001 000000 000000 000000 000000 000000* +L0030912 000001 000000 000001 000000 000000 000000 000000 000000* +L0030960 000000 000000 000001 000000 000000 000000 000000 000000* +L0031008 000000 000000 000000 000000 000000 000000 000000 000001* +L0031056 000000 000000 000000 000100 000000 000000 000000 000000* L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -640,116 +640,116 @@ L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031680 000000 000000 000000 000000 000000 000000 000000 000000* -L0031728 000000 000000 000000 000000 000000 000000 000000 000000* +L0031728 000000 000000 000000 000000 000000 000010 000000 000000* L0031776 000000 000000 000000 000000 000000 000000 000000 000000* -L0031824 000000 000000 000000 000000 000000 000000 000000 000000* +L0031824 000000 000000 000001 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000000 00000000 00000000 00000001 00000010 00000000 00000011 00000000* -L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0032160 00000000 00000000 00000000 00000001 00000000 00000000 00000011 00000001* -L0032224 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* -L0032288 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0032352 00000001 00000000 00000000 00000001 00000000 00000000 00000011 00000001* -L0032416 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0032480 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0031968 00000000 00000000 00100001 00000000 00000011 00000000 00001000 00000001* +L0032032 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* +L0032096 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000000* +L0032160 00000000 00000000 00001001 00000000 00000011 00000000 01000011 00000000* +L0032224 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0032288 00000000 00000000 00001001 00000000 00000000 00000000 00000000 10000000* +L0032352 00000000 00000000 00001001 00000010 00000011 00000000 00000000 00000000* +L0032416 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000000* +L0032480 00000000 00000001 00010001 00000000 00000001 00000000 00000000 00000000* L0032544 000000 000000 000000 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* L0032640 000000 000000 000000 000000 000000 000000 000000 000000* L0032688 000000 000000 000000 000000 000000 000000 000000 000000* -L0032736 000000 000000 000000 000000 000000 000000 000000 000000* -L0032784 000000 000000 000000 000000 000000 000000 000000 000000* +L0032736 000000 000000 000100 000000 000000 000000 000000 000000* +L0032784 000000 000000 000001 000000 000000 000000 000000 000000* L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033088 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0033152 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0033216 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0033280 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033408 000000 000000 000000 000000 001010 000000 000000 000000* +L0033408 000000 000000 000000 000000 000000 000000 000000 000000* L0033456 000000 000000 000000 000000 000000 000000 000000 000000* -L0033504 000000 000000 000000 000000 000100 000000 000000 000000* -L0033552 000000 000000 000000 000000 011000 000000 000000 000000* +L0033504 000000 000000 000000 000000 000000 000000 000000 000000* +L0033552 000000 000000 000000 000000 000000 000000 000000 000000* L0033600 000000 000000 000000 000000 000000 000000 000000 000000* L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000000 00000000 00000000 00000000 01000000 00000000 00000011 00000001* -L0033760 00000000 00000000 00000000 00000000 01000000 00000010 00000000 00000001* -L0033824 00000000 00000000 00000000 00000001 01000010 00000000 00000010 00000000* -L0033888 00000000 00000000 00000001 00000000 00000000 00000001 00000011 00000001* -L0033952 00000000 00000000 00000000 00000001 00000000 00000010 00000000 00000001* -L0034016 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000001* -L0034080 00000000 00000000 00000000 00000000 00000000 00000010 10000011 00000011* -L0034144 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000001* -L0034208 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000010* +L0033696 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0033760 00000001 00000000 00000001 00000000 00000000 00000010 00000000 00000000* +L0033824 00000001 00000000 00000001 00000000 00000010 00000000 00000000 00000000* +L0033888 00000001 00000000 00000001 00000000 00000011 00000000 00000011 00000000* +L0033952 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000000* +L0034016 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000001* +L0034080 00000000 00000000 00000010 00000000 00000011 00000000 00000001 00000000* +L0034144 00000001 00000000 00000000 00000000 00000011 00000000 00000000 00000000* +L0034208 00000001 00000000 00000001 00000000 00000001 00000000 00000000 00000000* L0034272 000000 000000 000000 000000 000000 000000 000000 000000* -L0034320 000000 000000 000000 000000 100000 000000 000000 000000* -L0034368 000000 000000 000000 000000 100000 000000 000000 000000* -L0034416 000000 000000 000000 010000 100000 000000 000000 000000* -L0034464 000000 000000 000000 000000 100000 000000 000000 000000* -L0034512 000000 000000 000000 000000 100000 000000 000000 000000* -L0034560 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0034624 00000000 00000000 00000000 00000000 01010000 00010000 00000000 00000000* -L0034688 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0034320 000000 000000 000000 000000 000000 000000 000000 000000* +L0034368 000000 000000 000000 000000 000000 000000 000000 000000* +L0034416 000000 000000 000000 000000 000000 000000 000000 000000* +L0034464 000000 000000 000000 000000 000000 000000 000000 000000* +L0034512 000000 000000 000000 000000 000000 000000 000000 000000* +L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034944 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035008 00000000 00000000 00000000 00000000 00000000 00110000 00000000 00000000* +L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035136 000000 000000 000000 000000 000000 000000 000000 000000* -L0035184 000000 000000 000000 000000 100000 000000 000000 000000* -L0035232 000000 000000 000000 000000 100000 100000 000000 000000* -L0035280 000000 000000 000000 000000 100000 001100 000000 000000* -L0035328 000000 000000 000000 000000 100000 000000 000000 000000* -L0035376 000000 000000 000000 000000 100000 000001 000000 000000* -L0035424 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0035488 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0035552 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* +L0035184 000000 000000 000000 000000 000000 000000 000000 000000* +L0035232 000000 000000 000000 000000 000000 000000 000000 000000* +L0035280 000000 000000 000000 000000 000000 000000 000000 000000* +L0035328 000000 000000 000000 000000 000000 000000 000000 000000* +L0035376 000000 000000 000000 000000 000000 000000 000000 000000* +L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035744 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035808 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035936 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0035936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036000 000000 000000 000000 000000 000000 000000 000000 000000* -L0036048 000000 000000 000000 000000 000001 000000 000000 000000* -L0036096 000000 000000 000000 000000 000000 000001 000000 000000* +L0036048 000000 000000 000000 000000 000000 000000 000000 000000* +L0036096 000000 000000 000000 000000 000000 000000 000000 000000* L0036144 000000 000000 000000 000000 000000 000000 000000 000000* -L0036192 000000 000000 000000 000000 000000 001001 000000 000000* +L0036192 000000 000000 000000 000000 000000 000000 000000 000000* L0036240 000000 000000 000000 000000 000000 000000 000000 000000* -L0036288 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000100* L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000010* +L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0036672 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000101* L0036736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036864 000000 000000 000000 000000 000000 000000 000000 000000* -L0036912 000000 000000 000000 000000 000000 000000 000000 000000* -L0036960 000000 000000 000000 000000 000000 000000 000000 000000* -L0037008 000000 000000 000000 000000 000100 000000 000000 000000* -L0037056 000000 000000 000000 000000 010000 000000 000000 000000* +L0036912 000000 000000 000000 000000 000000 000000 000000 000001* +L0036960 000000 000000 000000 000000 000000 000000 000000 000001* +L0037008 000000 000000 000000 000000 000000 000000 000000 000001* +L0037056 000000 000000 000000 000000 000000 000000 000000 000001* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00000000 00000000 00100000 00000000 01000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00100000 00000000 01000000 00000000 00000000 00000000* -L0037280 00000000 00000000 00100000 00000000 01000000 00000000 00000000 00000000* -L0037344 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0037408 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0037472 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0037536 00000000 00000000 10001100 00000000 00000000 00000000 00010000 00000000* -L0037600 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0037664 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0037728 000000 000000 100110 000000 000000 000000 000000 000000* -L0037776 000000 000000 000000 000000 100000 000000 000000 000000* -L0037824 000000 000000 111000 000000 100000 000000 000000 000000* -L0037872 000000 000000 111000 000000 100000 000000 000000 000000* -L0037920 000000 000000 011000 000000 100000 000000 000000 000000* -L0037968 000000 000000 001010 000000 100000 000000 000000 000000* +L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037472 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00100000* +L0037536 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0037600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037728 000000 000000 000000 000000 000000 000000 000000 000000* +L0037776 000000 000000 000000 000000 000000 000000 000000 010000* +L0037824 000000 000000 000000 000000 000000 000000 000000 000000* +L0037872 000000 000000 000000 000000 000000 000000 000000 000000* +L0037920 000000 000000 000000 000000 000000 000000 000000 000000* +L0037968 000000 000000 000000 000000 000000 000000 000000 000000* L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* @@ -765,86 +765,86 @@ L0038688 000000 000000 000000 000000 000000 000000 000000 000000* L0038736 000000 000000 000000 000000 000000 000000 000000 000000* L0038784 000000 000000 000000 000000 000000 000000 000000 000000* L0038832 000000 000000 000000 000000 000000 000000 000000 000000* -L0038880 00000011 00000000 00000011 00000001 00000011 00000011 00000001 00000011* -L0038944 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* -L0039008 00000011 00000000 00000011 00000001 00000011 00000011 00000010 00000011* -L0039072 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* -L0039136 00000011 00000000 00000011 00000011 00000011 00000011 00000010 00000011* -L0039200 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* -L0039264 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* -L0039328 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000011* -L0039392 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0038880 00000010 00000000 00000001 00000011 00000011 00000001 00000011 00000011* +L0038944 00000001 00000000 00000001 00000011 00000011 00000011 00000011 00000011* +L0039008 00000001 00000000 00000001 00000011 00000011 00000001 00000010 00000011* +L0039072 00000001 00000000 00000011 00000011 00000011 00000001 00000001 00000011* +L0039136 00000001 00000000 00000011 00000011 00000011 00000011 00000010 00000011* +L0039200 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0039264 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0039328 00000001 00000001 00000011 00000001 00000011 00000011 00000011 00000011* +L0039392 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* L0039456 000000 000000 000000 000000 000000 000000 000000 000000* L0039504 000000 000000 000000 000000 000000 000000 000000 000000* L0039552 000000 000000 000000 000000 000000 000000 000000 000000* L0039600 000000 000000 000000 000000 000000 000000 000000 000000* L0039648 000000 000000 000000 000000 000000 000000 000000 000000* L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000000 00000000 00000011 00000000 00000011 00000001 00000000 00000011* -L0039808 00000000 00000000 00000011 00000010 00000011 00000011 00000011 00010011* -L0039872 00000000 00000000 00000011 00000000 00000011 00000011 00000010 00010010* -L0039936 00000001 00000000 00000001 00000000 00000011 00000011 00000000 10100010* -L0040000 00000001 00000000 00000001 00000000 00000001 00000011 00000010 11100010* -L0040064 00000000 00000000 00000011 00000010 00000011 00000010 00000010 00000011* -L0040128 00000000 00000000 00000011 00000001 00000011 00000011 00000000 00000010* -L0040192 00000001 00000000 00000011 00000011 00000011 00000011 00000010 00000011* -L0040256 00000001 00000000 00000011 00000001 00000011 00000011 00000000 00000011* +L0039744 00000010 00000000 00000001 00011011 00000000 00000001 00000011 00000010* +L0039808 00000001 00000000 00000000 00011011 00000010 00000011 00000000 00000000* +L0039872 00000001 00000000 00000000 00001001 00000000 00000001 00000000 00000001* +L0039936 00000001 00000000 00000000 00001001 00000000 00000001 00000000 00000001* +L0040000 10000001 00000000 00000001 10001011 00000000 00000001 00000000 00000001* +L0040064 00000001 00000000 00000000 00001011 10000000 00000000 00000001 00000001* +L0040128 00000001 00000000 00000001 00001001 10000000 00000001 00000001 00000001* +L0040192 00000001 00000000 00000010 00001011 00000000 00000010 00000001 00000001* +L0040256 00000001 00000001 00000011 00001011 00000000 00000011 00000001 00000011* L0040320 000000 000000 000000 000000 000000 000000 000000 000000* L0040368 000000 000000 000000 000000 000000 000000 000000 000000* -L0040416 000000 000000 000000 000000 000000 000000 000000 010000* -L0040464 000000 000000 000000 000000 000000 000000 000000 000000* -L0040512 000000 000000 000000 000000 000000 000000 000000 100000* -L0040560 000000 000000 000000 000000 000000 000000 000000 100000* -L0040608 00000000 00000000 00000011 00000000 00000011 00000001 00000000 10000011* -L0040672 00000000 00000000 00000011 00000000 00000011 00000011 00000000 00000010* -L0040736 00000000 00000000 00000011 00000000 00000011 00000001 00000000 00000010* -L0040800 00000000 00000000 00000001 00000000 00000011 00000011 00000000 00000010* -L0040864 00000000 00000000 00000001 00000000 00000001 00000010 00000000 00000010* -L0040928 00000000 00000000 00000011 00000010 00000011 00000010 00000000 00000000* -L0040992 00000000 00000000 00000011 00000001 00000011 00000011 00000000 01000110* -L0041056 00000000 00000000 00000011 00000001 00000011 00000010 00000010 01000011* -L0041120 00000000 00000000 00000011 00000001 00000011 00000011 00000000 00000011* +L0040416 000000 000000 000000 000000 000000 000000 000000 000000* +L0040464 000000 000000 000000 000010 000000 000000 000000 000000* +L0040512 000000 000000 000000 000000 000000 000000 000000 000000* +L0040560 000001 000000 000000 000011 000000 000000 000000 000000* +L0040608 00000010 00000000 00000000 10000010 00000000 00000000 00000010 00000010* +L0040672 00000000 00000000 00000000 00000011 00000000 00000011 00000000 00000000* +L0040736 00000001 00000000 00000000 00000001 00000000 00000001 00000000 00000001* +L0040800 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0040864 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0040928 00000001 00000000 00000000 00010011 00000000 00000000 00000001 00000000* +L0040992 00000001 00000000 00000001 00010001 00000000 00000001 00000001 00000001* +L0041056 00000001 00000001 00000000 00000001 00000000 00000000 00000001 00000001* +L0041120 00000001 00000001 00000011 00000001 00000000 00000011 00000001 00000001* L0041184 000000 000000 000000 000000 000000 000000 000000 000000* -L0041232 000000 000000 000000 000000 000000 000000 000000 001000* +L0041232 000000 000000 000000 010000 000000 000000 000000 000000* L0041280 000000 000000 000000 000000 000000 000000 000000 000000* -L0041328 000000 000000 000000 000000 000000 000000 000000 000000* +L0041328 000000 000000 000000 000001 000000 000000 000000 000000* L0041376 000000 000000 000000 000000 000000 000000 000000 000000* L0041424 000000 000000 000000 000000 000000 000000 000000 000000* -L0041472 00000000 00000000 00000011 00000001 01000111 00000001 00000011 00000011* -L0041536 00000000 00000000 00000011 00000000 01000101 00000001 00000000 00000010* -L0041600 00000000 00000000 00000001 00000001 01000111 00000000 00000000 00000010* -L0041664 00000000 00000000 00000001 00000001 00000101 00010001 00000011 00000011* -L0041728 00000000 00000000 00000001 00000001 00111001 00000000 00000000 00000011* -L0041792 00000001 00000000 00000011 00000001 00100010 00000000 00000001 00000000* -L0041856 00000001 00000000 00000011 00000001 00101111 00000101 00000011 00000011* -L0041920 00000000 00000000 00000011 00000001 00101111 00000000 00000011 00000001* -L0041984 00000001 00000000 00000011 00000001 00101101 00000011 00000001 00000011* -L0042048 000000 000000 000000 000000 000001 000000 001000 000000* -L0042096 000000 000000 000000 000000 100100 000000 000000 000000* -L0042144 000000 000000 000000 000000 110100 000000 000000 000000* -L0042192 000000 000000 000000 000000 110100 000000 000000 000000* -L0042240 000000 000000 000000 000000 110100 000000 000000 000000* -L0042288 000000 000000 000000 000000 110101 000000 000000 000000* -L0042336 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000011* -L0042400 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000010* -L0042464 00000000 00000000 00000001 00000000 00000011 00000000 00000000 00000010* -L0042528 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000010* -L0042592 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000010* -L0042656 00000000 00000000 00000001 00000000 10000010 00000000 00000000 00000000* -L0042720 00000000 00000000 00000011 00000000 00000011 00000001 00100000 00000010* -L0042784 00000000 00000000 00000010 00000001 00000011 00000000 00000010 00000001* -L0042848 00000000 00000000 00000011 00000001 00000001 00000001 00000000 00000011* +L0041472 00000010 00000000 00000001 00000010 00000011 00000000 00000010 00000001* +L0041536 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000001* +L0041600 00000000 00000000 00000001 00000001 00000010 00000001 00000000 00000000* +L0041664 00000000 00000000 00000001 00000001 00000011 00000000 00000011 00000000* +L0041728 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0041792 00000001 00000000 00000001 00000011 00000000 00000000 00000000 00000000* +L0041856 00000001 00000000 00000001 00000011 00000011 00000000 00000001 00000001* +L0041920 00000001 00000000 00000000 00000001 00000011 00000000 00000001 00000001* +L0041984 00000001 00000001 00000011 00000001 00000001 00000011 00000001 00000001* +L0042048 000000 000000 000000 000000 000000 000000 000000 000000* +L0042096 000000 000000 000000 000000 000000 000000 000000 000000* +L0042144 000000 000000 000000 000000 000000 000000 000000 000000* +L0042192 000000 000000 000000 000000 000000 000000 000000 000000* +L0042240 000000 000000 000000 000000 000000 000000 000000 000000* +L0042288 000000 000000 000000 000000 000000 000000 000000 000000* +L0042336 00000010 00000000 00000000 00000010 11111100 00000000 00000000 00000000* +L0042400 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000000* +L0042464 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0042528 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0042592 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0042656 00000001 00000000 00000000 00000001 00100100 00100000 00000000 00000000* +L0042720 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000001* +L0042784 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000001* +L0042848 00000001 00000000 00000011 00000001 00000000 00000011 00000001 00000001* L0042912 000000 000000 000000 000000 000000 000000 000000 000000* -L0042960 000000 000000 000000 000000 000000 000000 000000 000000* +L0042960 000000 000000 000000 000000 100001 000000 000000 000000* L0043008 000000 000000 000000 000000 000000 000000 000000 000000* -L0043056 000000 000000 000000 000000 000000 000000 000000 000000* +L0043056 000000 000000 000000 000000 000000 000001 000000 000000* L0043104 000000 000000 000000 000000 000000 000000 000000 000000* L0043152 000000 000000 000000 000000 000000 000000 000000 000000* -L0043200 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000000* -L0043264 00000000 00000000 00000000 00000010 00000000 00000010 00000010 00000000* -L0043328 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* -L0043392 00000001 00000000 00000000 00000000 00000010 00000000 00000000 00000001* -L0043456 00000000 00000000 00000010 00000010 00000000 00000010 00000000 00000010* +L0043200 00000000 00000000 00000000 00000010 00000000 00000000 00000010 00000011* +L0043264 00000000 00000000 00000010 00000010 00000010 00000000 00000010 00000001* +L0043328 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000011* +L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043456 00000010 00000000 00000010 00000010 00000000 00000000 00000010 00000011* L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -855,43 +855,43 @@ L0043872 000000 000000 000000 000000 000000 000000 000000 000000* L0043920 000000 000000 000000 000000 000000 000000 000000 000000* L0043968 000000 000000 000000 000000 000000 000000 000000 000000* L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000011 00000011 00000011 00000000 01111000 00000001* -L0044128 00000000 00000000 00000001 00000001 00000001 00000010 00000000 00000001* -L0044192 00000000 00000000 00000011 00000011 00000011 00000010 00000000 00000001* +L0044064 00000000 00000000 00000001 00000011 00000001 00000000 00000010 00000001* +L0044128 00000010 00000000 00000001 00000001 00000001 00000000 00000000 00000001* +L0044192 00000010 00000000 00000001 00000011 00000001 00000000 00000010 00000001* L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000010 00000000 00000000 00000010 00000000 00000010 00000000 00000000* -L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0044320 00000010 00000000 00000000 00000010 00000000 00000000 00000010 00000000* +L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044640 000000 000000 000000 000000 000000 000000 000000 000000* -L0044688 000000 000000 000000 000000 000000 000000 000001 000000* +L0044688 000000 000000 000000 000000 000000 000000 000000 000000* L0044736 000000 000000 000000 000000 000000 000000 000000 000000* L0044784 000000 000000 000000 000000 000000 000000 000000 000000* L0044832 000000 000000 000000 000000 000000 000000 000000 000000* L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000000 00000000 00000010 00000001 00000010 00000000 00000000 00000000* -L0044992 00000000 00000000 00000010 00000011 00000000 00000010 00000000 00000000* -L0045056 00000000 00000000 00000000 00000010 00000000 00000010 00000010 00000000* +L0044928 00000000 00000000 00000010 00000011 00000000 00000010 00000000 00000000* +L0044992 00000010 00000000 00000010 00000001 00000010 00000010 00000000 00000000* +L0045056 00000010 00000000 00000010 00000010 00000000 00000000 00000000 00000000* L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0045184 00000000 00000000 00000010 00000001 00000010 00000010 00000000 00000010* -L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045184 00000000 00000000 00000010 00000011 00000010 00000010 00000000 00000000* +L0045248 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045504 000000 000000 000000 000000 000000 000000 000000 000000* -L0045552 000000 000000 000000 000000 000000 000000 000000 000000* +L0045552 000000 000000 000000 100000 000000 000000 000000 000000* L0045600 000000 000000 000000 000000 000000 000000 000000 000000* L0045648 000000 000000 000000 000000 000000 000000 000000 000000* L0045696 000000 000000 000000 000000 000000 000000 000000 000000* L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000010 00000000 00000010 00000011 00000010 00000010 00000001 00000000* -L0045856 00000000 00000000 00000011 00000011 00000000 00000000 00000001 00000001* -L0045920 00000010 00000000 00000011 00000001 00000010 00000000 00000001 00000000* +L0045792 00000010 00000000 00000010 00000010 00000000 00000010 00000010 00000011* +L0045856 00000011 00000000 00000011 00000011 00000010 00000000 00000000 00000001* +L0045920 00000011 00000000 00000001 00000011 00000000 00000010 00000010 00000001* L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000010 00000000 00000010 00000011 00000000 00000010 00000001 00000011* +L0046048 00000010 00000000 00000010 00000010 00000010 00000010 00000000 00000011* L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046176 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046368 000000 000000 000000 000000 000000 000000 000000 000000* @@ -900,148 +900,148 @@ L0046464 000000 000000 000000 000000 000000 000000 000000 000000* L0046512 000000 000000 000000 000000 000000 000000 000000 000000* L0046560 000000 000000 000000 000000 000000 000000 000000 000000* L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 00000011 00000000 00000000 00000000 00000010 00000000 00000010 00000000* -L0046720 00000000 00000000 00000000 00000010 00000000 00000010 00000000 00000000* -L0046784 00000001 00000000 00000000 00000010 00000000 00000010 00000000 00000000* +L0046656 00000010 00000000 00000010 00000001 00000000 00000000 00000000 00000000* +L0046720 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000000* +L0046784 00000000 00000000 00000000 00000011 00000010 00000000 00000010 00000000* L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046912 00000001 00000000 00000010 00000000 00000010 00000010 00000010 00000000* -L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0046912 00000000 00000000 00000010 00000011 00000000 00000000 00000000 00000000* +L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047232 000000 000000 000000 000000 000000 000000 000000 000000* -L0047280 000011 000000 000000 000000 000000 000000 000000 000000* -L0047328 000000 000000 000000 000000 000000 000000 000000 000000* +L0047280 000000 000000 000000 000000 000000 000000 000000 000000* +L0047328 000000 000000 000000 000000 000000 000000 000000 001000* L0047376 000000 000000 000000 000000 000000 000000 000000 000000* L0047424 000000 000000 000000 000000 000000 000000 000000 000000* L0047472 000000 000000 000000 000000 000000 000000 000000 000000* -L0047520 00000000 00000000 00000010 00000001 00000000 00000000 00000000 00000001* -L0047584 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000001* -L0047648 00000000 00000000 00000010 00000001 00000000 00000001 00000000 00000001* +L0047520 00000000 00000000 00000001 00000010 00000010 00000000 00000000 00000001* +L0047584 00000000 00000000 00000001 00000000 00000000 00000010 00000001 00000001* +L0047648 00000000 00000000 00000001 00000000 00000010 00000010 00000001 00000001* L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000000 00000000 00000000 00000001 00000000 00000011 00000000 00000001* +L0047776 00000000 00000000 00000001 00000010 00000000 00000010 00000001 00000001* L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0048096 000000 000000 000000 000000 000000 000000 000000 000000* -L0048144 000000 000000 000000 000000 000000 000000 000000 000000* +L0048144 000000 000000 000000 000000 000000 000000 000000 100000* L0048192 000000 000000 000000 000000 000000 000000 000000 000000* L0048240 000000 000000 000000 000000 000000 000000 000000 000000* L0048288 000000 000000 000000 000000 000000 000000 000000 000000* L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00000010 00000000 00100000 00000011 01000010 00000001 00000010 00000011* -L0048448 00000000 00000000 00100010 00000001 01000010 00000000 00000010 00000011* -L0048512 00000000 00000000 00100000 00000010 01000000 00000000 00000000 00000010* -L0048576 00000000 00000000 00100001 00000000 00000001 00000000 00000001 00000000* -L0048640 00000010 00000000 00100010 00000011 00000000 00000011 00000010 00000011* -L0048704 00000000 00000000 10000100 00000000 00000100 00000000 00000000 00100000* -L0048768 00000000 00000000 10001100 00000000 00000000 00000000 00000000 00000000* -L0048832 00000000 00000000 10001100 00000000 00000000 00000000 00000000 00000000* -L0048896 00000000 00000000 10001100 00000000 00000000 00000000 00000000 00000000* -L0048960 000000 000000 000010 000000 000000 000000 000000 000000* -L0049008 000000 000000 000000 000000 100000 000000 000000 010000* -L0049056 000000 000000 011000 000000 100000 000000 000000 000000* -L0049104 000000 000000 011000 000000 100000 000000 000000 000000* -L0049152 000000 000000 011000 000000 100000 000000 000000 000000* -L0049200 000000 000000 001010 000000 100000 000000 000000 000000* -L0049248 00000000 00000000 00000011 00000000 00000001 00000000 00000010 00000000* -L0049312 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0049376 00000000 00000000 00000001 00000010 00000001 00000000 00000010 00000000* -L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000000 00000000 00000010 00000010 10000000 00000010 00000000 00000000* +L0048384 00000000 00000010 00000010 00001001 00000000 00000000 00000010 00000010* +L0048448 00000001 00000000 00000000 00001000 00000010 00000010 00000010 00000000* +L0048512 00000001 00000000 00000000 00001000 00000000 00000010 00000000 00000000* +L0048576 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0048640 00000000 00000000 00000010 00000001 00000010 00000010 00000010 00000010* +L0048704 00000000 00000000 00000100 00001000 00000000 00000000 00000000 00100000* +L0048768 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0048832 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0048896 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0048960 000000 000000 000000 000000 000000 000000 000000 000000* +L0049008 000000 000000 000000 000000 000000 000000 000000 010000* +L0049056 000000 000000 000000 000000 000000 000000 000000 000000* +L0049104 000000 000000 000000 000010 000000 000000 000000 000000* +L0049152 000000 000000 000000 000000 000000 000000 000000 000000* +L0049200 000000 000000 000000 000000 000000 000000 000000 000000* +L0049248 00000000 00000000 00000011 00000000 00000000 00000000 00000010 00000001* +L0049312 00000010 00000000 00000001 00000000 00000010 00000010 00000000 00000001* +L0049376 00000000 00000000 00000001 10000000 00000000 00000010 00000000 00000001* +L0049440 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0049504 00000000 00000000 00000011 00000000 00000010 00000011 00000010 00000001* L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049696 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* +L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049824 000000 000000 000000 000000 000000 000000 000000 000000* L0049872 000000 000000 000000 010000 010000 000000 000000 000000* L0049920 000000 000000 000000 010000 000000 000000 000000 000000* L0049968 000000 000000 000000 010000 000000 000000 000000 000000* -L0050016 000000 000000 000000 000000 000000 000000 000000 000000* -L0050064 000000 000000 000000 000000 000000 000000 000000 000000* -L0050112 00000100 00000000 00000010 00000000 00000000 00000000 00000010 00000000* -L0050176 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0050240 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* -L0050304 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000010* -L0050368 00000000 00000000 00000010 00000000 00000000 00000010 00000010 00000000* -L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050016 000000 000000 000000 010100 000000 000000 000000 000000* +L0050064 000000 000000 001000 000000 000000 000000 000000 000000* +L0050112 00000000 00000000 00000000 00000010 00000000 00000000 00000001 00000011* +L0050176 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000010* +L0050240 00000000 00000000 00000000 00000010 00000000 00000010 00000001 00000011* +L0050304 00000010 00000000 00000010 00000000 00000010 00000000 00000000 00000000* +L0050368 00000000 00000000 00000000 00000010 00000000 00000010 00000000 00000011* +L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050688 000000 000000 000000 000000 000000 000000 000000 000000* L0050736 000000 000000 000000 000000 000000 000000 000000 010000* -L0050784 000000 000000 000000 000000 000000 000000 000000 000000* +L0050784 000001 000000 000000 000000 000000 000000 000000 000000* L0050832 000000 000000 000000 000000 000000 000000 000000 000000* L0050880 000000 000000 000000 000000 000000 000000 000000 000000* L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000000 00000000 00000000 00001010 00000000 00000000 00000011 00000011* -L0051040 00000010 00000000 00000011 00000001 00000011 00000000 00000010 00000001* -L0051104 00000010 00000000 00000001 00000011 00000001 00000000 00000001 00000011* -L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051232 00000010 00000000 00000010 00000010 00000010 00000010 00000011 00000011* -L0051296 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050976 00000010 00000000 00000010 00000000 00000000 00000000 00000010 00000000* +L0051040 00000000 00000000 00000001 00000000 00000010 00000010 00000010 00000000* +L0051104 00000010 00000000 00000011 00000000 00000000 00000010 00000000 00000000* +L0051168 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0051232 00000010 00000000 00000000 00000000 00000010 00000010 00000010 00000000* +L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051360 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* L0051600 000000 000000 000000 000000 000000 000000 000000 000000* L0051648 000000 000000 000000 000000 000000 000000 000000 000000* -L0051696 000000 000000 000000 000000 000000 000000 000000 000000* +L0051696 000001 000000 000000 000000 000000 000000 000000 000000* L0051744 000000 000000 000000 000000 000000 000000 000000 000000* L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00000010 00000010 00000010 00000001 00000000 00000001* -L0051904 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000001* -L0051968 00000000 00000000 00000000 00000010 00000001 00000000 00000010 00000001* +L0051840 00000000 00000000 00000000 00010000 00000010 00000000 00000001 00000101* +L0051904 00000000 00000000 00000000 00010000 00000000 00000010 00000000 00000001* +L0051968 00000000 00000000 00000000 00000000 00000000 00000010 00000001 00000001* L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052096 00000000 00000000 00000010 00000010 00000000 00000001 00000000 00000011* +L0052096 10000010 00000000 00000000 10001010 00000010 00000010 00000001 00000001* L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0052352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0052416 000000 000000 000000 000000 000000 000000 000000 000000* -L0052464 000000 000000 000100 000000 000000 000000 000000 000000* -L0052512 000000 000000 000100 000000 000000 000000 000000 000000* -L0052560 000000 000000 000000 000000 000000 000000 000000 000000* +L0052464 000000 000000 000000 000000 000000 000000 000000 000000* +L0052512 000000 000000 000000 000000 000000 000000 000000 000000* +L0052560 000000 000000 000000 000000 000000 000000 000000 000001* L0052608 000000 000000 000000 000000 000000 000000 000000 000000* -L0052656 000000 000000 000000 000000 000000 000000 000000 000000* -L0052704 00000000 00000000 00100000 00001001 00000000 00000000 01110010 00000001* -L0052768 00000000 00000010 00100000 00000000 00000000 00000000 00000000 00000000* -L0052832 00000000 00000000 00100000 00000001 00000000 00000000 00000000 00000001* -L0052896 00000000 00000000 10101100 00000000 00000000 00000000 00000000 00000000* -L0052960 00000000 00000000 00100000 00000011 00000000 00000000 00000010 00000011* -L0053024 00000000 00000000 10000000 00001000 00000000 00000000 00000100 00000000* -L0053088 00000000 00000000 10101100 00000000 00000000 00000000 00000000 00000000* -L0053152 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0053216 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0053280 000000 000000 100110 000000 000000 000000 000000 000000* -L0053328 000000 000000 001000 000000 000000 000000 000001 000100* -L0053376 000000 000000 111000 000000 000000 000000 000000 000000* -L0053424 000000 000000 111010 000000 000000 000000 000000 000000* -L0053472 000000 000000 011101 000000 000000 000000 000000 000000* -L0053520 000000 000000 001010 000000 000000 000000 000000 000000* -L0053568 00000001 00000000 00000010 00000010 00000000 00000000 00000011 00000010* -L0053632 00000000 00000010 00000001 00000010 00000011 00000011 00000010 00000001* -L0053696 00000000 00000000 00000001 00000000 00000000 00000011 00000010 00000011* +L0052656 000001 000000 000000 000011 000000 000000 000000 000000* +L0052704 00000001 00000000 00000010 00000010 00000000 00000000 00000000 00000010* +L0052768 00000000 00000010 00010000 00000000 00000000 00000000 00000001 10000010* +L0052832 00000001 00000010 00000000 00000010 00000001 00000000 00000001 10000010* +L0052896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052960 00000011 00000010 00000010 00000010 00000000 00000000 00000001 00000010* +L0053024 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00001000* +L0053088 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00001100* +L0053152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* +L0053216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* +L0053280 000000 000000 000000 000000 000000 000000 000000 000001* +L0053328 000000 000000 000000 000000 000000 000000 000000 000000* +L0053376 000000 000000 000000 000000 000000 000000 000000 000000* +L0053424 000000 000000 000000 010000 000000 000000 000000 000000* +L0053472 000000 000000 001000 000000 000000 000000 000000 000001* +L0053520 000000 000000 000000 000000 000000 000000 000000 000001* +L0053568 00000000 00000010 00000010 00000000 00000000 00000001 00000000 00000011* +L0053632 00000001 00000010 00000001 00000001 00000010 00000011 00000011 00000001* +L0053696 00000001 00000000 00000001 00000001 00000000 00000010 00000011 00000011* L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053824 00000011 00000010 00000010 00000010 00000001 00000011 00000010 00000010* +L0053824 00000010 00000010 00000010 00000000 00000011 00000001 00000011 00000011* L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054144 000000 000000 000000 000000 000000 000000 000000 000000* -L0054192 000000 000000 000000 000000 000000 000000 000000 000000* -L0054240 000000 000000 000000 000000 000000 000000 000000 000000* -L0054288 000000 000000 000000 000000 000000 000000 000000 000000* +L0054192 000000 000000 000000 000010 000000 000000 000000 000000* +L0054240 000000 000000 000000 000010 000000 000000 000000 000000* +L0054288 000000 000000 000000 000010 000000 000000 000000 000000* L0054336 000000 000000 000000 000000 000000 000000 000000 000000* L0054384 000000 000000 000000 000000 000000 000000 000000 000000* -L0054432 00000001 00000000 00000000 00000011 00000000 00000000 00000010 00000011* -L0054496 00000001 00000000 00000000 00000001 00000010 00000010 00000010 00000001* -L0054560 00000001 00000000 00000001 00000010 00000010 00000011 00000010 00000011* +L0054432 00000001 00000000 00000010 00000010 00000010 00000001 00000000 00000010* +L0054496 00000001 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0054560 00000001 00000001 00000000 00000010 00000010 00000010 00000000 00000000* L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000011 00000000 00000010 00000011 00000010 00000011 00000010 00000001* -L0054752 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054688 00000011 00000001 00000010 00000010 00000000 00000011 00000000 00000010* +L0054752 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0054816 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055008 000000 000000 000000 000000 000000 000000 000000 000000* @@ -1050,101 +1050,101 @@ L0055104 000000 000000 000000 000000 000000 000000 000000 000000* L0055152 000000 000000 000000 000000 000000 000000 000000 000000* L0055200 000000 000000 000000 000000 000000 000000 000000 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000000 00000000 00000000 00000010 00000010 10000100 00000000 00000010* -L0055360 00000000 00000000 00000000 00000010 00000010 00100000 00000010 00000010* -L0055424 00000000 00000000 00000010 00000000 00000000 00100000 00000010 00000000* -L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055552 00000010 00000000 00000000 00000010 00000000 00000000 00000001 00000010* -L0055616 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055296 00000000 00000001 00000011 00000010 00000001 00000000 00000010 00000010* +L0055360 00000000 00000001 00000001 00000010 00000001 00000000 00000110 00001000* +L0055424 00000000 00000000 00000010 00000010 00000010 00000010 00000100 00000000* +L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0055552 00000010 00000001 00000011 00000010 00000001 00000011 00000010 00000010* +L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055680 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055872 000000 000000 000000 000000 000000 000000 000000 000000* +L0055872 000000 000000 000000 000000 000000 000000 000001 000000* L0055920 000000 000000 000000 000000 000000 000000 000000 000000* -L0055968 000000 000000 000000 000000 000000 010000 000000 000000* +L0055968 000000 000000 000000 000000 000000 000000 000000 000000* L0056016 000000 000000 000000 000000 000000 000000 000000 000000* L0056064 000000 000000 000000 000000 000000 000000 000000 000000* L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000000 00000000 00000010 00001011 00000000 00100000 00000010 00000101* -L0056224 00000000 00000000 00000000 00000001 00000000 00001010 00000010 00000011* -L0056288 00000000 00000000 00000000 00000011 00000001 00001010 00000000 00000001* -L0056352 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* -L0056416 00000000 00000000 00000010 00000011 00000010 00000010 00000010 00000001* -L0056480 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00001000* -L0056544 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0056608 00000000 00000000 00000000 00000000 00000000 11000000 00000000 00000000* +L0056160 00000010 00000000 00000000 00000010 00000000 00000000 00000010 00000001* +L0056224 00000000 00000010 00000000 00000000 00000010 00000010 00000011 00000000* +L0056288 00000010 00000000 00000000 00000010 00000000 00000010 00000001 00000011* +L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056416 00000010 00000000 00000010 00000010 00000010 00000010 00000011 00000011* +L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056736 000000 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 000000 010000 000000 000000* +L0056784 000000 000000 000000 000000 000000 000000 000011 000000* L0056832 000000 000000 000000 000000 000000 000000 000000 000000* L0056880 000000 000000 000000 000000 000000 000000 000000 000000* L0056928 000000 000000 000000 000000 000000 000000 000000 000000* L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000000 00000000 00100000 00000011 00000000 00000000 00000010 00000011* -L0057088 00000010 00000000 00101000 00000001 00000010 00000000 00000000 00000011* -L0057152 00000010 00000000 00000011 00000011 00000000 00000000 00000001 00000011* -L0057216 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0057280 00000000 00000000 00101000 00000011 00000010 00000010 00000000 00000011* -L0057344 00000000 00000000 01000100 00000000 00000000 00000000 00000000 00000000* -L0057408 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0057024 00001000 00000000 00000000 00000010 00000000 00000000 00000010 00000001* +L0057088 00000000 00000000 00000010 00000000 00000010 00000001 00000010 00000001* +L0057152 00000000 00000000 00000010 00000010 00000000 00000001 00000001 00000011* +L0057216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057280 00000010 00000000 00000000 00000010 00000010 00000001 00000010 00000011* +L0057344 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0057472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057536 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0057600 000000 000000 000000 000000 000000 000000 000000 000000* -L0057648 000000 000000 000100 000000 110000 000000 000000 000000* -L0057696 000000 000000 000000 000000 100000 000000 000000 000000* -L0057744 000000 000000 000100 000000 000000 000000 000000 000000* -L0057792 000000 000000 000000 000000 100000 000000 000000 000000* -L0057840 000000 000000 000000 000000 100000 000000 000000 000000* -L0057888 00000010 00000000 00011100 00001011 00010011 00000000 00000011 00001111* -L0057952 00000000 00000000 00000010 00000000 00000011 00000000 00000011 00000001* -L0058016 00000000 00000000 00000010 00000010 00000010 00000000 00000000 00000000* -L0058080 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* -L0058144 00000000 00000000 00000010 00000011 00000011 00000010 00000011 00000011* -L0058208 00000000 00000000 00110000 00001000 00000000 00001000 00000000 00001000* -L0058272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057648 000000 000000 000000 000000 000000 000000 000000 000000* +L0057696 000000 000000 000000 000000 000000 000000 000000 000000* +L0057744 000110 000000 000000 000000 000000 000000 000000 000000* +L0057792 000000 000000 000000 000000 000000 000000 000000 000000* +L0057840 000000 000000 000000 000000 000000 000000 000000 000000* +L0057888 10000000 00000000 00000000 00000011 00000000 00000000 00000011 00000010* +L0057952 00001000 00000000 00000000 00000001 00000010 00000011 00000011 00000000* +L0058016 00000000 00000000 00000010 00000010 00000001 00000011 00000000 00000000* +L0058080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058144 00000010 00000000 00000010 00000011 00000010 00000011 00000011 00000010* +L0058208 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0058272 00001000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* L0058336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0058400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0058464 000000 000000 000000 000000 000000 000000 000000 000000* -L0058512 000000 000000 000001 000000 000000 000000 100000 000000* -L0058560 000000 000000 000000 000000 000000 000000 100000 000000* +L0058512 000100 000000 000000 100000 000000 000000 000000 000000* +L0058560 000000 000000 000000 000000 000000 000000 000000 000000* L0058608 000000 000000 000000 000000 000000 000000 000000 000000* -L0058656 000000 000000 000000 000000 000001 000000 000000 000000* +L0058656 000000 000000 000000 000000 000000 000000 000000 000000* L0058704 000000 000000 000000 000000 000000 000000 000000 000000* -L0058752 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* -L0058816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058880 00000000 00000000 00000000 00000000 00000101 00000000 00000001 00000000* +L0058752 00000000 00000000 00000011 00000000 00000000 00000010 00000011 00001010* +L0058816 00000000 00000001 00000000 00000000 00000001 00000010 00000000 10000000* +L0058880 00000000 00000000 00000000 00000000 00000001 00000010 00000001 00000110* L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059008 00000000 00000000 00000011 00000000 00000000 00000011 00000010 00000000* L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059136 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059328 000000 000000 000000 000000 000000 000000 000000 000000* -L0059376 000000 000000 000000 000000 000000 000000 000000 000000* -L0059424 000000 000000 000000 000000 000000 000000 000000 000000* +L0059376 000000 000000 000000 000000 000000 000000 000000 000100* +L0059424 000000 000000 001000 000000 000000 000000 000000 000000* L0059472 000000 000000 000000 000000 000000 000000 000000 000000* -L0059520 000000 000000 000000 000000 000100 000000 000000 000000* +L0059520 000000 000000 000000 000000 000000 000000 000000 000000* L0059568 000000 000000 000000 000000 000000 000000 000000 000000* -L0059616 00000000 00000000 00100001 00000000 01000000 00000000 00000000 00000000* -L0059680 00000001 00000000 00100000 00000010 01000010 00000000 00000010 00000000* -L0059744 00000000 00000000 00100001 00000010 01000010 00000001 00000000 00000000* -L0059808 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0059872 00000000 00000000 00100000 00000000 00000000 00000001 00000000 00000000* -L0059936 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0060000 00000000 00000000 10001100 00000000 00000000 00000000 00000000 00000000* -L0060064 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0060128 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0060192 000000 000000 100110 000000 000000 000000 000000 000000* -L0060240 000000 000000 000000 000000 100000 000000 000000 000000* -L0060288 000000 000000 111000 000000 100000 000000 000000 000000* -L0060336 000000 000000 111000 000000 100000 000000 000000 000000* -L0060384 000000 000000 011000 000000 100000 000000 000000 000000* -L0060432 000000 000000 001010 000000 100000 000000 000000 000000* -L0060480 00000000 00000000 00000000 00000001 00000010 00000000 00000000 00000000* -L0060544 00000010 00000000 00000001 00000001 00000001 00000011 00000001 00000000* -L0060608 00000010 00000000 00000001 00000001 00000001 00000011 00000001 00000000* +L0059616 00000000 00000000 00000000 00000010 00000000 00000001 00000000 00000000* +L0059680 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0059744 00000000 00000000 00000000 00000000 00000000 00000001 00000001 10000000* +L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059872 00000000 00000000 00000000 00000010 00000000 00000001 00000001 00000100* +L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060000 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0060192 000000 000000 000000 000000 000000 000000 000000 000001* +L0060240 000000 000000 000000 000000 000000 001000 000000 000000* +L0060288 000000 000000 000000 000000 000000 000000 000000 000001* +L0060336 000000 000000 000000 000000 000000 001000 000000 000000* +L0060384 000000 000000 000000 000000 000000 001000 000000 000000* +L0060432 000000 000000 000000 000000 000000 001000 000000 000000* +L0060480 00000001 00000001 00000000 00000000 00000001 00000000 00000000 00000001* +L0060544 00000000 00000000 00000001 00000001 00000000 00000000 00000001 00000000* +L0060608 00000001 00000000 00000001 00000001 00000000 00000000 00000001 00000001* L0060672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060736 00000010 00000000 00000000 00000001 00000010 00000011 00000000 00000000* +L0060736 00000001 00000001 00000000 00000000 00000001 00000000 00000001 00000001* L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1155,213 +1155,213 @@ L0061152 000000 000000 000000 000000 000000 000000 000000 000000* L0061200 000000 000000 000000 000000 000000 000000 000000 000000* L0061248 000000 000000 000000 000000 000000 000000 000000 000000* L0061296 000000 000000 000000 000000 000000 000000 000000 000000* -L0061344 00000000 00000000 00000010 00000000 00000010 00000000 00000011 00000001* -L0061408 00000000 00000000 00000000 00000000 00000000 00000001 00000001 00000001* -L0061472 00000000 00000000 00000010 00000000 00000010 00000001 00000010 00000000* +L0061344 00000000 00000000 00000011 00000010 00000001 00000000 00000001 00000010* +L0061408 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000010* +L0061472 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000010* L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061600 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000001* -L0061664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061600 00000000 00000000 00000001 00000000 00000001 00000001 00000001 00000010* +L0061664 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* L0061728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0061920 000000 000000 000000 000000 000000 000000 000000 000000* -L0061968 000000 000000 000000 000000 000000 000000 000000 000000* -L0062016 000000 000000 000000 000000 000000 000000 000000 000000* +L0061968 000000 000000 000000 000000 000000 000000 000000 000001* +L0062016 000000 000000 000000 000000 000000 000000 000000 000001* L0062064 000000 000000 000000 000000 000000 000000 000000 000000* L0062112 000000 000000 000000 000000 000000 000000 000000 000000* L0062160 000000 000000 000000 000000 000000 000000 000000 000000* -L0062208 00000000 00000000 00100000 00000001 01000100 00000000 00000001 00000000* -L0062272 00000001 00000000 00100001 00000001 01000100 00000001 00000001 00000000* -L0062336 00000001 00000000 00100001 00000000 01000100 00000000 00000001 00000000* -L0062400 00000000 00000000 00100000 00000000 00000100 00000000 00000000 00000000* -L0062464 00000001 00000000 00100001 00000001 00111100 00000001 00000001 00000000* -L0062528 00000000 00000000 00000000 00000000 10100000 00000000 00000000 00000000* -L0062592 00000000 00000000 00011000 00000000 10101100 00000000 00000000 00010000* -L0062656 00000000 00000000 00101000 00000000 00101100 00000000 00000000 00000000* -L0062720 00000000 00000000 00001000 00000000 00101100 00000000 00000000 00000000* -L0062784 000000 000000 000010 000000 001011 000000 000000 000000* -L0062832 000000 000000 000100 000000 100100 000000 000000 000010* -L0062880 000000 000000 010101 000000 110100 000000 000000 000000* -L0062928 000000 000000 010000 000000 111100 000000 000000 000000* -L0062976 000000 000000 010000 000000 110100 000000 000000 000000* -L0063024 000000 000000 000000 000000 110101 000000 000000 000000* -L0063072 00000000 00000000 10000001 00000000 00000000 00000000 00000001 00000001* -L0063136 00000001 00000000 10011100 00000000 00000001 00000000 00000001 00000011* -L0063200 00000000 00000000 00011101 00000000 00000000 00000000 00000000 00000010* -L0063264 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0063328 00000001 00000000 00000000 00000000 00000001 00000000 00000001 00000001* -L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0063456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063520 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0063584 00000000 00000000 00010000 00000000 00000000 00000000 00000100 00000000* -L0063648 000000 000000 100100 000000 000000 000000 000000 000000* -L0063696 000000 000000 000000 010000 000000 000000 000000 000000* -L0063744 000000 000000 100000 000000 000000 000000 000000 000010* -L0063792 000000 000000 100000 000000 000000 000000 000000 000000* -L0063840 000000 000000 000000 000000 000000 000000 000011 000000* +L0062208 00000000 00000001 00000000 00011000 00000001 00000000 00000001 01000000* +L0062272 00000001 00000000 00000000 00001001 00000000 00000000 00000000 00000001* +L0062336 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000001* +L0062400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0062464 00000001 00000001 00000000 00000001 00000001 00000001 00000000 00000001* +L0062528 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00100000* +L0062592 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0062656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0062720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0062784 000000 000000 000000 000000 000000 000000 000000 000000* +L0062832 000000 000000 000000 010000 000000 000000 000000 010001* +L0062880 000000 000000 000000 010000 000000 000000 000000 000000* +L0062928 000000 000000 000000 010000 000000 000000 000000 000000* +L0062976 000000 000000 000000 010000 000000 000000 000000 000000* +L0063024 000000 000000 000000 000000 000000 000000 000000 000001* +L0063072 00000001 00000000 00000001 00100001 00000000 00000001 00000000 00001001* +L0063136 00000011 00000000 00010000 00000010 00000010 00000001 00000000 10000000* +L0063200 00000011 00000000 00000000 00000011 00000010 00000000 00000000 00000101* +L0063264 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0063328 00000001 00000000 00000001 00000100 00000000 00000001 00000001 00000001* +L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0063520 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000100* +L0063584 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0063648 000000 000000 000000 000110 000000 000000 000000 000000* +L0063696 000000 000000 001000 000001 000000 000000 000000 000100* +L0063744 000000 000000 000000 000000 000000 000000 000000 000000* +L0063792 000000 000000 000000 000000 000000 000000 000000 000000* +L0063840 000000 000000 000000 000010 000000 000000 000000 000000* L0063888 000000 000000 000000 000000 000000 000000 000000 000000* -L0063936 00000001 00000000 00000001 00000000 00000000 00000001 00000001 00000001* -L0064000 00000001 00000000 00000000 00000000 00000010 00000010 00000011 00000000* -L0064064 00000001 00000000 00000000 00000000 00000010 00000011 00000010 00000000* -L0064128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0064192 00000001 00000000 00000001 00000000 00000000 00000011 00000001 11010001* +L0063936 00000000 00000000 00000000 00000000 00000011 00000011 00000000 00000000* +L0064000 00000000 00000000 00000000 00000000 00000001 00000010 00000000 00000000* +L0064064 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0064128 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0064192 00000000 00000000 00000000 00000000 00000011 00000011 00000000 00000000* L0064256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0064320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0064384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064448 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* -L0064512 000000 000000 000000 000000 000001 000000 000000 000000* -L0064560 000000 000000 000000 000000 000000 000010 000000 000000* -L0064608 000000 000000 000000 000000 000000 000000 000000 000000* +L0064448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064512 000000 000000 000000 000000 000000 000000 000000 000000* +L0064560 000000 000000 000000 000000 000000 000000 000000 000000* +L0064608 000000 000000 000000 000000 000000 000000 000000 001000* L0064656 000000 000000 000000 000000 000000 000000 000000 000000* L0064704 000000 000000 000000 000000 000000 000000 000000 000000* L0064752 000000 000000 000000 000000 000000 000000 000000 000000* -L0064800 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000000* -L0064864 00000000 00000000 00000000 00000001 01000000 00000000 00000010 00010000* -L0064928 00000000 00000000 00000001 00000000 01000000 00000000 00000000 00010000* +L0064800 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0064864 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0064928 00000001 00000000 00000001 00000000 00000001 00000000 00000000 00000000* L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065056 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000000* -L0065120 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00100000* -L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0065056 00000001 00000000 00000001 00000000 00000001 00000001 00000000 00000000* +L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0065376 000000 000000 000000 000000 000000 000000 000000 000000* -L0065424 000000 000000 000000 000000 000000 000000 000000 110000* -L0065472 000000 000000 000000 000000 000000 000000 000000 110000* -L0065520 000000 000000 000000 000000 000000 000000 000000 100000* -L0065568 000000 000000 000000 000000 100000 000000 000000 100000* -L0065616 000000 000000 000000 000000 100000 000000 000000 100000* -L0065664 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00000001* -L0065728 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* -L0065792 00000000 00000000 00000001 00000001 00000001 00000001 00000001 00000001* -L0065856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065920 00000001 00000000 00000000 00000001 00000000 00000001 00000000 00000001* +L0065424 000000 000000 000000 000000 000000 000000 100000 000000* +L0065472 000000 000000 000000 000000 000000 000000 000000 000000* +L0065520 000000 000000 000000 000000 000000 000000 000000 000000* +L0065568 000000 000000 000000 000000 000000 000000 000000 000000* +L0065616 000000 000000 000000 000000 000000 000000 000000 000000* +L0065664 00000000 00000000 00000000 00000010 00000000 00000000 00000001 00000000* +L0065728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065792 00000000 00000000 00000000 00000000 00000000 00000000 00000101 00000000* +L0065856 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0065920 00000000 00000000 00000000 00000010 00000000 00000000 00000001 00000000* L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066240 000000 000000 000000 000000 000000 000000 000000 000000* +L0066240 000000 000000 000000 000000 000000 000000 000001 000000* L0066288 000000 000000 000000 000000 000000 000000 000000 000000* -L0066336 000000 000000 000000 000001 000000 000000 000000 000000* +L0066336 000000 000000 000000 000000 000000 000000 000000 000000* L0066384 000000 000000 000000 000000 000000 000000 000000 000000* L0066432 000000 000000 000000 000000 000000 000000 000000 000000* L0066480 000000 000000 000000 000000 000000 000000 000000 000000* -L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066656 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0066720 00000000 00000000 00000000 00011100 00000000 00000000 00000000 00001100* +L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0066592 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067040 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00001000* -L0067104 000000 000000 000000 000001 000000 000000 000000 000000* -L0067152 000000 000000 000000 000100 000000 000000 000000 000000* +L0066848 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0067104 000000 000000 000000 000000 000000 000000 000000 000000* +L0067152 000001 000000 000000 000000 001000 000000 000000 000000* L0067200 000000 000000 000000 000000 000000 000000 000000 000000* L0067248 000000 000000 000000 000000 000000 000000 000000 000000* -L0067296 000000 000000 000000 000111 000000 000000 000000 000000* -L0067344 000000 000000 000000 000001 000000 000000 000000 000000* -L0067392 00000010 00000010 00100010 00000010 00000010 10000010 00000010 00000010* -L0067456 00000000 00000000 00100010 00000000 00000010 00100110 00000000 00010010* -L0067520 00000000 00000000 00100000 00000000 00000000 00100000 00000000 00010000* -L0067584 00000000 00000000 00100000 00000000 00000000 00000000 00000000 10100000* -L0067648 00000000 00000000 00100000 00000000 00000000 00000000 00000000 11100000* -L0067712 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0067776 00000010 00000010 00011010 00000010 00000010 00000010 00000010 00000010* -L0067840 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0067904 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0067968 000000 000000 000010 000000 000000 000000 000000 000000* -L0068016 000000 000000 000000 000000 000000 000000 000000 000000* -L0068064 000000 000000 010001 000000 000000 010000 000000 010000* -L0068112 000000 000000 010000 000000 000001 000000 000000 000000* -L0068160 000000 000000 010000 000000 000000 000000 000000 100000* -L0068208 000000 000000 000000 000000 000000 000000 000000 100000* -L0068256 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0068320 00000000 00000000 00000000 00000000 01000000 00001000 00000000 10000000* -L0068384 00000000 00000000 10000000 00000000 01000000 00001000 00000000 00000000* -L0068448 00000000 00000000 10011100 00000000 00000000 00001100 00000000 00000000* -L0068512 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0068576 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0068640 00001000 00000000 00100000 00000000 00000000 01000000 00000000 00000000* -L0068704 00000000 00000000 00010000 00000000 00000000 11000000 00000000 00000100* -L0068768 00000000 00000000 00110000 00000000 00000000 00000000 00000000 01000000* -L0068832 000000 000000 101100 000000 000000 000000 000000 010000* -L0068880 000000 000000 001110 000000 100000 010000 000000 000000* -L0068928 000000 000000 100110 000000 100001 000000 010000 001000* -L0068976 000000 000000 100111 000000 100000 000000 000000 000000* -L0069024 000000 000000 000111 000000 100000 000000 000000 000000* -L0069072 000000 000000 000101 000000 100000 000000 000000 000000* -L0069120 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0069184 00001000 00000000 00000000 00000000 00000000 00000000 00000000 01110000* -L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01110000* -L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01110000* -L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01110000* +L0067296 000000 000000 000000 000000 000000 000000 000000 000000* +L0067344 000000 000000 000000 000000 000000 000000 000001 000000* +L0067392 00000010 00000010 00000010 00001010 00000010 00000010 00000010 00000010* +L0067456 00000010 00000000 00000010 00001010 00000000 00000010 00000110 00000010* +L0067520 00000000 00000000 00000000 00001000 00000000 00000000 00000100 00000000* +L0067584 00000000 00000000 00000000 00001000 00000000 00000000 00000100 00000000* +L0067648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067712 00000000 00000000 00000000 00001000 00000000 00000100 00000000 00000000* +L0067776 00000010 00000010 00100010 00001010 10000010 00000010 00000010 00000010* +L0067840 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0067904 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0067968 000000 000000 000000 000000 000000 000000 000001 000000* +L0068016 000000 000000 000000 000000 000000 000101 000000 000000* +L0068064 000000 000000 000000 000000 000000 000001 000000 000010* +L0068112 000000 000000 000000 000010 000000 000101 000000 000000* +L0068160 000000 000000 000000 010000 000000 000101 000000 000000* +L0068208 000000 000000 001000 000000 000000 000001 000000 000000* +L0068256 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0068320 00000000 00000000 00010000 10010000 00000000 00000000 00000000 00000000* +L0068384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068512 10000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0068576 00000000 00000000 00000000 00010000 00000000 00010000 00000000 00000000* +L0068640 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0068704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068832 000000 000000 000000 000000 000000 000000 000000 000000* +L0068880 000000 000000 000000 000000 000000 001000 000000 000010* +L0068928 000000 000000 000000 000000 000000 000000 000001 000000* +L0068976 000000 000000 001000 000000 000000 000000 000000 000000* +L0069024 000000 000000 001000 000001 000000 000000 000000 000000* +L0069072 000001 000000 000000 000011 000000 000000 000000 000000* +L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069312 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0069568 00000000 00000000 00000000 00000000 00000000 00100000 00000000 11000000* -L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0069696 000000 000000 000000 000000 000000 000000 000000 110000* -L0069744 000000 000000 000000 000000 000000 000000 000000 001000* -L0069792 000000 000000 000000 000000 000000 100000 000000 001000* -L0069840 000000 000000 000000 000000 000000 000000 000000 001000* -L0069888 000000 000000 000000 000000 000000 000000 000000 100001* -L0069936 000000 000000 000000 000000 000000 000000 000000 100000* -L0069984 00000000 00000000 00000000 00001000 00000000 00000000 00000000 01000000* +L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069696 000000 000000 000000 000000 000000 000000 000000 000000* +L0069744 000000 000000 000000 000000 000000 000000 000000 000000* +L0069792 000000 000001 000000 000000 000000 000000 000000 000000* +L0069840 000000 000000 000000 000000 000000 000000 000000 000000* +L0069888 000000 000000 000000 000000 000000 000000 000000 000000* +L0069936 000000 000000 000000 000000 000000 000000 000000 000000* +L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070112 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070432 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00100000* -L0070496 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* -L0070560 000000 000000 100100 000000 000000 000000 000000 000000* -L0070608 000000 000000 000000 000000 000000 000000 000000 100001* -L0070656 000000 000000 100000 000000 000000 000000 000000 000000* -L0070704 000000 000000 100000 000000 000000 000001 000000 000000* -L0070752 000000 000000 000000 000000 000000 000000 000000 001000* -L0070800 000000 000000 000000 000000 000000 000000 000000 001000* +L0070432 00000100 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070560 000000 000000 000000 000000 000000 000000 000000 000000* +L0070608 000000 000001 000000 000000 000000 000000 000000 000000* +L0070656 000000 000000 000000 000000 000000 000000 000000 000000* +L0070704 000000 000000 000000 000000 000000 000000 000000 000000* +L0070752 000000 000000 000000 000000 000000 000000 000000 000000* +L0070800 000000 000000 000000 000000 000000 000000 000000 000000* L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071040 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071168 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0071232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071424 000000 000000 000000 000000 000000 000000 000000 000000* L0071472 000000 000000 000000 000000 000000 000000 000000 000000* -L0071520 000000 000000 000000 000000 000000 000000 000000 000000* +L0071520 000000 000000 000001 000000 000000 000000 000000 000000* L0071568 000000 000000 000000 000000 000000 000000 000000 000000* -L0071616 000000 000000 000000 000000 000000 000000 000011 000000* +L0071616 000000 000000 000000 000000 000000 000000 000000 000000* L0071664 000000 000000 000000 000000 000000 000000 000000 000000* -L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071712 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071904 00000000 00000000 00011100 00000000 11111100 00000000 00000000 00000000* +L0071968 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0072032 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0072096 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0072160 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0072224 00000000 00000000 00010000 00000000 00100100 00000000 00000000 00000000* L0072288 000000 000000 000000 000000 000000 000000 000000 000000* L0072336 000000 000000 000000 000000 000000 000000 000000 000000* L0072384 000000 000000 000000 000000 000000 000000 000000 000000* -L0072432 000000 000000 000000 000000 000000 000000 000000 000000* -L0072480 000000 000000 000000 000000 000000 000000 000000 000000* -L0072528 000000 000000 000000 000000 000000 000000 000000 000000* +L0072432 000000 000001 000000 000000 000000 000000 000000 000000* +L0072480 000000 000001 000111 000000 100001 000000 000000 000000* +L0072528 000000 000000 000001 000000 000000 000000 000000 000000* L0072576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072768 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072960 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073152 000000 000000 000000 000000 000000 000000 000000 000000* L0073200 000000 000000 000000 000000 000000 000000 000000 000000* -L0073248 000000 000000 000000 000000 000000 000000 000000 000010* +L0073248 000000 000000 000000 000000 000000 000000 000000 000000* L0073296 000000 000000 000000 000000 000000 000000 000000 000000* L0073344 000000 000000 000000 000000 000000 000000 000000 000000* L0073392 000000 000000 000000 000000 000000 000000 000000 000000* @@ -1370,12 +1370,12 @@ L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073760 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0073760 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* L0073824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074016 000000 000000 000000 000000 000000 000000 000000 000000* -L0074064 000000 000000 000000 000000 000000 000000 000000 000010* +L0074064 000000 000000 000000 000000 000000 000000 000000 000000* L0074112 000000 000000 000000 000000 000000 000000 000000 000000* L0074160 000000 000000 000000 000000 000000 000000 000000 000000* L0074208 000000 000000 000000 000000 000000 000000 000000 000000* @@ -1385,94 +1385,94 @@ L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074880 000000 000000 000000 000000 000000 000000 000000 000000* -L0074928 000000 000000 000000 000000 000000 000000 000000 000000* -L0074976 000000 000000 000000 000000 000000 000000 000000 000000* -L0075024 000000 000000 000000 000000 000000 000000 000000 000000* -L0075072 000000 000000 000000 000000 000000 000000 000000 000000* -L0075120 000000 000000 000000 000000 000000 000000 000000 000000* -L0075168 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000100* +L0074928 000000 000000 000000 000000 000000 000101 000000 000000* +L0074976 000000 000000 000000 000000 000000 000001 000000 000000* +L0075024 000000 000000 000000 000000 000000 000101 000000 000000* +L0075072 000000 000000 000000 000000 000000 000101 000000 000000* +L0075120 000000 000000 000000 000000 000000 000001 000000 000000* +L0075168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0075424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075488 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0075488 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000100* L0075552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075616 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0075680 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0075744 000000 000000 100100 000000 000000 000000 000000 000000* -L0075792 000000 000000 000000 000000 000000 000000 010000 000000* -L0075840 000000 000000 100000 000000 000000 000000 000000 000000* -L0075888 000000 000000 100000 000000 000000 000000 000000 000000* +L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075744 000000 000000 000000 000000 000000 000100 000000 000000* +L0075792 000000 000000 000000 000000 000000 010000 000000 000000* +L0075840 000000 000000 000000 000000 000000 000000 000000 000000* +L0075888 000000 000000 000000 000000 000000 000000 000000 000000* L0075936 000000 000000 000000 000000 000000 000000 000000 000000* -L0075984 000000 000000 000000 000000 000000 000000 000000 000000* +L0075984 000000 000000 000000 000000 000000 001000 000000 000000* L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0076288 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* -L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076416 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0076544 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000100* -L0076608 000000 000000 000000 000000 000000 000000 000000 000001* +L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076352 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0076416 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0076608 000000 000000 000000 000000 000000 000000 000000 000000* L0076656 000000 000000 000000 000000 000000 000000 000000 000000* -L0076704 000000 000000 000000 000000 000000 000000 100000 000000* -L0076752 000000 000000 000000 000000 000000 000000 000000 001000* +L0076704 000000 000000 000000 000000 000000 000000 000000 000000* +L0076752 000000 000000 000000 000000 000000 000000 000000 000000* L0076800 000000 000000 000000 000000 000000 000000 000000 000000* L0076848 000000 000000 000000 000000 000000 000000 000000 000000* -L0076896 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0076960 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00010000* -L0077024 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00010000* -L0077088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0077152 00000000 00000000 00000000 00000000 00000100 00000000 00000000 11100000* -L0077216 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077344 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0077408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077472 000000 000000 000000 000000 001010 000000 001000 000000* -L0077520 000000 000000 000000 000000 100000 000000 100000 000000* -L0077568 000000 000000 000000 000000 100000 000000 000000 010000* -L0077616 000000 000000 000000 000000 101000 000000 000000 000000* -L0077664 000000 000000 000000 000000 100000 000000 000000 100000* -L0077712 000000 000000 000000 000000 100000 000000 000000 100000* -L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0077472 000000 000000 000000 000000 000000 000000 000000 000000* +L0077520 000000 000000 000000 000000 000010 000000 000000 000000* +L0077568 000000 000000 000000 000000 000000 000000 000000 000000* +L0077616 000000 000000 000000 000000 000000 000000 000000 000000* +L0077664 000000 000000 000000 000000 000000 000000 000000 000000* +L0077712 000000 000000 000000 000000 000000 000000 000001 000000* +L0077760 00000000 00000000 00010100 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0078144 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0078080 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0078144 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078336 000000 000000 000000 000000 000000 000000 000000 000000* -L0078384 000000 000000 000000 000000 000000 000000 000000 000000* +L0078384 000000 000010 000010 000000 000000 000000 000000 000000* L0078432 000000 000000 000000 000000 000000 000000 000000 000000* L0078480 000000 000000 000000 000000 000000 000000 000000 000000* L0078528 000000 000000 000000 000000 000000 000000 000000 000000* L0078576 000000 000000 000000 000000 000000 000000 000000 000000* -L0078624 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0078752 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078688 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0078752 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078944 00000100 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0079008 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0079200 000000 000000 000000 000000 000000 000000 000000 000000* -L0079248 000000 000000 000000 000000 100000 000000 000000 000000* -L0079296 000000 000000 000000 000000 100000 000000 000000 000000* -L0079344 000000 000000 000000 000000 100000 000000 000000 000000* -L0079392 000000 000000 000000 000000 100000 000000 000000 000000* -L0079440 000000 000000 000000 000000 100000 000000 000000 000000* -L0079488 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078944 00000000 00000000 00000000 00000000 00000000 00010000 00100000 00000000* +L0079008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079072 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0079136 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0079200 000000 000000 000000 000000 000000 000100 000000 000000* +L0079248 000000 000000 000001 000000 000000 000000 000000 000000* +L0079296 000000 000000 000001 000000 000000 000000 000000 000000* +L0079344 000000 000000 000001 000000 000000 000000 000000 000000* +L0079392 000000 000000 000000 000000 000000 000000 000000 000000* +L0079440 000000 000000 000000 000000 000000 000000 000000 000000* +L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079616 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1482,180 +1482,180 @@ L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0080064 000000 000000 000000 000000 000000 000000 000000 000000* L0080112 000000 000000 000000 000000 000000 000000 000000 000000* L0080160 000000 000000 000000 000000 000000 000000 000000 000000* -L0080208 000000 000000 000000 000000 000000 000000 000000 000000* +L0080208 000000 000000 000000 000000 000000 000000 000010 000000* L0080256 000000 000000 000000 000000 000000 000000 000000 000000* L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080672 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080800 00000000 00000000 00010000 00000000 00000000 10000000 00000000 00000000* -L0080864 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0080928 000000 000000 100100 000000 000000 000000 000000 000000* +L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080928 000000 000000 000000 000000 000000 000000 000000 000000* L0080976 000000 000000 000000 000000 000000 000000 000000 000000* -L0081024 000000 000000 100000 000000 000000 000000 000000 000000* -L0081072 000000 000000 100000 000000 000000 000000 000000 000000* +L0081024 000000 000000 000000 000000 000000 000000 000000 000000* +L0081072 000000 000000 000000 000000 000000 000000 000000 000000* L0081120 000000 000000 000000 000000 000000 000000 000000 000000* L0081168 000000 000000 000000 000000 000000 000000 000000 000000* -L0081216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081280 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0081344 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0081216 00000000 00000000 00000000 00010000 00000000 00000000 00010100 00000000* +L0081280 00000000 00000000 00000000 00010000 00000000 00000000 00010000 00000000* +L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081472 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0081536 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0081600 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0081664 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0081472 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0081536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081792 000000 000000 000000 000000 001010 000000 000000 000000* -L0081840 000000 000000 000100 000000 000100 100100 000000 000000* -L0081888 000000 000000 000100 000000 010000 100000 000000 000000* -L0081936 000000 000000 000000 000000 001000 000100 000000 000000* +L0081792 000000 000000 000000 000000 000000 000000 000000 000000* +L0081840 000000 000000 000000 000000 000000 000000 000000 000000* +L0081888 000000 000000 000000 000000 000000 000000 000000 000100* +L0081936 000000 000000 000000 000000 000000 000000 000000 000000* L0081984 000000 000000 000000 000000 000000 000000 000000 000000* -L0082032 000000 000000 000000 000000 000000 000000 000000 000000* -L0082080 00000000 00000000 00100000 00100000 01000000 01000000 00000000 00000000* -L0082144 00000000 00000000 00100000 00000000 01000000 00010000 00000000 00000000* -L0082208 00000000 00000000 10100000 00000000 01000000 00010000 00000000 00000000* -L0082272 00000000 00000000 00110000 00000000 00000000 00010000 00000000 00000000* -L0082336 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0082400 00000000 00000000 10001000 10000000 00000000 00110100 00000000 00000000* -L0082464 00000000 00000000 10001100 10000000 00000000 00000100 00000000 00000000* -L0082528 00000000 00000000 10011100 00000000 00000000 00010000 00000000 00000000* -L0082592 00000000 00000000 10111100 00000000 00000000 00000000 00000000 00000000* -L0082656 000000 000000 100110 000000 000000 000000 000000 000000* -L0082704 000000 000000 000010 000000 100000 001001 001000 000000* -L0082752 000000 000000 111010 000000 100000 000000 000000 000000* -L0082800 000000 000000 111101 000000 100000 001000 000000 000000* -L0082848 000000 000000 011000 000000 100000 000001 000000 000000* -L0082896 000000 000000 001010 000000 100000 000001 000000 000000* -L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082032 000000 000000 000000 000011 000000 000000 000000 000000* +L0082080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082144 00000000 00000000 00010000 00000000 00000000 00000000 00000100 00000000* +L0082208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082272 00000000 00000000 00000000 00000000 11111100 00000000 00000000 00000000* +L0082336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082400 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0082464 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0082528 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0082592 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0082656 000001 000000 000000 000000 000000 000000 000000 000000* +L0082704 000000 000000 000000 000000 000000 000000 000000 000000* +L0082752 000000 000000 000000 010000 000000 000000 000010 000000* +L0082800 000000 000001 001000 000000 000000 000000 000000 000000* +L0082848 000001 000000 000000 000000 100001 000000 000001 000000* +L0082896 000000 000000 000000 000000 000000 000000 000000 000000* +L0082944 00000000 00000000 00000100 00000000 00000000 00000000 00001000 00000000* L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083072 00000000 00000000 00000000 00000000 00000000 01010000 00000000 00000000* -L0083136 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083264 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083392 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083520 000000 000000 000000 000000 000000 000000 000000 000000* L0083568 000000 000000 000000 000000 000000 000000 000000 000000* L0083616 000000 000000 000000 000000 000000 000000 000000 000000* L0083664 000000 000000 000000 000000 000000 000000 000000 000000* -L0083712 000000 000000 000000 000000 000000 000001 000000 000000* +L0083712 000000 000000 000000 000000 000000 000000 000000 000000* L0083760 000000 000000 000000 000000 000000 000000 000000 000000* -L0083808 00000000 00000000 00000000 00000000 01101000 00000000 01110000 00001100* -L0083872 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* -L0083936 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00000000* +L0083808 00000000 00000000 00010000 00000000 11111100 00000000 00000000 00000000* +L0083872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083936 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* L0084000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084128 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00001000* +L0084128 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* L0084192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084384 000000 000000 000000 000000 000000 000000 000000 000000* -L0084432 000000 000000 000000 000000 000000 000000 000001 000000* -L0084480 000000 000000 000000 000000 100000 000000 000000 000000* -L0084528 000000 000000 000000 000000 000000 000000 000000 000000* +L0084432 000000 000000 000001 000000 100001 000000 000000 000000* +L0084480 000000 000000 000001 000000 000000 000000 000000 000000* +L0084528 000000 000000 000001 000000 000000 000000 000000 000000* L0084576 000000 000000 000000 000000 000000 000000 000000 000000* -L0084624 000000 000000 000000 000000 100000 000000 000000 000000* +L0084624 000000 000000 000000 000000 000000 000000 000000 000000* L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084736 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0084800 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084864 00000100 00000000 00000000 00000100 11111100 00000000 00000000 00000000* L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084992 00000000 00000000 10000000 00000000 00000000 00100000 10000000 00000000* -L0085056 00000000 00000000 10000100 00000000 00000000 00100000 01010000 00000000* -L0085120 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0085184 00000000 00000000 10000100 00000000 00000000 00000000 00100000 00000000* +L0084992 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0085056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085120 00000100 00000000 00000000 00010000 00000000 10000000 00000000 00000000* +L0085184 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* L0085248 000000 000000 000000 000000 000000 000000 000000 000000* -L0085296 000000 000000 000000 000000 000000 000000 010000 000000* -L0085344 000001 000000 001000 000000 000000 000000 000000 000000* -L0085392 000000 000000 001000 000000 000000 000000 000000 000000* -L0085440 000000 000000 001000 000000 000000 000000 000000 000000* -L0085488 000000 000000 001010 000000 000000 000000 000000 000000* -L0085536 00000000 00000000 00100000 00001000 00000000 00000000 00000000 00000000* -L0085600 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0085664 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0085728 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0085792 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0085856 00000000 00000000 00001000 00001000 00000000 00000000 01010000 00000000* -L0085920 00000000 00000000 00001000 00000000 00000000 00000000 10000000 00000000* -L0085984 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0086048 00000000 00000000 00001000 00000000 00000000 00010000 00000000 00000000* -L0086112 000000 000000 000010 000000 000000 000000 001000 000000* -L0086160 000001 000000 000000 000000 000000 000000 000000 000000* -L0086208 000000 000000 010000 000000 000000 000000 010000 000000* -L0086256 000000 000000 010100 000000 000000 000000 000000 000000* -L0086304 000000 000000 010100 000000 000000 001000 000000 000000* -L0086352 000000 000000 000100 000000 000000 000000 000000 000000* -L0086400 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* +L0085296 000000 000000 000000 000000 000000 000000 000000 000000* +L0085344 000000 000000 000000 000001 000000 000000 000000 000000* +L0085392 000000 000001 000000 000000 000000 000000 000000 000000* +L0085440 000000 000001 000000 000000 100001 000000 000000 000000* +L0085488 000000 000000 000000 000000 000000 000000 000000 000000* +L0085536 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0085600 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0085664 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0085728 10000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0085792 10000100 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0085856 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0085920 00000100 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0085984 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0086048 00000100 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0086112 000001 000000 000000 000101 000000 000000 000000 000000* +L0086160 000000 000000 000000 000000 000000 000000 001000 000000* +L0086208 000001 000000 000000 000000 000000 000000 000000 000000* +L0086256 000001 000000 000000 000000 000000 000000 000000 000000* +L0086304 000001 000000 000000 000110 000000 000000 000000 000000* +L0086352 000001 000000 000000 000111 000000 000000 000000 000000* +L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086528 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* -L0086592 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* -L0086656 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* -L0086720 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0086784 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* -L0086848 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* -L0086912 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* -L0086976 000000 000000 000000 000000 001010 000000 000000 000000* -L0087024 000000 000000 000000 000000 000100 000000 000000 000000* -L0087072 000000 000000 000000 000000 010100 000000 000000 000000* -L0087120 000000 000000 000000 000000 010100 000000 000000 000000* -L0087168 000000 000000 000000 000000 010100 000000 000000 000000* -L0087216 000000 000000 000000 000000 010100 000000 000000 000000* -L0087264 00000000 00000000 00100000 00000000 00000000 00000000 01111100 00001100* -L0087328 00000000 00000000 00100000 00000000 11000000 00000000 00000000 00000000* -L0087392 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0087456 00000000 00000000 00100000 00000000 10000000 00000000 00000000 00000000* -L0087520 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0087584 00001000 00000000 10000000 00000000 00000000 00000000 00000100 00001000* -L0087648 00000000 00000000 10001100 00000000 00000000 00000000 00000000 00000000* -L0087712 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0087776 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0087840 000000 000000 100110 000000 000000 000000 000000 000000* -L0087888 000000 000000 000000 000000 100000 000000 000011 000000* -L0087936 000000 000000 111000 000000 000000 000000 000000 000000* -L0087984 000000 000000 111000 000000 100000 000000 000000 000000* -L0088032 000001 000000 011000 000000 100000 000000 000000 000000* -L0088080 000000 000000 001010 000000 000000 000000 000000 000000* -L0088128 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* -L0088192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0088256 00000000 00000000 00000000 00000000 00000000 01000000 00000000 10100000* -L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0086528 10000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086976 000000 000000 000000 000000 000000 000000 000000 000000* +L0087024 000000 000000 000010 000000 000000 000000 000000 000000* +L0087072 000000 000000 000000 000000 000000 000000 000000 000000* +L0087120 000000 000000 000000 000000 000000 000000 000010 000000* +L0087168 000000 000000 000000 000000 000000 000100 000000 000000* +L0087216 000000 000000 000000 000000 000000 000001 000000 000000* +L0087264 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00100000* +L0087328 10000000 00000000 00000000 00000000 00000000 00001000 00010000 00000000* +L0087392 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087584 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0087648 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087840 000000 000000 000000 000000 000000 000000 000000 000000* +L0087888 000000 000000 000000 000000 000000 000000 000000 000000* +L0087936 000000 000000 000000 000000 000000 000000 000000 000000* +L0087984 000000 000000 000000 000000 000000 000000 000000 000000* +L0088032 000000 000000 000000 000000 000000 000000 000000 000000* +L0088080 000000 000000 000001 000000 000000 000000 000000 000000* +L0088128 00000000 00000000 00000000 00000000 10000000 00001000 00000000 00010000* +L0088192 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* +L0088256 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088448 00000000 00000000 00110000 00000000 00000000 00000000 00000000 00000000* -L0088512 00000000 00000000 00000000 00000000 00000000 10010000 00000000 00000000* -L0088576 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00100000* +L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0088512 00000100 00000000 00000000 00000000 00000000 10010000 00000000 00000000* +L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088704 000000 000000 000000 000000 000000 000000 000000 100000* -L0088752 000000 000000 000001 000000 000000 000000 000000 000000* +L0088704 000000 000000 000000 000000 000000 000000 000000 000000* +L0088752 000000 000000 000000 000000 000000 000000 000000 000000* L0088800 000000 000000 000000 000000 000000 001100 000000 000000* L0088848 000000 000000 000000 000000 000000 000000 000000 000000* L0088896 000000 000000 000000 000000 000000 000000 000000 000000* L0088944 000000 000000 000000 000000 000000 000000 000000 000000* -L0088992 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089056 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089120 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00010000* +L0088992 00000000 00000000 00000000 00000000 01111100 00000000 00000000 00000000* +L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0089312 00000000 00000000 00001000 00000000 00000000 00000000 00000100 00000000* +L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089312 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089440 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089568 000000 000000 000010 000000 000000 000000 000000 000000* -L0089616 000000 000000 000000 000000 000000 000000 000001 000000* -L0089664 000000 000000 010100 000000 000000 000000 000000 000000* -L0089712 000000 000000 010000 000000 000000 000000 000000 000001* -L0089760 000000 000000 010100 000000 000000 000000 000000 000000* -L0089808 000000 000000 000100 000000 000000 000000 000000 101000* +L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089504 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089568 000000 000000 000000 000000 000000 000000 000000 000000* +L0089616 000000 000000 000000 000000 100001 000001 000000 000000* +L0089664 000000 000000 000000 000000 000000 000000 000000 000000* +L0089712 000000 000000 000000 000000 000000 000000 000000 000000* +L0089760 000000 000000 000000 000000 000000 000000 000000 000000* +L0089808 000000 000000 000000 000000 000000 000000 000000 000000* L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090176 00000000 00000000 00000000 00000000 01000000 10000000 00000000 00000000* L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1665,50 +1665,50 @@ L0090528 000000 000000 000000 000000 000000 000000 000000 000000* L0090576 000000 000000 000000 000000 000000 000000 000000 000000* L0090624 000000 000000 000000 000000 000000 000000 000000 000000* L0090672 000000 000000 000000 000000 000000 000000 000000 000000* -L0090720 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0090720 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090848 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091040 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091168 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0091232 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0091296 000000 000000 100100 000000 000000 000000 000000 000000* +L0091168 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091296 000000 000000 000000 000000 000000 000000 000000 000000* L0091344 000000 000000 000000 000000 000000 000000 000000 000000* -L0091392 000000 000000 100000 000000 000000 000000 000000 000000* -L0091440 000000 000000 100000 000000 000000 000000 000000 000000* +L0091392 000000 000000 000000 000000 000000 000000 000000 000000* +L0091440 000000 000000 000000 000000 000000 000000 000000 000000* L0091488 000000 000000 000000 000000 000000 000000 000000 000000* L0091536 000000 000000 000000 000000 000000 000000 000000 000000* -L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0091648 00000000 00000000 00000000 00000100 00000000 00000000 00000000 11110000* -L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11110000* -L0091776 00000000 00000000 00000000 00000000 00000100 00000000 00000000 11110000* -L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11110000* -L0091904 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100100* -L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000100* -L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000100* -L0092160 000000 000000 000000 000000 000000 000000 000000 110001* -L0092208 000000 000000 000000 000100 000000 000000 000000 001001* -L0092256 000000 000000 000000 000000 000000 000000 000000 001000* -L0092304 000000 000000 000000 000000 000000 000000 000000 001000* +L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092160 000000 000000 000000 000000 000000 000000 000000 000000* +L0092208 000000 000000 000000 000000 000000 000000 000000 000000* +L0092256 000000 000000 000000 000000 000000 000000 000000 000000* +L0092304 000000 000000 000000 000000 000000 000000 000000 000000* L0092352 000000 000000 000000 000000 000000 000000 000000 000000* -L0092400 000000 000000 000000 000000 010100 000000 000000 000000* -L0092448 00100000 00000000 00000000 00010100 01000000 00000000 00000000 01010000* -L0092512 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0092576 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0092400 000000 000000 000000 000000 000000 000000 000000 000000* +L0092448 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0092512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092896 00000000 00000000 00010000 00000100 00000000 10000000 00000000 10000000* -L0092960 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* -L0093024 000000 000000 100100 000000 000000 000000 001000 000000* -L0093072 000000 000000 000000 000001 100010 000000 000000 000000* -L0093120 000000 000000 100000 000001 100000 000000 000000 000001* -L0093168 000000 000000 100000 000001 100000 000000 000000 000001* -L0093216 000000 000000 000000 000000 100000 000000 000000 001001* -L0093264 000000 000000 000000 000000 100000 000000 000000 001001* -C1BCC* -2A5C +L0092896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0093024 000000 000000 000000 000000 000000 000000 000000 000000* +L0093072 000000 000000 000000 000000 000000 000000 000000 000000* +L0093120 000000 000000 000000 000000 000000 000000 000000 000000* +L0093168 000000 000000 000000 000000 000000 000000 000000 000000* +L0093216 000000 000000 000000 000000 000000 000000 000000 000000* +L0093264 000000 000000 000000 000000 000000 000000 000000 000000* +CB8B1* +264B diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index 6973137..fd91e09 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -2,102 +2,76 @@ MDF Database: version 1.0 MDF_INFO | WarpSE | XC95144XL-10-TQ100 MACROCELL | 5 | 1 | nVMA_IOBout ATTRIBUTES | 4622082 | 0 -OUTPUTMC | 2 | 5 | 1 | 5 | 0 -INPUTS | 9 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPAr | nAoutOE -INPUTMC | 9 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 | 5 | 17 | 1 | 16 | 3 | 1 +OUTPUTMC | 2 | 5 | 1 | 0 | 14 +INPUTS | 8 | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | IOACT | iobm/VPAr | nAoutOE +INPUTMC | 8 | 5 | 1 | 0 | 12 | 0 | 11 | 0 | 14 | 0 | 13 | 6 | 17 | 1 | 14 | 3 | 1 EQ | 6 | - nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> - # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPAr; - nVMA_IOB.CLK = C16M; // GCK + nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & + !iobm/ES<3> + # nVMA_IOB & iobm/ES<0> & iobm/ES<2> & !iobm/ES<1> & + !iobm/ES<3> & IOACT & iobm/VPAr; + nVMA_IOB.CLK = C8M; // GCK nVMA_IOB.OE = !nAoutOE; -GLOBALS | 1 | 2 | C16M +GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 11 | nAS_IOBout -ATTRIBUTES | 8685314 | 0 -INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | nAoutOE -INPUTMC | 4 | 0 | 16 | 5 | 9 | 5 | 3 | 3 | 1 -EQ | 4 | - nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 - # iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2; +ATTRIBUTES | 8816386 | 0 +OUTPUTMC | 2 | 1 | 16 | 0 | 15 +INPUTS | 9 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | iobm/IOWRREQr | nAoutOE +INPUTMC | 9 | 6 | 14 | 5 | 9 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 15 | 6 | 2 | 6 | 0 | 3 | 1 +EQ | 9 | + nAS_IOB.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 + # !iobm/IOS_FSM_FFd3 & iobm/C8Mr & + !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IORDREQr & + !iobm/IOWRREQr; !nAS_IOB.CLK = C16M; // GCK nAS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 8 | nLDS_IOBout -ATTRIBUTES | 8685314 | 0 -INPUTS | 6 | IOL0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IORW0 | nAoutOE -INPUTMC | 6 | 4 | 0 | 5 | 3 | 5 | 9 | 0 | 16 | 4 | 16 | 3 | 1 -EQ | 6 | - !nLDS_IOB.D = IOL0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 - # IOL0 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 - # IORW0 & IOL0 & iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd1; +ATTRIBUTES | 8816386 | 0 +OUTPUTMC | 1 | 5 | 8 +INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOL0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nLDS_IOB | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd1.EXP | nAoutOE +INPUTMC | 8 | 6 | 14 | 0 | 17 | 5 | 5 | 5 | 6 | 5 | 8 | 5 | 12 | 5 | 7 | 3 | 1 +IMPORTS | 1 | 5 | 7 +EQ | 9 | + !nLDS_IOB.D = iobm/IOS_FSM_FFd3 & IOL0 + # iobm/IOS_FSM_FFd4 & IOL0 + # iobm/IOS_FSM_FFd5 & IOL0 + # !nLDS_IOB & iobm/IOS_FSM_FFd6 & IOL0 +;Imported pterms FB6_8 + # iobm/IOS_FSM_FFd7 & !iobm/C8Mr & IOL0 & + iobm/IORDREQr; !nLDS_IOB.CLK = C16M; // GCK nLDS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 10 | nUDS_IOBout -ATTRIBUTES | 8685314 | 0 -INPUTS | 6 | IOU0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IORW0 | nAoutOE -INPUTMC | 6 | 0 | 17 | 5 | 3 | 5 | 9 | 0 | 16 | 4 | 16 | 3 | 1 -EQ | 6 | - !nUDS_IOB.D = IOU0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 - # IOU0 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 - # IORW0 & IOU0 & iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd1; +ATTRIBUTES | 8816386 | 0 +OUTPUTMC | 1 | 5 | 10 +INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOU0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nUDS_IOB | iobm/IOS_FSM_FFd6 | iobm/C8Mr.EXP | nAoutOE +INPUTMC | 8 | 6 | 14 | 0 | 16 | 5 | 5 | 5 | 6 | 5 | 10 | 5 | 12 | 5 | 9 | 3 | 1 +IMPORTS | 1 | 5 | 9 +EQ | 9 | + !nUDS_IOB.D = iobm/IOS_FSM_FFd3 & IOU0 + # iobm/IOS_FSM_FFd4 & IOU0 + # iobm/IOS_FSM_FFd5 & IOU0 + # !nUDS_IOB & iobm/IOS_FSM_FFd6 & IOU0 +;Imported pterms FB6_10 + # iobm/IOS_FSM_FFd7 & !iobm/C8Mr & IOU0 & + iobm/IORDREQr; !nUDS_IOB.CLK = C16M; // GCK nUDS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M -MACROCELL | 2 | 12 | iobs/Sent -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 2 | 12 | 4 | 3 | 4 | 9 | 4 | 2 | 4 | 6 | 2 | 6 | 4 | 12 | 2 | 5 | 2 | 11 | 2 | 13 | 4 | 17 -INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | nADoutLE1 | A_FSB<22> | cs/nOverlay | A_FSB<20> | cs/ODCSr.EXP | EXP12_.EXP -INPUTMC | 8 | 2 | 12 | 2 | 4 | 4 | 6 | 0 | 13 | 5 | 13 | 6 | 2 | 2 | 11 | 2 | 13 -INPUTP | 4 | 54 | 36 | 30 | 28 -IMPORTS | 2 | 2 | 11 | 2 | 13 -EQ | 32 | - iobs/Sent.T = iobs/Sent & nAS_FSB & !fsb/ASrf - # A_FSB<23> & !iobs/Sent & !nAS_FSB & - !iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1 & nADoutLE1 - # A_FSB<23> & !iobs/Sent & !iobs/TS_FSM_FFd2 & - !iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nAS_FSB & - !iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & - !iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB3_12 - # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nAS_FSB & - !iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Sent & - !iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & - !iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 -;Imported pterms FB3_14 - # A_FSB<22> & A_FSB<21> & !iobs/Sent & - !iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & !nAS_FSB & nADoutLE1 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & !nAS_FSB & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & fsb/ASrf & nADoutLE1; - iobs/Sent.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 17 | RefUrg +MACROCELL | 2 | 17 | RefUrg ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 4 | 3 | 17 | 3 | 9 | 3 | 14 | 3 | 3 +OUTPUTMC | 9 | 2 | 17 | 2 | 14 | 7 | 17 | 2 | 9 | 7 | 8 | 7 | 15 | 7 | 13 | 7 | 16 | 7 | 0 INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | RefUrg | cnt/TimerTC -INPUTMC | 7 | 3 | 11 | 3 | 15 | 3 | 16 | 0 | 11 | 3 | 8 | 3 | 17 | 3 | 9 +INPUTMC | 7 | 6 | 12 | 1 | 17 | 2 | 15 | 2 | 7 | 6 | 6 | 2 | 17 | 2 | 9 EQ | 9 | RefUrg.T = RefUrg & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & @@ -110,22 +84,80 @@ EQ | 9 | RefUrg.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 0 | cnt/LTimer<0> +MACROCELL | 3 | 17 | iobs/Sent +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 3 | 17 | 3 | 13 | 3 | 14 | 7 | 11 | 3 | 15 | 3 | 12 | 0 | 17 | 0 | 16 | 3 | 16 | 3 | 11 | 3 | 0 +INPUTS | 12 | nAS_FSB | fsb/ASrf | iobs/Sent | nADoutLE1 | A_FSB<22> | iobs/TS_FSM_FFd1 | A_FSB<23> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/TS_FSM_FFd2 | ram/BACTr.EXP +INPUTMC | 7 | 7 | 3 | 3 | 17 | 5 | 13 | 3 | 8 | 0 | 10 | 3 | 15 | 3 | 0 +INPUTP | 5 | 54 | 30 | 36 | 29 | 28 +EXPORTS | 1 | 3 | 16 +IMPORTS | 1 | 3 | 0 +EQ | 14 | + !iobs/Sent.D = !iobs/Sent & !nADoutLE1 + # nAS_FSB & !fsb/ASrf + # A_FSB<23> & !iobs/Sent & iobs/TS_FSM_FFd1 + # A_FSB<22> & !iobs/Sent & iobs/TS_FSM_FFd1 +;Imported pterms FB4_1 + # A_FSB<23> & !iobs/Sent & iobs/TS_FSM_FFd2 + # A_FSB<22> & !iobs/Sent & iobs/TS_FSM_FFd2 + # !iobs/Sent & nWE_FSB & iobs/TS_FSM_FFd1 + # !iobs/Sent & nWE_FSB & iobs/TS_FSM_FFd2 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !iobs/Sent & cs/nOverlay; + iobs/Sent.CLK = FCLK; // GCK + iobs/Sent.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 1 | 16 | IOBERR +ATTRIBUTES | 8553232 | 0 +OUTPUTMC | 4 | 7 | 11 | 6 | 14 | 6 | 0 | 6 | 9 +INPUTS | 2 | nBERR_IOB | nAS_IOB +INPUTMC | 1 | 5 | 11 +INPUTP | 1 | 123 +EQ | 3 | + IOBERR.D = !nBERR_IOB; + !IOBERR.CLK = C8M; // GCK + IOBERR.AR = nAS_IOB; +GLOBALS | 1 | 2 | C8M + +MACROCELL | 0 | 15 | IODONE +ATTRIBUTES | 8553232 | 0 +OUTPUTMC | 5 | 6 | 14 | 6 | 0 | 6 | 9 | 2 | 5 | 0 | 16 +INPUTS | 10 | nRES.PIN | nDTACK_IOB | iobs/IOU1 | IOU0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | nAS_IOB | fsb/ASrf | iobm/ES<1>.EXP +INPUTMC | 7 | 7 | 9 | 0 | 16 | 5 | 13 | 3 | 15 | 5 | 11 | 7 | 3 | 0 | 14 +INPUTP | 3 | 145 | 127 | 54 +EXPORTS | 1 | 0 | 16 +IMPORTS | 1 | 0 | 14 +EQ | 10 | + IODONE.D = !nRES.PIN + # !nDTACK_IOB +;Imported pterms FB1_15 + # !nVMA_IOB & !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & + iobm/ES<3>; + !IODONE.CLK = C8M; // GCK + IODONE.AR = nAS_IOB; + IODONE.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 +GLOBALS | 1 | 2 | C8M + +MACROCELL | 6 | 3 | cnt/LTimer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 13 | 6 | 17 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 13 | 3 | 12 +OUTPUTMC | 13 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 INPUTS | 3 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 3 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 3 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 3 | cnt/LTimer<0>.T = Vcc; cnt/LTimer<0>.CLK = FCLK; // GCK cnt/LTimer<0>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 11 | cnt/Timer<0> +MACROCELL | 6 | 12 | cnt/Timer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 5 | 3 | 17 | 3 | 11 | 3 | 15 | 3 | 16 | 3 | 9 +OUTPUTMC | 5 | 2 | 17 | 6 | 12 | 1 | 17 | 2 | 15 | 2 | 9 INPUTS | 4 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 3 | 11 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 4 | 6 | 12 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 4 | !cnt/Timer<0>.T = !cnt/Timer<0> & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; @@ -133,11 +165,11 @@ EQ | 4 | cnt/Timer<0>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 15 | cnt/Timer<1> +MACROCELL | 1 | 17 | cnt/Timer<1> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 5 | 3 | 17 | 3 | 15 | 3 | 16 | 3 | 9 | 3 | 14 +OUTPUTMC | 5 | 2 | 17 | 1 | 17 | 2 | 15 | 2 | 14 | 2 | 9 INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 3 | 11 | 3 | 15 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 5 | 6 | 12 | 1 | 17 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 5 | !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> # !cnt/Timer<0> & !cnt/Timer<1> @@ -146,11 +178,11 @@ EQ | 5 | cnt/Timer<1>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 16 | cnt/Timer<2> +MACROCELL | 2 | 15 | cnt/Timer<2> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 4 | 3 | 17 | 3 | 16 | 3 | 9 | 3 | 14 +OUTPUTMC | 4 | 2 | 17 | 2 | 15 | 2 | 14 | 2 | 9 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 3 | 11 | 3 | 16 | 3 | 15 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 6 | 6 | 12 | 2 | 15 | 1 | 17 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 6 | !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> # !cnt/Timer<1> & !cnt/Timer<2> @@ -160,24 +192,22 @@ EQ | 6 | cnt/Timer<2>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 2 | cs/nOverlay -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 35 | 2 | 12 | 6 | 2 | 4 | 16 | 4 | 8 | 2 | 10 | 2 | 15 | 2 | 0 | 4 | 2 | 2 | 8 | 7 | 16 | 7 | 6 | 7 | 9 | 7 | 8 | 4 | 6 | 7 | 2 | 2 | 6 | 4 | 12 | 7 | 3 | 6 | 7 | 7 | 0 | 4 | 1 | 2 | 1 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 13 | 2 | 14 | 2 | 16 | 2 | 17 | 4 | 3 | 4 | 7 | 4 | 13 | 4 | 15 | 7 | 5 -INPUTS | 5 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | cs/ODCSr -INPUTMC | 3 | 6 | 2 | 2 | 4 | 2 | 11 -INPUTP | 2 | 145 | 54 -EQ | 4 | - cs/nOverlay.T = !nRES.PIN & cs/nOverlay & nAS_FSB & !fsb/ASrf - # nRES.PIN & !cs/nOverlay & nAS_FSB & cs/ODCSr & - !fsb/ASrf; - cs/nOverlay.CLK = FCLK; // GCK +MACROCELL | 2 | 14 | RefReq +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 6 | 7 | 17 | 7 | 8 | 7 | 15 | 7 | 13 | 7 | 16 | 7 | 0 +INPUTS | 5 | RefUrg | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 5 | 2 | 17 | 1 | 17 | 2 | 15 | 2 | 7 | 6 | 6 +EQ | 3 | + !RefReq.D = !RefUrg & !cnt/Timer<1> & !cnt/Timer<2>; + RefReq.CLK = FCLK; // GCK + RefReq.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 17 | cnt/LTimer<10> +MACROCELL | 4 | 17 | cnt/LTimer<10> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 3 | 6 | 16 | 3 | 13 | 3 | 12 +OUTPUTMC | 3 | 4 | 16 | 4 | 15 | 4 | 0 INPUTS | 13 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 13 | 6 | 0 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 13 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 5 | cnt/LTimer<10>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & @@ -186,11 +216,11 @@ EQ | 5 | cnt/LTimer<10>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 16 | cnt/LTimer<11> +MACROCELL | 4 | 16 | cnt/LTimer<11> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 2 | 3 | 13 | 3 | 12 +OUTPUTMC | 2 | 4 | 15 | 4 | 0 INPUTS | 14 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 6 | 0 | 6 | 17 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 14 | 6 | 3 | 4 | 17 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 5 | cnt/LTimer<11>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & @@ -199,44 +229,44 @@ EQ | 5 | cnt/LTimer<11>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 15 | cnt/LTimer<1> +MACROCELL | 2 | 12 | cnt/LTimer<1> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 12 | 6 | 17 | 6 | 16 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 13 | 3 | 12 +OUTPUTMC | 12 | 4 | 17 | 4 | 16 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 INPUTS | 4 | cnt/LTimer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 6 | 0 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 4 | 6 | 3 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 3 | cnt/LTimer<1>.T = cnt/LTimer<0>; cnt/LTimer<1>.CLK = FCLK; // GCK cnt/LTimer<1>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 14 | cnt/LTimer<2> +MACROCELL | 2 | 11 | cnt/LTimer<2> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 11 | 6 | 17 | 6 | 16 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 13 | 3 | 12 +OUTPUTMC | 11 | 4 | 17 | 4 | 16 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 INPUTS | 5 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 6 | 0 | 6 | 15 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 5 | 6 | 3 | 2 | 12 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 3 | cnt/LTimer<2>.T = cnt/LTimer<0> & cnt/LTimer<1>; cnt/LTimer<2>.CLK = FCLK; // GCK cnt/LTimer<2>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 12 | cnt/LTimer<3> +MACROCELL | 2 | 10 | cnt/LTimer<3> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 10 | 6 | 17 | 6 | 16 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 13 | 3 | 12 +OUTPUTMC | 10 | 4 | 17 | 4 | 16 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 INPUTS | 6 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 6 | 0 | 6 | 15 | 6 | 14 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 6 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 3 | cnt/LTimer<3>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2>; cnt/LTimer<3>.CLK = FCLK; // GCK cnt/LTimer<3>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 12 | cnt/LTimer<4> +MACROCELL | 4 | 12 | cnt/LTimer<4> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 9 | 6 | 17 | 6 | 16 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 13 | 3 | 12 +OUTPUTMC | 9 | 4 | 17 | 4 | 16 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 INPUTS | 7 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 7 | 6 | 0 | 6 | 15 | 6 | 14 | 6 | 12 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 7 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 4 | cnt/LTimer<4>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3>; @@ -244,11 +274,11 @@ EQ | 4 | cnt/LTimer<4>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 13 | cnt/LTimer<5> +MACROCELL | 4 | 9 | cnt/LTimer<5> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 8 | 6 | 17 | 6 | 16 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 13 | 3 | 12 +OUTPUTMC | 8 | 4 | 17 | 4 | 16 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 8 | 6 | 0 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 8 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 4 | cnt/LTimer<5>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4>; @@ -256,11 +286,11 @@ EQ | 4 | cnt/LTimer<5>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 15 | cnt/LTimer<6> +MACROCELL | 4 | 7 | cnt/LTimer<6> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 7 | 6 | 17 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 13 | 3 | 12 +OUTPUTMC | 7 | 4 | 17 | 4 | 16 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 INPUTS | 9 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 9 | 6 | 0 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 9 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 4 | cnt/LTimer<6>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5>; @@ -268,11 +298,11 @@ EQ | 4 | cnt/LTimer<6>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 9 | cnt/LTimer<7> +MACROCELL | 4 | 6 | cnt/LTimer<7> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 6 | 6 | 17 | 6 | 16 | 6 | 6 | 6 | 3 | 3 | 13 | 3 | 12 +OUTPUTMC | 6 | 4 | 17 | 4 | 16 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 INPUTS | 10 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 10 | 6 | 0 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 10 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 4 | cnt/LTimer<7>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6>; @@ -280,11 +310,11 @@ EQ | 4 | cnt/LTimer<7>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 6 | cnt/LTimer<8> +MACROCELL | 4 | 3 | cnt/LTimer<8> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 5 | 6 | 17 | 6 | 16 | 6 | 3 | 3 | 13 | 3 | 12 +OUTPUTMC | 5 | 4 | 17 | 4 | 16 | 4 | 2 | 4 | 15 | 4 | 0 INPUTS | 11 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 11 | 6 | 0 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 11 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 5 | cnt/LTimer<8>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & @@ -293,11 +323,11 @@ EQ | 5 | cnt/LTimer<8>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 3 | cnt/LTimer<9> +MACROCELL | 4 | 2 | cnt/LTimer<9> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 4 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 +OUTPUTMC | 4 | 4 | 17 | 4 | 16 | 4 | 15 | 4 | 0 INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 12 | 6 | 0 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 12 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 5 | cnt/LTimer<9>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & @@ -306,45 +336,34 @@ EQ | 5 | cnt/LTimer<9>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 16 | IORW0 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 8 | 5 | 10 | 4 | 16 | 5 | 7 | 4 | 0 | 4 | 17 -INPUTS | 12 | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<22> | nADoutLE1 | cs/nOverlay | A_FSB<20> | nWE_FSB | IORW0 | A_FSB<21> | EXP16_.EXP | EXP17_.EXP -INPUTMC | 5 | 5 | 13 | 6 | 2 | 4 | 16 | 4 | 15 | 4 | 17 -INPUTP | 7 | 19 | 18 | 36 | 30 | 28 | 47 | 29 -IMPORTS | 2 | 4 | 15 | 4 | 17 -EQ | 24 | - !IORW0.T = !nWE_FSB & !IORW0 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> & - nADoutLE1 -;Imported pterms FB5_16 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - nADoutLE1 -;Imported pterms FB5_18 - # iobs/Sent & nADoutLE1 - # IORW0 & iobs/IORW1 & !nADoutLE1 - # !IORW0 & !iobs/IORW1 & !nADoutLE1 - # nAS_FSB & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !IORW0 & nADoutLE1 -;Imported pterms FB5_1 - # iobs/TS_FSM_FFd2 - # iobs/TS_FSM_FFd1 - # nWE_FSB & IORW0 & nADoutLE1; - IORW0.CLK = FCLK; // GCK +MACROCELL | 7 | 17 | ram/RAMEN +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 7 | 17 | 7 | 15 | 7 | 13 | 7 | 16 | 7 | 5 | 7 | 7 +INPUTS | 11 | ram/RAMEN | nAS_FSB | ram/Once | fsb/ASrf | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | RefUrg | ram/RefDone | RefReq | ram/BACTr | ram/RS_FSM_FFd3.EXP +INPUTMC | 10 | 7 | 17 | 3 | 6 | 7 | 3 | 7 | 15 | 2 | 3 | 2 | 17 | 7 | 8 | 2 | 14 | 3 | 0 | 7 | 0 +INPUTP | 1 | 54 +IMPORTS | 1 | 7 | 0 +EQ | 13 | + !ram/RAMEN.D = !ram/RAMEN & !nAS_FSB & ram/Once + # !ram/RAMEN & !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 + # !ram/RAMEN & ram/Once & fsb/ASrf + # RefUrg & !ram/RAMEN & !ram/RefDone & + ram/RS_FSM_FFd8 + # RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr +;Imported pterms FB8_1 + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf + # RefReq & !ram/RefDone & ram/RS_FSM_FFd8 & + fsb/ASrf & !ram/BACTr; + ram/RAMEN.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 13 | cnt/LTimer<12> +MACROCELL | 4 | 15 | cnt/LTimer<12> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 1 | 3 | 12 +OUTPUTMC | 1 | 4 | 0 INPUTS | 15 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 15 | 6 | 0 | 6 | 17 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 15 | 6 | 3 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 6 | cnt/LTimer<12>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<11> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & @@ -354,97 +373,93 @@ EQ | 6 | cnt/LTimer<12>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 9 | cnt/TimerTC +MACROCELL | 2 | 9 | cnt/TimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 20 | 3 | 17 | 6 | 0 | 3 | 11 | 3 | 15 | 3 | 16 | 6 | 17 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 13 | 3 | 12 | 7 | 16 | 7 | 10 -INPUTS | 6 | RefUrg | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 3 | 17 | 3 | 11 | 3 | 15 | 3 | 16 | 0 | 11 | 3 | 8 -EQ | 4 | +OUTPUTMC | 21 | 2 | 17 | 6 | 3 | 6 | 12 | 1 | 17 | 2 | 15 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 | 2 | 6 | 2 | 13 | 2 | 8 +INPUTS | 12 | RefUrg | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> +INPUTMC | 6 | 2 | 17 | 6 | 12 | 1 | 17 | 2 | 15 | 2 | 7 | 6 | 6 +INPUTP | 6 | 36 | 30 | 29 | 28 | 26 | 24 +EXPORTS | 1 | 2 | 8 +EQ | 6 | cnt/TimerTC.D = RefUrg & cnt/Timer<0> & !cnt/Timer<1> & !cnt/Timer<2>; cnt/TimerTC.CLK = FCLK; // GCK cnt/TimerTC.CE = !cnt/Er<0> & cnt/Er<1>; + cnt/TimerTC.EXP = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 9 | iobs/DTACKEN -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 4 | 9 | 2 | 15 | 2 | 0 | 2 | 8 | 7 | 11 | 2 | 1 | 2 | 2 | 2 | 7 | 2 | 9 | 2 | 10 | 2 | 14 | 2 | 16 | 4 | 8 -INPUTS | 9 | nAS_FSB | fsb/ASrf | iobs/DTACKEN | iobs/IOACTr | iobs/Sent | nADoutLE1 | A_FSB<23> | A_FSB<22> | RA_4_OBUF.EXP -INPUTMC | 6 | 2 | 4 | 4 | 9 | 2 | 2 | 2 | 12 | 5 | 13 | 4 | 8 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 1 | 4 | 8 -EQ | 9 | - !iobs/DTACKEN.D = !iobs/Sent & !iobs/DTACKEN - # !iobs/DTACKEN & !iobs/IOACTr - # !iobs/DTACKEN & !nADoutLE1 - # nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !iobs/DTACKEN -;Imported pterms FB5_9 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/DTACKEN; - iobs/DTACKEN.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 12 | ram/RefDone -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 12 | 0 | 0 | 0 | 2 -INPUTS | 4 | ram/RefDone | ram/RefReqSync | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 -INPUTMC | 4 | 0 | 12 | 0 | 1 | 7 | 6 | 7 | 9 +MACROCELL | 0 | 10 | cs/nOverlay +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 0 | 17 | 0 | 10 | 3 | 14 | 4 | 1 | 0 | 0 | 3 | 12 | 3 | 0 | 3 | 5 | 3 | 17 +INPUTS | 5 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | cs/ODCSr +INPUTMC | 3 | 0 | 10 | 7 | 3 | 3 | 9 +INPUTP | 2 | 145 | 54 EQ | 4 | - ram/RefDone.D = ram/RefDone & ram/RefReqSync - # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - ram/RefReqSync; - ram/RefDone.CLK = FCLK; // GCK + cs/nOverlay.T = !nRES.PIN & cs/nOverlay & nAS_FSB & !fsb/ASrf + # nRES.PIN & !cs/nOverlay & nAS_FSB & cs/ODCSr & + !fsb/ASrf; + cs/nOverlay.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 0 | IOL0 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 5 | 8 | 4 | 17 -INPUTS | 7 | iobs/IOL1 | nADoutLE1 | nWE_FSB | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | IORW0 | nROMCS_OBUF.EXP -INPUTMC | 6 | 0 | 15 | 5 | 13 | 4 | 6 | 0 | 13 | 4 | 16 | 4 | 1 -INPUTP | 1 | 47 -EXPORTS | 1 | 4 | 17 -IMPORTS | 1 | 4 | 1 -EQ | 8 | - IOL0.D = iobs/IOL1 & !nADoutLE1 -;Imported pterms FB5_2 - # !nLDS_FSB & nADoutLE1; - IOL0.CLK = FCLK; // GCK - IOL0.CE = iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1; - IOL0.EXP = iobs/TS_FSM_FFd2 - # iobs/TS_FSM_FFd1 - # nWE_FSB & IORW0 & nADoutLE1 +MACROCELL | 3 | 13 | iobs/IORW1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 4 | 3 | 13 | 3 | 15 | 3 | 10 | 3 | 14 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | iobs/Sent | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | iobs/TS_FSM_FFd1 | fsb/ASrf +INPUTMC | 6 | 3 | 17 | 3 | 13 | 3 | 15 | 5 | 13 | 3 | 8 | 7 | 3 +INPUTP | 4 | 36 | 30 | 47 | 54 +EXPORTS | 1 | 3 | 14 +EQ | 10 | + iobs/IORW1.T = !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + iobs/IORW1 & !nAS_FSB & iobs/TS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + iobs/IORW1 & !nAS_FSB & iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + iobs/IORW1 & iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + iobs/IORW1 & iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1; + iobs/IORW1.CLK = FCLK; // GCK + iobs/IORW1.EXP = nAS_FSB & !fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 17 | IOU0 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 10 -INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 -INPUTMC | 4 | 0 | 14 | 5 | 13 | 4 | 6 | 0 | 13 -INPUTP | 1 | 56 -EQ | 4 | - IOU0.D = !nUDS_FSB & nADoutLE1 - # iobs/IOU1 & !nADoutLE1; - IOU0.CLK = FCLK; // GCK - IOU0.CE = iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1; +MACROCELL | 3 | 14 | IONPReady +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 3 | 14 | 3 | 10 | 2 | 8 | 2 | 7 | 3 | 15 +INPUTS | 14 | A_FSB<23> | A_FSB<22> | nWE_FSB | IONPReady | iobs/Sent | iobs/IODONEr<0> | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/IORW1.EXP +INPUTMC | 8 | 3 | 14 | 3 | 17 | 2 | 5 | 3 | 15 | 7 | 3 | 5 | 13 | 0 | 10 | 3 | 13 +INPUTP | 6 | 36 | 30 | 47 | 54 | 29 | 28 +EXPORTS | 1 | 3 | 15 +IMPORTS | 1 | 3 | 13 +EQ | 10 | + !IONPReady.D = !iobs/Sent & !IONPReady + # !IONPReady & !iobs/IODONEr<0> + # !A_FSB<23> & !A_FSB<22> & !nWE_FSB & !IONPReady +;Imported pterms FB4_14 + # nAS_FSB & !fsb/ASrf; + IONPReady.CLK = FCLK; // GCK + IONPReady.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 14 | RefReq -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 0 | 1 -INPUTS | 5 | RefUrg | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 3 | 17 | 3 | 15 | 3 | 16 | 0 | 11 | 3 | 8 +MACROCELL | 7 | 12 | IOPWReady +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 12 | 2 | 7 +INPUTS | 5 | IOPWReady | iobs/Clear1 | nADoutLE1 | nAS_FSB | fsb/ASrf +INPUTMC | 4 | 7 | 12 | 3 | 3 | 5 | 13 | 7 | 3 +INPUTP | 1 | 54 EQ | 3 | - !RefReq.D = !RefUrg & !cnt/Timer<1> & !cnt/Timer<2>; - RefReq.CLK = FCLK; // GCK - RefReq.CE = !cnt/Er<0> & cnt/Er<1>; + !IOPWReady.D = nAS_FSB & !fsb/ASrf + # !IOPWReady & !iobs/Clear1 & !nADoutLE1; + IOPWReady.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 12 | cnt/LTimerTC +MACROCELL | 4 | 0 | cnt/LTimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 7 | 16 | 7 | 10 +OUTPUTMC | 2 | 2 | 6 | 2 | 13 INPUTS | 16 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<12> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 16 | 6 | 0 | 6 | 17 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 13 | 3 | 9 | 0 | 11 | 3 | 8 +INPUTMC | 16 | 6 | 3 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 2 | 9 | 2 | 7 | 6 | 6 EQ | 6 | cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<11> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & @@ -454,141 +469,11 @@ EQ | 6 | cnt/LTimerTC.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 10 | fsb/Ready0r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 2 | 10 | 2 | 7 | 2 | 17 | 2 | 9 -INPUTS | 25 | A_FSB<23> | A_FSB<22> | cs/nOverlay | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready1r | iobs/DTACKEN | IOACT | iobs/IODTACKr -INPUTMC | 8 | 6 | 2 | 2 | 10 | 7 | 3 | 2 | 4 | 2 | 15 | 4 | 9 | 5 | 17 | 0 | 4 -INPUTP | 17 | 36 | 30 | 54 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 29 | 28 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 2 | 9 -EQ | 16 | - !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !fsb/Ready0r & !ram/RAMReady; - fsb/Ready0r.CLK = FCLK; // GCK - fsb/Ready0r.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !IOACT - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/DTACKEN & iobs/IODTACKr -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 15 | fsb/Ready1r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 2 | 15 | 2 | 0 | 2 | 8 | 2 | 1 | 2 | 7 | 2 | 9 | 2 | 10 | 2 | 14 | 2 | 16 | 2 | 17 -INPUTS | 12 | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/DTACKEN | fsb/Ready1r | IOACT | iobs/IODTACKr | A_FSB<22> | cs/nOverlay | A_FSB<20> | EXP13_.EXP | nROMWE_OBUF.EXP -INPUTMC | 8 | 2 | 4 | 4 | 9 | 2 | 15 | 5 | 17 | 0 | 4 | 6 | 2 | 2 | 14 | 2 | 16 -INPUTP | 4 | 54 | 36 | 30 | 28 -IMPORTS | 2 | 2 | 14 | 2 | 16 -EQ | 30 | - !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf - # A_FSB<23> & !iobs/DTACKEN & !fsb/Ready1r - # A_FSB<23> & !fsb/Ready1r & IOACT & !iobs/IODTACKr - # A_FSB<22> & A_FSB<20> & !iobs/DTACKEN & - !fsb/Ready1r - # A_FSB<22> & !cs/nOverlay & !iobs/DTACKEN & - !fsb/Ready1r -;Imported pterms FB3_15 - # A_FSB<22> & A_FSB<21> & !iobs/DTACKEN & - !fsb/Ready1r - # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & IOACT & - !iobs/IODTACKr - # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & IOACT & - !iobs/IODTACKr - # A_FSB<22> & !cs/nOverlay & !fsb/Ready1r & IOACT & - !iobs/IODTACKr - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !iobs/DTACKEN & !fsb/Ready1r & !nADoutLE1 -;Imported pterms FB3_17 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !iobs/DTACKEN & !fsb/Ready1r & !nADoutLE1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !fsb/Ready1r & IOACT & !iobs/IODTACKr & !nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !fsb/Ready1r & IOACT & !iobs/IODTACKr & !nADoutLE1; - fsb/Ready1r.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 0 | fsb/VPA -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 2 | 0 | 3 | 10 | 2 | 1 | 2 | 17 -INPUTS | 13 | A_FSB<23> | iobs/DTACKEN | fsb/Ready1r | fsb/VPA | $OpTx$$OpTx$FX_DC$47_INV$153 | IOACT | iobs/IODTACKr | A_FSB<22> | A_FSB<20> | A_FSB<21> | cs/nOverlay | EXP10_.EXP | ram/BACTr.EXP -INPUTMC | 9 | 4 | 9 | 2 | 15 | 2 | 0 | 2 | 7 | 5 | 17 | 0 | 4 | 6 | 2 | 2 | 1 | 2 | 17 -INPUTP | 4 | 36 | 30 | 28 | 29 -IMPORTS | 2 | 2 | 1 | 2 | 17 -EQ | 54 | - fsb/VPA.D = A_FSB<23> & !iobs/DTACKEN & !fsb/Ready1r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<23> & !fsb/Ready1r & fsb/VPA & IOACT & - !iobs/IODTACKr & !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<22> & A_FSB<21> & !iobs/DTACKEN & - !fsb/Ready1r & fsb/VPA & !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<22> & A_FSB<20> & !iobs/DTACKEN & - !fsb/Ready1r & fsb/VPA & !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<22> & !cs/nOverlay & !iobs/DTACKEN & - !fsb/Ready1r & fsb/VPA & !$OpTx$$OpTx$FX_DC$47_INV$153 -;Imported pterms FB3_2 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !iobs/DTACKEN & !fsb/Ready1r & fsb/VPA & !nADoutLE1 & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !iobs/DTACKEN & !fsb/Ready1r & fsb/VPA & !nADoutLE1 & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !fsb/Ready1r & fsb/VPA & IOACT & !iobs/IODTACKr & !nADoutLE1 & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !fsb/Ready1r & fsb/VPA & IOACT & !iobs/IODTACKr & !nADoutLE1 & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r & - !$OpTx$$OpTx$FX_DC$47_INV$153 -;Imported pterms FB3_3 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !IOACT & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/DTACKEN & iobs/IODTACKr & - !$OpTx$$OpTx$FX_DC$47_INV$153 -;Imported pterms FB3_18 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !fsb/Ready0r & fsb/VPA & !ram/RAMReady & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & fsb/VPA & - IOACT & !iobs/IODTACKr & !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & - IOACT & !iobs/IODTACKr & !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<22> & !cs/nOverlay & !fsb/Ready1r & fsb/VPA & - IOACT & !iobs/IODTACKr & !$OpTx$$OpTx$FX_DC$47_INV$153; - fsb/VPA.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 15 | iobs/IOL1 +MACROCELL | 7 | 10 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 4 | 0 +OUTPUTMC | 1 | 0 | 0 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 3 | 12 INPUTP | 1 | 49 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; @@ -596,55 +481,11 @@ EQ | 3 | iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 2 | iobs/IORW1 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 4 | 3 | 4 | 2 | 4 | 17 -INPUTS | 20 | A_FSB<14> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | iobs/Sent | cs/nOverlay | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | fsb/ASrf | EXP14_.EXP -INPUTMC | 8 | 2 | 12 | 6 | 2 | 4 | 2 | 4 | 6 | 5 | 13 | 0 | 13 | 2 | 4 | 4 | 3 -INPUTP | 12 | 19 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 18 -IMPORTS | 1 | 4 | 3 -EQ | 34 | - iobs/IORW1.T = A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/IORW1 & - iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB5_4 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/IORW1 & - iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/IORW1 & - iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/IORW1 & - iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1; - iobs/IORW1.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 14 | iobs/IOU1 +MACROCELL | 7 | 9 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 0 | 17 +OUTPUTMC | 2 | 0 | 16 | 0 | 15 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 3 | 12 INPUTP | 1 | 56 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; @@ -652,68 +493,54 @@ EQ | 3 | iobs/IOU1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 8 | nDTACK_FSB_OBUF +MACROCELL | 7 | 8 | ram/RefDone +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 7 | 17 | 7 | 8 | 7 | 15 | 7 | 13 | 7 | 16 | 7 | 0 +INPUTS | 5 | ram/RefDone | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | RefUrg | RefReq +INPUTMC | 5 | 7 | 8 | 7 | 0 | 7 | 2 | 2 | 17 | 2 | 14 +EQ | 3 | + !ram/RefDone.D = !RefUrg & !RefReq + # !ram/RefDone & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd2; + ram/RefDone.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 11 | nBERR_FSB_OBUF ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 3 | 2 | 8 | 2 | 7 | 2 | 9 -INPUTS | 19 | A_FSB<13> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay | fsb/Ready1r | nDTACK_FSB | IOACT | iobs/IODTACKr | nADoutLE1 | A_FSB<14> | iobs/DTACKEN | A_FSB<22> | $OpTx$$OpTx$FX_DC$47_INV$153.EXP | EXP11_.EXP -INPUTMC | 9 | 6 | 2 | 2 | 15 | 2 | 8 | 5 | 17 | 0 | 4 | 5 | 13 | 4 | 9 | 2 | 7 | 2 | 9 -INPUTP | 10 | 18 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 30 -IMPORTS | 2 | 2 | 7 | 2 | 9 -EQ | 47 | - nDTACK_FSB.D = A_FSB<22> & A_FSB<20> & !fsb/Ready1r & - nDTACK_FSB & IOACT & !iobs/IODTACKr - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !iobs/DTACKEN & !fsb/Ready1r & nDTACK_FSB & !nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !iobs/DTACKEN & !fsb/Ready1r & nDTACK_FSB & !nADoutLE1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !fsb/Ready1r & nDTACK_FSB & IOACT & !iobs/IODTACKr & !nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !fsb/Ready1r & nDTACK_FSB & IOACT & !iobs/IODTACKr & !nADoutLE1 -;Imported pterms FB3_8 - # A_FSB<22> & A_FSB<20> & !iobs/DTACKEN & - !fsb/Ready1r & nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & - nDTACK_FSB & IOACT & !iobs/IODTACKr - # A_FSB<22> & !cs/nOverlay & !fsb/Ready1r & - nDTACK_FSB & IOACT & !iobs/IODTACKr -;Imported pterms FB3_10 - # nAS_FSB & !fsb/ASrf - # A_FSB<23> & !iobs/DTACKEN & !fsb/Ready1r & - nDTACK_FSB - # A_FSB<23> & !fsb/Ready1r & nDTACK_FSB & IOACT & - !iobs/IODTACKr - # A_FSB<22> & A_FSB<21> & !iobs/DTACKEN & - !fsb/Ready1r & nDTACK_FSB - # A_FSB<22> & !cs/nOverlay & !iobs/DTACKEN & - !fsb/Ready1r & nDTACK_FSB -;Imported pterms FB3_11 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !IOACT - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/DTACKEN & iobs/IODTACKr; - nDTACK_FSB.CLK = FCLK; // GCK +OUTPUTMC | 1 | 7 | 11 +INPUTS | 5 | nAS_FSB | fsb/ASrf | iobs/Sent | nBERR_FSB | IOBERR +INPUTMC | 4 | 7 | 3 | 3 | 17 | 7 | 11 | 1 | 16 +INPUTP | 1 | 54 +EQ | 4 | + nBERR_FSB.D = !iobs/Sent & nBERR_FSB + # !IOBERR & nBERR_FSB + # nAS_FSB & !fsb/ASrf; + nBERR_FSB.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 10 | nVPA_FSB_OBUF +ATTRIBUTES | 8684418 | 0 +OUTPUTMC | 1 | 3 | 11 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | IONPReady | nAS_FSB | fsb/ASrf | iobs/IORW1 | iobs/TS_FSM_FFd2 | nADoutLE1 +INPUTMC | 5 | 3 | 14 | 7 | 3 | 3 | 13 | 3 | 15 | 5 | 13 +INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 24 | 54 +EXPORTS | 1 | 3 | 11 +EQ | 9 | + !nVPA_FSB.D = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & IONPReady & !nAS_FSB + # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & IONPReady & fsb/ASrf; + nVPA_FSB.CLK = FCLK; // GCK + nVPA_FSB.AP = nAS_FSB; + nVPA_FSB_OBUF.EXP = iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 14 | nBR_IOB_OBUF ATTRIBUTES | 4621058 | 0 OUTPUTMC | 2 | 7 | 14 | 3 | 1 INPUTS | 4 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/nIPL2r -INPUTMC | 4 | 7 | 14 | 7 | 16 | 7 | 10 | 0 | 10 +INPUTMC | 4 | 7 | 14 | 2 | 6 | 2 | 13 | 1 | 15 EQ | 5 | nBR_IOB.T = nBR_IOB & !cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2 @@ -722,306 +549,189 @@ EQ | 5 | nBR_IOB.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 17 | ram/RASEL +MACROCELL | 3 | 8 | iobs/TS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 6 | 5 | 6 | 1 | 4 | 13 | 4 | 10 | 4 | 8 | 4 | 4 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 -INPUTS | 8 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | nAS_FSB | ram/RS_FSM_FFd2 | fsb/ASrf | ram/RefUrg | EXP18_.EXP | cnt/INITS_FSM_FFd1.EXP -INPUTMC | 7 | 7 | 9 | 7 | 8 | 7 | 6 | 2 | 4 | 0 | 0 | 7 | 0 | 7 | 16 -INPUTP | 1 | 54 -IMPORTS | 2 | 7 | 0 | 7 | 16 -EQ | 27 | - !ram/RASEL.D = ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & !ram/RefUrg - # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & fsb/ASrf -;Imported pterms FB8_1 - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf -;Imported pterms FB8_17 - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq; - ram/RASEL.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 7 | 6 | ram/RS_FSM_FFd2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 0 | 12 | 7 | 17 | 7 | 4 | 7 | 9 | 7 | 8 | 7 | 2 | 7 | 3 | 0 | 3 | 7 | 0 | 7 | 1 | 7 | 7 | 7 | 16 -INPUTS | 11 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RefReq | ram/BACTr | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP -INPUTMC | 8 | 7 | 9 | 7 | 8 | 0 | 0 | 0 | 2 | 2 | 17 | 6 | 2 | 2 | 4 | 7 | 7 -INPUTP | 3 | 36 | 30 | 54 -IMPORTS | 1 | 7 | 7 -EQ | 15 | - !ram/RS_FSM_FFd2.T = !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & - ram/BACTr - # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & - !ram/RefReq - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf -;Imported pterms FB8_8 - # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RefUrg & !fsb/ASrf; - ram/RS_FSM_FFd2.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 7 | 9 | ram/RS_FSM_FFd1 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 0 | 12 | 7 | 17 | 7 | 6 | 7 | 9 | 7 | 8 | 7 | 2 | 7 | 3 | 0 | 3 | 7 | 1 | 7 | 4 | 7 | 7 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RAMEN | fsb/ASrf | ram/RefUrg -INPUTMC | 7 | 6 | 2 | 7 | 6 | 7 | 9 | 7 | 8 | 7 | 2 | 2 | 4 | 0 | 0 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 7 | 8 -EQ | 11 | - ram/RS_FSM_FFd1.T = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/RAMEN & - fsb/ASrf; - ram/RS_FSM_FFd1.CLK = FCLK; // GCK - ram/RS_FSM_FFd1.EXP = nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf - # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RAMEN -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 7 | 8 | ram/RS_FSM_FFd3 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 7 | 17 | 7 | 6 | 7 | 9 | 7 | 8 | 7 | 1 | 7 | 3 | 7 | 0 | 7 | 4 | 7 | 7 | 7 | 16 -INPUTS | 10 | cs/nOverlay | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<22> | A_FSB<23> | nAS_FSB | ram/RefUrg | fsb/ASrf | ram/RS_FSM_FFd1.EXP -INPUTMC | 7 | 6 | 2 | 7 | 6 | 7 | 9 | 7 | 8 | 0 | 0 | 2 | 4 | 7 | 9 -INPUTP | 3 | 30 | 36 | 54 -IMPORTS | 1 | 7 | 9 -EQ | 16 | - !ram/RS_FSM_FFd3.T = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & ram/RefUrg - # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & ram/RefUrg & fsb/ASrf -;Imported pterms FB8_10 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf - # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RAMEN; - ram/RS_FSM_FFd3.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 5 | 3 | iobm/IOS_FSM_FFd3 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 0 | 16 | 5 | 9 | 5 | 17 | 5 | 2 | 5 | 7 -INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | C8M | iobm/IOREQr | nAoutOE -INPUTMC | 5 | 0 | 16 | 5 | 9 | 5 | 3 | 1 | 17 | 3 | 1 -INPUTP | 1 | 35 -EQ | 6 | - iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 - # iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & - !iobm/IOS_FSM_FFd2 - # !C8M & !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 & - iobm/IOREQr & !nAoutOE; - iobm/IOS_FSM_FFd3.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 0 | 16 | iobm/IOS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 0 | 16 | 5 | 9 | 5 | 17 | 5 | 2 | 5 | 7 | 5 | 16 -INPUTS | 3 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 -INPUTMC | 3 | 5 | 3 | 5 | 9 | 0 | 16 -EQ | 3 | - iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2; - iobm/IOS_FSM_FFd1.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 0 | 0 | ram/RefUrg -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 7 | 17 | 7 | 6 | 7 | 8 | 7 | 2 | 7 | 3 | 7 | 0 | 7 | 1 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 16 -INPUTS | 2 | ram/RefDone | ram/RegUrgSync -INPUTMC | 2 | 0 | 12 | 3 | 3 -EQ | 2 | - ram/RefUrg.D = !ram/RefDone & ram/RegUrgSync; - ram/RefUrg.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 5 | 9 | iobm/IOS_FSM_FFd2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 0 | 16 | 5 | 9 | 5 | 17 | 5 | 2 | 5 | 7 | 5 | 16 -INPUTS | 8 | C8M | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/BERRrf | iobm/DTACKrf | iobm/ETACK | iobm/RESrf -INPUTMC | 7 | 5 | 9 | 5 | 3 | 0 | 16 | 0 | 9 | 0 | 8 | 5 | 0 | 0 | 5 -INPUTP | 1 | 35 -EQ | 6 | - iobm/IOS_FSM_FFd2.D = !C8M & iobm/IOS_FSM_FFd2 - # iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 - # iobm/IOS_FSM_FFd2 & !iobm/BERRrf & !iobm/DTACKrf & - !iobm/ETACK & !iobm/RESrf; - iobm/IOS_FSM_FFd2.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 4 | 6 | iobs/TS_FSM_FFd2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 17 | 2 | 12 | 4 | 13 | 4 | 0 | 0 | 17 | 4 | 2 | 4 | 6 | 0 | 13 | 2 | 6 | 2 | 5 | 4 | 12 | 2 | 3 | 2 | 11 | 2 | 13 | 4 | 3 | 4 | 5 | 4 | 7 | 4 | 11 -INPUTS | 12 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr | iobs/Sent | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | nOE_OBUF.EXP | EXP15_.EXP -INPUTMC | 9 | 4 | 6 | 0 | 13 | 2 | 2 | 2 | 12 | 5 | 13 | 2 | 4 | 6 | 2 | 4 | 5 | 4 | 7 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 4 | 5 | 4 | 7 -EQ | 28 | - !iobs/TS_FSM_FFd2.D = !iobs/TS_FSM_FFd2 & iobs/TS_FSM_FFd1 - # iobs/TS_FSM_FFd1 & iobs/IOACTr - # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB5_6 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB5_8 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1; - iobs/TS_FSM_FFd2.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 5 | 6 | iobm/ES<0> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 | 5 | 0 -INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 6 | 0 | 7 | 0 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 -EQ | 6 | - !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 - # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & iobm/Er - # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & !iobm/Er2; - iobm/ES<0>.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 7 | 2 | ram/RAMEN -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 7 | 7 | 7 | 9 | 7 | 0 | 7 | 2 | 7 | 3 | 7 | 1 | 7 | 5 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd1 | ram/RAMEN | fsb/ASrf | ram/RefUrg | ram/RefReq | ram/BACTr | ram/RS_FSM_FFd2 | A_FSB_19_IBUF$BUF0.EXP -INPUTMC | 9 | 6 | 2 | 7 | 9 | 7 | 2 | 2 | 4 | 0 | 0 | 0 | 2 | 2 | 17 | 7 | 6 | 7 | 1 -INPUTP | 3 | 36 | 30 | 54 -IMPORTS | 1 | 7 | 1 -EQ | 15 | - ram/RAMEN.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RAMEN - # !ram/RefUrg & ram/RAMEN & ram/BACTr - # !ram/RefUrg & ram/RAMEN & !ram/RefReq - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd1 & ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf -;Imported pterms FB8_2 - # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & ram/RAMEN - # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & !ram/RefUrg - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf; - ram/RAMEN.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 5 | 5 | iobm/ES<1> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 | 5 | 0 -INPUTS | 4 | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> -INPUTMC | 4 | 0 | 7 | 0 | 6 | 5 | 6 | 5 | 5 -EQ | 4 | - !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> - # !iobm/ES<0> & !iobm/ES<1> - # !iobm/Er & iobm/Er2; - iobm/ES<1>.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 5 | 15 | iobm/ES<2> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 6 | 5 | 15 | 5 | 4 | 5 | 12 | 5 | 0 -INPUTS | 7 | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 0 | 7 | 0 | 6 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 4 | 5 | 12 -EQ | 6 | - !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> - # !iobm/ES<1> & !iobm/ES<2> - # !iobm/Er & iobm/Er2 - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> - # !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; - iobm/ES<2>.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 0 | 13 | iobs/TS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 2 | 12 | 4 | 3 | 4 | 0 | 0 | 17 | 4 | 2 | 4 | 6 | 0 | 13 | 2 | 6 | 4 | 12 | 2 | 3 | 2 | 5 | 2 | 11 | 2 | 13 +OUTPUTMC | 11 | 3 | 17 | 3 | 13 | 3 | 8 | 3 | 15 | 3 | 12 | 0 | 17 | 0 | 16 | 3 | 16 | 3 | 11 | 3 | 3 | 3 | 0 INPUTS | 3 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 4 | 6 | 0 | 13 | 2 | 2 +INPUTMC | 3 | 3 | 15 | 3 | 8 | 5 | 4 EQ | 3 | iobs/TS_FSM_FFd1.D = iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr; iobs/TS_FSM_FFd1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 16 | cnt/INITS_FSM_FFd1 +MACROCELL | 3 | 15 | iobs/TS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 16 | 3 | 14 | 3 | 13 | 3 | 8 | 3 | 15 | 3 | 12 | 0 | 17 | 0 | 16 | 3 | 16 | 3 | 11 | 3 | 3 | 7 | 6 | 0 | 0 | 0 | 15 | 3 | 0 | 3 | 10 | 3 | 17 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | iobs/IORW1 | nAS_FSB | fsb/ASrf | IONPReady.EXP +INPUTMC | 8 | 3 | 8 | 3 | 15 | 5 | 4 | 3 | 17 | 5 | 13 | 3 | 13 | 7 | 3 | 3 | 14 +INPUTP | 1 | 54 +EXPORTS | 1 | 3 | 16 +IMPORTS | 1 | 3 | 14 +EQ | 12 | + !iobs/TS_FSM_FFd2.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 + # iobs/TS_FSM_FFd1 & iobs/IOACTr + # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_15 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; + iobs/TS_FSM_FFd2.CLK = FCLK; // GCK + iobs/TS_FSM_FFd2.EXP = !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 5 | 15 | iobm/IOS_FSM_FFd7 +ATTRIBUTES | 8553220 | 0 +OUTPUTMC | 10 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 15 | 5 | 12 | 6 | 17 | 5 | 17 | 6 | 15 | 6 | 16 | 6 | 0 +INPUTS | 6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd1 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr +INPUTMC | 6 | 5 | 15 | 5 | 7 | 5 | 9 | 6 | 2 | 3 | 1 | 6 | 0 +EQ | 6 | + !iobm/IOS_FSM_FFd7.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd1 + # !iobm/C8Mr & !iobm/IOS_FSM_FFd1 & iobm/IORDREQr & + !nAoutOE + # !iobm/C8Mr & !iobm/IOS_FSM_FFd1 & iobm/IOWRREQr & + !nAoutOE; + iobm/IOS_FSM_FFd7.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 6 | 14 | iobm/IOS_FSM_FFd3 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 6 | 14 | 6 | 17 | 6 | 9 | 5 | 17 | 6 | 15 | 6 | 16 | 5 | 16 | 6 | 0 +INPUTS | 5 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR | IODONE +INPUTMC | 5 | 5 | 6 | 6 | 14 | 5 | 9 | 1 | 16 | 0 | 15 +EQ | 4 | + iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd4 + # iobm/IOS_FSM_FFd3 & iobm/C8Mr + # !IOBERR & !IODONE & iobm/IOS_FSM_FFd3; + iobm/IOS_FSM_FFd3.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 5 | 9 | iobm/C8Mr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 15 | 6 | 14 | 5 | 12 | 6 | 17 | 6 | 9 | 6 | 15 | 6 | 16 | 5 | 10 +INPUTS | 5 | C8M | iobm/IOS_FSM_FFd7 | iobm/C8Mr | IOU0 | iobm/IORDREQr +INPUTMC | 4 | 5 | 15 | 5 | 9 | 0 | 16 | 6 | 2 +INPUTP | 1 | 35 +EXPORTS | 1 | 5 | 10 +EQ | 4 | + iobm/C8Mr.D = C8M; + iobm/C8Mr.CLK = C16M; // GCK + iobm/C8Mr.EXP = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & IOU0 & + iobm/IORDREQr +GLOBALS | 1 | 2 | C16M + +MACROCELL | 0 | 12 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 7 | 14 | 7 | 16 | 7 | 10 | 3 | 6 | 3 | 1 | 7 | 17 -INPUTS | 15 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/BACTr | A_FSB<22> | cs/nOverlay | ram/RefReq -INPUTMC | 13 | 3 | 9 | 3 | 12 | 7 | 16 | 7 | 10 | 0 | 11 | 0 | 10 | 3 | 8 | 7 | 6 | 7 | 8 | 0 | 0 | 2 | 17 | 6 | 2 | 0 | 2 -INPUTP | 2 | 36 | 30 -EXPORTS | 1 | 7 | 17 -EQ | 11 | +OUTPUTMC | 5 | 5 | 1 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 +INPUTS | 6 | iobm/ES<0> | E | iobm/Er | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> +INPUTMC | 5 | 0 | 12 | 0 | 0 | 0 | 11 | 0 | 14 | 0 | 13 +INPUTP | 1 | 37 +EQ | 6 | + !iobm/ES<0>.T = iobm/ES<0> & !E & iobm/Er + # !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & + !iobm/ES<3> & E + # !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & + !iobm/ES<3> & !iobm/Er; + !iobm/ES<0>.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M + +MACROCELL | 5 | 6 | iobm/IOS_FSM_FFd4 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 5 | 11 | 5 | 8 | 5 | 10 | 6 | 14 | 6 | 17 | 5 | 17 | 6 | 15 | 6 | 16 | 5 | 16 +INPUTS | 1 | iobm/IOS_FSM_FFd5 +INPUTMC | 1 | 5 | 5 +EQ | 2 | + iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; + iobm/IOS_FSM_FFd4.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 0 | 11 | iobm/ES<2> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 5 | 1 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 +INPUTS | 5 | iobm/ES<0> | iobm/ES<1> | iobm/Er | E | iobm/ES<2> +INPUTMC | 4 | 0 | 12 | 0 | 14 | 0 | 0 | 0 | 11 +INPUTP | 1 | 37 +EQ | 4 | + iobm/ES<2>.T = iobm/ES<0> & iobm/ES<1> & E + # iobm/ES<0> & iobm/ES<1> & !iobm/Er + # iobm/ES<2> & !E & iobm/Er; + !iobm/ES<2>.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M + +MACROCELL | 5 | 5 | iobm/IOS_FSM_FFd5 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 6 | 6 | 17 | 5 | 17 | 6 | 15 | 6 | 16 +INPUTS | 1 | iobm/IOS_FSM_FFd6 +INPUTMC | 1 | 5 | 12 +EQ | 2 | + iobm/IOS_FSM_FFd5.D = iobm/IOS_FSM_FFd6; + iobm/IOS_FSM_FFd5.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 5 | 12 | iobm/IOS_FSM_FFd6 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 5 | 6 | 17 | 5 | 17 | 6 | 15 | 6 | 16 +INPUTS | 5 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr +INPUTMC | 5 | 5 | 15 | 5 | 9 | 6 | 2 | 3 | 1 | 6 | 0 +EQ | 5 | + iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & iobm/IORDREQr & + !nAoutOE + # iobm/IOS_FSM_FFd7 & !iobm/C8Mr & iobm/IOWRREQr & + !nAoutOE; + iobm/IOS_FSM_FFd6.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 0 | 14 | iobm/ES<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 5 | 1 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 0 | 15 +INPUTS | 7 | iobm/ES<0> | iobm/ES<1> | E | iobm/Er | iobm/ES<2> | iobm/ES<3> | nVMA_IOB +INPUTMC | 6 | 0 | 12 | 0 | 14 | 0 | 0 | 0 | 11 | 0 | 13 | 5 | 1 +INPUTP | 1 | 37 +EXPORTS | 1 | 0 | 15 +EQ | 7 | + !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> + # !iobm/ES<0> & !iobm/ES<1> + # !E & iobm/Er + # iobm/ES<0> & !iobm/ES<2> & iobm/ES<3>; + !iobm/ES<1>.CLK = C8M; // GCK + iobm/ES<1>.EXP = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & + iobm/ES<3> +GLOBALS | 1 | 2 | C8M + +MACROCELL | 0 | 13 | iobm/ES<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 4 | 5 | 1 | 0 | 13 | 0 | 12 | 0 | 14 +INPUTS | 6 | iobm/ES<3> | E | iobm/Er | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> +INPUTMC | 5 | 0 | 13 | 0 | 0 | 0 | 12 | 0 | 11 | 0 | 14 +INPUTP | 1 | 37 +EQ | 6 | + iobm/ES<3>.T = iobm/ES<3> & !E & iobm/Er + # iobm/ES<0> & iobm/ES<2> & iobm/ES<1> & E + # iobm/ES<0> & iobm/ES<2> & iobm/ES<1> & !iobm/Er + # iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & + iobm/ES<3>; + !iobm/ES<3>.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M + +MACROCELL | 2 | 6 | cnt/INITS_FSM_FFd1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 7 | 14 | 2 | 6 | 2 | 13 | 3 | 2 | 3 | 1 +INPUTS | 7 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> +INPUTMC | 7 | 2 | 9 | 4 | 0 | 2 | 6 | 2 | 13 | 2 | 7 | 1 | 15 | 6 | 6 +EQ | 3 | cnt/INITS_FSM_FFd1.T = cnt/TimerTC & cnt/LTimerTC & !cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/nIPL2r & cnt/Er<1>; cnt/INITS_FSM_FFd1.CLK = FCLK; // GCK - cnt/INITS_FSM_FFd1.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 10 | cnt/INITS_FSM_FFd2 +MACROCELL | 2 | 13 | cnt/INITS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 7 | 14 | 7 | 16 | 7 | 10 | 3 | 6 | 3 | 1 +OUTPUTMC | 5 | 7 | 14 | 2 | 6 | 2 | 13 | 3 | 2 | 3 | 1 INPUTS | 6 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 3 | 9 | 3 | 12 | 7 | 16 | 7 | 10 | 0 | 11 | 3 | 8 +INPUTMC | 6 | 2 | 9 | 4 | 0 | 2 | 6 | 2 | 13 | 2 | 7 | 6 | 6 EQ | 5 | cnt/INITS_FSM_FFd2.T = cnt/TimerTC & cnt/LTimerTC & cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1> @@ -1030,204 +740,276 @@ EQ | 5 | cnt/INITS_FSM_FFd2.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 4 | iobm/ES<3> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 6 | 5 | 15 | 5 | 4 | 5 | 12 | 5 | 0 -INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> -INPUTMC | 6 | 5 | 4 | 0 | 7 | 0 | 6 | 5 | 6 | 5 | 5 | 5 | 15 -EQ | 4 | - iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/Er2; - iobm/ES<3>.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 5 | 12 | iobm/ES<4> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 5 | 1 | 5 | 6 | 5 | 15 | 5 | 12 | 5 | 0 -INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> -INPUTMC | 7 | 5 | 12 | 0 | 7 | 0 | 6 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 -EQ | 8 | - iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - iobm/ES<3> & iobm/Er - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - iobm/ES<3> & !iobm/Er2 - # iobm/ES<0> & iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & iobm/ES<4>; - iobm/ES<4>.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 5 | 17 | IOACT -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 5 | 1 | 2 | 15 | 2 | 0 | 2 | 8 | 2 | 2 | 2 | 1 | 2 | 7 | 2 | 9 | 2 | 10 | 2 | 14 | 2 | 16 | 2 | 17 -INPUTS | 7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOREQr | iobm/DTACKrf | C8M | nDinLE_OBUF.EXP -INPUTMC | 6 | 5 | 3 | 0 | 16 | 5 | 9 | 1 | 17 | 0 | 8 | 5 | 16 -INPUTP | 1 | 35 -IMPORTS | 1 | 5 | 16 +MACROCELL | 7 | 15 | ram/RS_FSM_FFd8 +ATTRIBUTES | 8553220 | 0 +OUTPUTMC | 5 | 7 | 17 | 7 | 15 | 7 | 13 | 7 | 16 | 7 | 0 +INPUTS | 9 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | RefUrg | ram/RAMEN | ram/RefDone | RefReq | nAS_FSB | ram/BACTr | fsb/ASrf +INPUTMC | 8 | 7 | 15 | 2 | 3 | 2 | 17 | 7 | 17 | 7 | 8 | 2 | 14 | 3 | 0 | 7 | 3 +INPUTP | 1 | 54 EQ | 10 | - IOACT.D = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 - # !iobm/IOS_FSM_FFd1 & iobm/IOREQr - # !C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 - # !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & - !iobm/DTACKrf -;Imported pterms FB6_17 - # iobm/IOS_FSM_FFd2 & !iobm/BERRrf & !iobm/DTACKrf & - !iobm/ETACK & !iobm/RESrf; + !ram/RS_FSM_FFd8.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 + # RefUrg & !ram/RAMEN & !ram/RefDone & + !ram/RS_FSM_FFd4 + # RefUrg & !ram/RefDone & nAS_FSB & + !ram/RS_FSM_FFd4 & !fsb/ASrf + # RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/BACTr + # RefReq & !ram/RefDone & !ram/RS_FSM_FFd4 & + fsb/ASrf & !ram/BACTr; + ram/RS_FSM_FFd8.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 17 | IOACT +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 5 | 1 | 6 | 0 | 5 | 4 +INPUTS | 9 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr.EXP +INPUTMC | 9 | 5 | 5 | 5 | 12 | 5 | 6 | 6 | 14 | 5 | 9 | 5 | 15 | 6 | 2 | 3 | 1 | 6 | 0 +IMPORTS | 1 | 6 | 0 +EQ | 11 | + IOACT.D = iobm/IOS_FSM_FFd4 + # iobm/IOS_FSM_FFd5 + # iobm/IOS_FSM_FFd6 + # iobm/IOS_FSM_FFd3 & iobm/C8Mr + # iobm/IOS_FSM_FFd7 & iobm/IORDREQr & !nAoutOE +;Imported pterms FB7_1 + # !IOBERR & !IODONE & iobm/IOS_FSM_FFd3 + # iobm/IOS_FSM_FFd7 & iobm/IOWRREQr & !nAoutOE + # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & IOACT & + !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2; IOACT.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 7 | iobm/Er +MACROCELL | 5 | 7 | iobm/IOS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 | 0 | 6 -INPUTS | 1 | E -INPUTP | 1 | 37 -EQ | 2 | - iobm/Er.D = E; - !iobm/Er.CLK = C8M; // GCK -GLOBALS | 1 | 2 | C8M - -MACROCELL | 1 | 17 | iobm/IOREQr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 3 | 5 | 17 | 5 | 2 | 5 | 7 -INPUTS | 1 | IOREQ -INPUTMC | 1 | 4 | 12 -EQ | 2 | - iobm/IOREQr.D = IOREQ; - !iobm/IOREQr.CLK = C16M; // GCK +OUTPUTMC | 5 | 5 | 15 | 6 | 0 | 5 | 17 | 6 | 16 | 5 | 8 +INPUTS | 5 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | IOL0 | iobm/IORDREQr +INPUTMC | 5 | 6 | 9 | 5 | 15 | 5 | 9 | 0 | 17 | 6 | 2 +EXPORTS | 1 | 5 | 8 +EQ | 4 | + iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; + iobm/IOS_FSM_FFd1.CLK = C16M; // GCK + iobm/IOS_FSM_FFd1.EXP = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & IOL0 & + iobm/IORDREQr GLOBALS | 1 | 2 | C16M -MACROCELL | 2 | 2 | iobs/IOACTr +MACROCELL | 6 | 9 | iobm/IOS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 9 | 4 | 6 | 0 | 13 | 4 | 12 | 2 | 1 -INPUTS | 20 | IOACT | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/DTACKEN | $OpTx$$OpTx$FX_DC$47_INV$153 | iobs/IODTACKr -INPUTMC | 4 | 5 | 17 | 4 | 9 | 2 | 7 | 0 | 4 -INPUTP | 16 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 2 | 1 -EQ | 12 | +OUTPUTMC | 4 | 6 | 0 | 5 | 7 | 5 | 17 | 6 | 16 +INPUTS | 4 | IODONE | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR +INPUTMC | 4 | 0 | 15 | 6 | 14 | 5 | 9 | 1 | 16 +EQ | 3 | + iobm/IOS_FSM_FFd2.D = IOBERR & iobm/IOS_FSM_FFd3 & !iobm/C8Mr + # IODONE & iobm/IOS_FSM_FFd3 & !iobm/C8Mr; + iobm/IOS_FSM_FFd2.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 5 | 4 | iobs/IOACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 3 | 8 | 3 | 15 | 3 | 16 | 3 | 11 +INPUTS | 1 | IOACT +INPUTMC | 1 | 6 | 17 +EQ | 2 | iobs/IOACTr.D = IOACT; iobs/IOACTr.CLK = FCLK; // GCK - iobs/IOACTr.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !IOACT & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/DTACKEN & iobs/IODTACKr & - !$OpTx$$OpTx$FX_DC$47_INV$153 GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 6 | iobs/Load1 +MACROCELL | 3 | 12 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 15 | 0 | 14 | 5 | 13 -INPUTS | 19 | A_FSB<14> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | iobs/Sent | cs/nOverlay | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | fsb/ASrf | ALE0S.EXP -INPUTMC | 7 | 2 | 12 | 6 | 2 | 4 | 6 | 5 | 13 | 0 | 13 | 2 | 4 | 2 | 5 -INPUTP | 12 | 19 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 18 -IMPORTS | 1 | 2 | 5 -EQ | 34 | - iobs/Load1.D = A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & !nAS_FSB & - iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & !nAS_FSB & - iobs/TS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/TS_FSM_FFd2 & - fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & !nAS_FSB & - iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & !nAS_FSB & - iobs/TS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB3_6 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/TS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/TS_FSM_FFd2 & - fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/TS_FSM_FFd1 & - fsb/ASrf & nADoutLE1; +OUTPUTMC | 4 | 7 | 10 | 7 | 9 | 5 | 13 | 3 | 11 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | iobs/TS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | A_FSB<20> | cs/nOverlay +INPUTMC | 6 | 3 | 17 | 3 | 15 | 5 | 13 | 3 | 8 | 7 | 3 | 0 | 10 +INPUTP | 6 | 36 | 30 | 47 | 54 | 29 | 28 +EXPORTS | 1 | 3 | 11 +EQ | 11 | + iobs/Load1.D = !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & iobs/TS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1; iobs/Load1.CLK = FCLK; // GCK + iobs/Load1.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 6 | iobm/Er2 +MACROCELL | 0 | 17 | IOL0 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 5 | 8 | 0 | 17 | 0 | 0 | 5 | 7 | 0 | 16 +INPUTS | 12 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobm/Er.EXP +INPUTMC | 7 | 3 | 8 | 3 | 17 | 3 | 15 | 5 | 13 | 0 | 17 | 0 | 10 | 0 | 0 +INPUTP | 5 | 49 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 0 | 16 +IMPORTS | 1 | 0 | 0 +EQ | 14 | + !IOL0.T = iobs/TS_FSM_FFd1 + # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 + # nLDS_FSB & !IOL0 & nADoutLE1 + # !nLDS_FSB & IOL0 & nADoutLE1 +;Imported pterms FB1_1 + # iobs/IOL1 & IOL0 & !nADoutLE1 + # !iobs/IOL1 & !IOL0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; + IOL0.CLK = FCLK; // GCK + IOL0.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 16 | IOU0 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 4 | 5 | 10 | 0 | 16 | 0 | 15 | 5 | 9 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | IODONE.EXP | IOL0.EXP +INPUTMC | 8 | 3 | 8 | 3 | 17 | 3 | 15 | 5 | 13 | 0 | 16 | 7 | 9 | 0 | 15 | 0 | 17 +INPUTP | 1 | 56 +IMPORTS | 2 | 0 | 15 | 0 | 17 +EQ | 13 | + !IOU0.T = iobs/TS_FSM_FFd1 + # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 + # nUDS_FSB & !IOU0 & nADoutLE1 + # !nUDS_FSB & IOU0 & nADoutLE1 + # iobs/IOU1 & IOU0 & !nADoutLE1 +;Imported pterms FB1_16 + # !iobs/IOU1 & !IOU0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 +;Imported pterms FB1_18 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; + IOU0.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 2 | iobm/IORDREQr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 -INPUTS | 1 | iobm/Er -INPUTMC | 1 | 0 | 7 +OUTPUTMC | 9 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 15 | 5 | 12 | 6 | 17 | 5 | 17 | 6 | 16 | 3 | 4 +INPUTS | 1 | IORDREQ +INPUTMC | 1 | 3 | 16 EQ | 2 | - iobm/Er2.D = iobm/Er; - iobm/Er2.CLK = C16M; // GCK + iobm/IORDREQr.D = IORDREQ; + iobm/IORDREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 2 | 17 | ram/BACTr +MACROCELL | 7 | 0 | ram/RS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 7 | 16 | 7 | 6 | 7 | 2 | 7 | 3 | 7 | 4 | 2 | 0 -INPUTS | 14 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | fsb/Ready0r | fsb/VPA | ram/RAMReady | $OpTx$$OpTx$FX_DC$47_INV$153 | A_FSB<21> | fsb/Ready1r | IOACT | iobs/IODTACKr | A_FSB<20> -INPUTMC | 9 | 2 | 4 | 6 | 2 | 2 | 10 | 2 | 0 | 7 | 3 | 2 | 7 | 2 | 15 | 5 | 17 | 0 | 4 -INPUTP | 5 | 54 | 36 | 30 | 29 | 28 -EXPORTS | 1 | 2 | 0 -EQ | 11 | - !ram/BACTr.D = nAS_FSB & !fsb/ASrf; - ram/BACTr.CLK = FCLK; // GCK - ram/BACTr.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !fsb/Ready0r & fsb/VPA & !ram/RAMReady & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & fsb/VPA & - IOACT & !iobs/IODTACKr & !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & - IOACT & !iobs/IODTACKr & !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<22> & !cs/nOverlay & !fsb/Ready1r & fsb/VPA & - IOACT & !iobs/IODTACKr & !$OpTx$$OpTx$FX_DC$47_INV$153 +OUTPUTMC | 4 | 7 | 8 | 7 | 2 | 7 | 4 | 7 | 17 +INPUTS | 8 | ram/RS_FSM_FFd6 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | RefReq | ram/BACTr +INPUTMC | 7 | 7 | 13 | 2 | 17 | 7 | 8 | 7 | 15 | 7 | 3 | 2 | 14 | 3 | 0 +INPUTP | 1 | 54 +EXPORTS | 1 | 7 | 17 +EQ | 6 | + ram/RS_FSM_FFd3.D = ram/RS_FSM_FFd6; + ram/RS_FSM_FFd3.CLK = FCLK; // GCK + ram/RS_FSM_FFd3.EXP = RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf + # RefReq & !ram/RefDone & ram/RS_FSM_FFd8 & + fsb/ASrf & !ram/BACTr GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 2 | ram/RefReq +MACROCELL | 7 | 13 | ram/RS_FSM_FFd6 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 7 | 16 | 7 | 6 | 7 | 2 | 7 | 3 | 7 | 0 | 7 | 4 -INPUTS | 2 | ram/RefDone | ram/RefReqSync -INPUTMC | 2 | 0 | 12 | 0 | 1 -EQ | 2 | - ram/RefReq.D = !ram/RefDone & ram/RefReqSync; - ram/RefReq.CLK = FCLK; // GCK +OUTPUTMC | 3 | 7 | 0 | 7 | 16 | 7 | 4 +INPUTS | 8 | RefUrg | ram/RAMEN | ram/RefDone | ram/RS_FSM_FFd8 | RefReq | nAS_FSB | ram/BACTr | fsb/ASrf +INPUTMC | 7 | 2 | 17 | 7 | 17 | 7 | 8 | 7 | 15 | 2 | 14 | 3 | 0 | 7 | 3 +INPUTP | 1 | 54 +EQ | 9 | + ram/RS_FSM_FFd6.D = RefUrg & !ram/RAMEN & !ram/RefDone & + ram/RS_FSM_FFd8 + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf + # RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # RefReq & !ram/RefDone & ram/RS_FSM_FFd8 & + fsb/ASrf & !ram/BACTr; + ram/RS_FSM_FFd6.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 1 | ram/RefReqSync +MACROCELL | 5 | 17 | ALE0M ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 0 | 12 | 0 | 2 -INPUTS | 1 | RefReq -INPUTMC | 1 | 3 | 14 -EQ | 2 | - ram/RefReqSync.D = RefReq; - ram/RefReqSync.CLK = FCLK; // GCK +OUTPUTMC | 2 | 5 | 17 | 5 | 14 +INPUTS | 11 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | ALE0M | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr +INPUTMC | 11 | 5 | 15 | 6 | 14 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 7 | 6 | 9 | 5 | 17 | 3 | 1 | 6 | 2 | 6 | 0 +EQ | 14 | + !ALE0M.D = iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & nAoutOE + # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & + iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !ALE0M + # iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & + !iobm/IORDREQr & !iobm/IOWRREQr; + ALE0M.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 3 | 16 | IORDREQ +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 6 | 2 | 3 | 16 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | IORDREQ | iobs/Sent | nADoutLE1 | nWE_FSB | iobs/TS_FSM_FFd2.EXP | iobs/Sent.EXP +INPUTMC | 8 | 3 | 8 | 3 | 15 | 5 | 4 | 3 | 16 | 3 | 17 | 5 | 13 | 3 | 15 | 3 | 17 +INPUTP | 1 | 47 +IMPORTS | 2 | 3 | 15 | 3 | 17 +EQ | 13 | + !IORDREQ.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 + # iobs/TS_FSM_FFd1 & iobs/IOACTr + # iobs/TS_FSM_FFd2 & !IORDREQ + # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 + # !nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_16 + # !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 +;Imported pterms FB4_18 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; + IORDREQ.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 11 | cnt/Er<0> +MACROCELL | 3 | 11 | IOWRREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 23 | 3 | 17 | 6 | 0 | 3 | 11 | 3 | 15 | 3 | 16 | 6 | 17 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 13 | 3 | 9 | 3 | 14 | 3 | 12 | 7 | 16 | 7 | 10 | 3 | 8 -INPUTS | 1 | E -INPUTP | 1 | 37 -EQ | 2 | +OUTPUTMC | 2 | 3 | 11 | 6 | 0 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | IOWRREQ | iobs/Sent | nADoutLE1 | nWE_FSB | nVPA_FSB_OBUF.EXP | iobs/Load1.EXP +INPUTMC | 8 | 3 | 8 | 3 | 15 | 5 | 4 | 3 | 11 | 3 | 17 | 5 | 13 | 3 | 10 | 3 | 12 +INPUTP | 1 | 47 +IMPORTS | 2 | 3 | 10 | 3 | 12 +EQ | 13 | + !IOWRREQ.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 + # iobs/TS_FSM_FFd1 & iobs/IOACTr + # iobs/TS_FSM_FFd2 & !IOWRREQ + # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 + # nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_11 + # iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 +;Imported pterms FB4_13 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; + IOWRREQ.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 7 | cnt/Er<0> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 24 | 2 | 17 | 6 | 3 | 6 | 12 | 1 | 17 | 2 | 15 | 2 | 14 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 2 | 9 | 4 | 0 | 2 | 6 | 2 | 13 | 6 | 6 | 2 | 8 +INPUTS | 4 | E | A_FSB<22> | IONPReady | IOPWReady +INPUTMC | 2 | 3 | 14 | 7 | 12 +INPUTP | 2 | 37 | 30 +EXPORTS | 1 | 2 | 8 +EQ | 3 | cnt/Er<0>.D = E; cnt/Er<0>.CLK = FCLK; // GCK + cnt/Er<0>.EXP = !A_FSB<22> & !IONPReady & !IOPWReady GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 10 | cnt/nIPL2r +MACROCELL | 1 | 15 | cnt/nIPL2r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 14 | 7 | 16 +OUTPUTMC | 2 | 7 | 14 | 2 | 6 INPUTS | 1 | nIPL2 INPUTP | 1 | 146 EQ | 2 | @@ -1235,165 +1017,164 @@ EQ | 2 | cnt/nIPL2r.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 9 | iobm/BERRrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 9 | 5 | 16 -INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 123 -EQ | 2 | - iobm/BERRrf.D = !nBERR_IOB; - !iobm/BERRrf.CLK = C8M; // GCK -GLOBALS | 1 | 2 | C8M - -MACROCELL | 0 | 8 | iobm/DTACKrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 9 | 5 | 17 | 5 | 16 -INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 127 -EQ | 2 | - iobm/DTACKrf.D = !nDTACK_IOB; - !iobm/DTACKrf.CLK = C8M; // GCK -GLOBALS | 1 | 2 | C8M - -MACROCELL | 5 | 0 | iobm/ETACK -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 9 | 5 | 16 -INPUTS | 6 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 6 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 -EQ | 3 | - iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & iobm/ES<4>; - iobm/ETACK.CLK = C16M; // GCK +MACROCELL | 6 | 15 | iobm/DoutOE +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 2 | 6 | 15 | 3 | 4 +INPUTS | 8 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/DoutOE | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 +INPUTMC | 8 | 5 | 15 | 5 | 9 | 6 | 15 | 6 | 0 | 6 | 14 | 5 | 6 | 5 | 5 | 5 | 12 +EQ | 11 | + iobm/DoutOE.T = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & !iobm/DoutOE & + iobm/IOWRREQr + # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & + iobm/DoutOE + # !iobm/IOS_FSM_FFd3 & iobm/C8Mr & + !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & + iobm/DoutOE + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & iobm/DoutOE & !iobm/IOWRREQr; + iobm/DoutOE.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 5 | iobm/RESrf +MACROCELL | 0 | 0 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 9 | 5 | 16 -INPUTS | 1 | nRES.PIN -INPUTP | 1 | 145 -EQ | 2 | - iobm/RESrf.D = !nRES.PIN; - !iobm/RESrf.CLK = C8M; // GCK +OUTPUTMC | 5 | 0 | 12 | 0 | 11 | 0 | 14 | 0 | 13 | 0 | 17 +INPUTS | 12 | E | iobs/IOL1 | IOL0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay +INPUTMC | 6 | 7 | 10 | 0 | 17 | 5 | 13 | 3 | 15 | 7 | 3 | 0 | 10 +INPUTP | 6 | 37 | 54 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 0 | 17 +EQ | 8 | + iobm/Er.D = E; + !iobm/Er.CLK = C8M; // GCK + iobm/Er.EXP = iobs/IOL1 & IOL0 & !nADoutLE1 + # !iobs/IOL1 & !IOL0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | C8M -MACROCELL | 1 | 16 | iobm/VPAr +MACROCELL | 6 | 16 | iobm/IOS0 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 6 | 16 | 3 | 4 +INPUTS | 12 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd2 | iobm/IOS0 +INPUTMC | 12 | 5 | 7 | 5 | 15 | 5 | 9 | 3 | 1 | 6 | 2 | 6 | 0 | 6 | 14 | 5 | 6 | 5 | 5 | 5 | 12 | 6 | 9 | 6 | 16 +EQ | 9 | + iobm/IOS0.D = iobm/IOS_FSM_FFd1 + # iobm/IOS_FSM_FFd7 & iobm/C8Mr + # iobm/IOS_FSM_FFd7 & nAoutOE + # iobm/IOS_FSM_FFd7 & !iobm/IORDREQr & + !iobm/IOWRREQr + # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & + !iobm/IOS_FSM_FFd2 & iobm/IOS0; + iobm/IOS0.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 6 | 0 | iobm/IOWRREQr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 5 | 11 | 5 | 15 | 5 | 12 | 6 | 0 | 5 | 17 | 6 | 15 | 6 | 16 | 3 | 4 | 6 | 17 +INPUTS | 10 | IOWRREQ | IOBERR | IODONE | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd7 | iobm/IOWRREQr | nAoutOE | IOACT | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 +INPUTMC | 10 | 3 | 11 | 1 | 16 | 0 | 15 | 6 | 14 | 5 | 15 | 6 | 0 | 3 | 1 | 6 | 17 | 5 | 7 | 6 | 9 +EXPORTS | 1 | 6 | 17 +EQ | 6 | + iobm/IOWRREQr.D = IOWRREQ; + iobm/IOWRREQr.CLK = C16M; // GCK + iobm/IOWRREQr.EXP = !IOBERR & !IODONE & iobm/IOS_FSM_FFd3 + # iobm/IOS_FSM_FFd7 & iobm/IOWRREQr & !nAoutOE + # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & IOACT & + !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 +GLOBALS | 1 | 2 | C16M + +MACROCELL | 1 | 14 | iobm/VPAr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB INPUTP | 1 | 125 EQ | 2 | iobm/VPAr.D = !nVPA_IOB; - !iobm/VPAr.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M + !iobm/VPAr.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M -MACROCELL | 5 | 2 | ALE0M +MACROCELL | 3 | 3 | iobs/Clear1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 12 | 5 | 13 +INPUTS | 2 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 +INPUTMC | 2 | 3 | 8 | 3 | 15 +EQ | 2 | + iobs/Clear1.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2; + iobs/Clear1.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 2 | ram/RS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 8 | 2 | 4 +INPUTS | 1 | ram/RS_FSM_FFd3 +INPUTMC | 1 | 7 | 0 +EQ | 2 | + ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd3; + ram/RS_FSM_FFd2.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 3 | ram/RS_FSM_FFd4 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 17 | 7 | 15 +INPUTS | 1 | ram/RS_FSM_FFd1 +INPUTMC | 1 | 2 | 4 +EQ | 2 | + ram/RS_FSM_FFd4.D = ram/RS_FSM_FFd1; + ram/RS_FSM_FFd4.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 6 | ram/Once +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 7 | 17 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 7 | 3 +INPUTP | 1 | 54 +EQ | 3 | + !ram/Once.D = nAS_FSB & !fsb/ASrf; + ram/Once.CLK = FCLK; // GCK + ram/Once.CE = nAS_FSB & !fsb/ASrf; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 6 | ALE0S ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 -INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr -INPUTMC | 4 | 0 | 16 | 5 | 9 | 5 | 3 | 1 | 17 -EQ | 4 | - !ALE0M.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & - !iobm/IOREQr; - ALE0M.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 2 | 5 | ALE0S -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 14 | 2 | 6 -INPUTS | 17 | iobs/TS_FSM_FFd2 | A_FSB<14> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | iobs/Sent | cs/nOverlay | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> -INPUTMC | 6 | 4 | 6 | 2 | 12 | 6 | 2 | 0 | 13 | 2 | 4 | 5 | 13 -INPUTP | 11 | 19 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 2 | 6 -EQ | 14 | +INPUTS | 1 | iobs/TS_FSM_FFd2 +INPUTMC | 1 | 3 | 15 +EQ | 2 | ALE0S.D = iobs/TS_FSM_FFd2; ALE0S.CLK = FCLK; // GCK - ALE0S.EXP = A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/TS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/TS_FSM_FFd2 & - fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/TS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 12 | IOREQ +MACROCELL | 6 | 6 | cnt/Er<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 1 | 17 -INPUTS | 12 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr | iobs/Sent | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | RA_5_OBUF.EXP | RA_2_OBUF.EXP -INPUTMC | 9 | 4 | 6 | 0 | 13 | 2 | 2 | 2 | 12 | 5 | 13 | 2 | 4 | 6 | 2 | 4 | 11 | 4 | 13 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 4 | 11 | 4 | 13 -EQ | 28 | - !IOREQ.D = !iobs/TS_FSM_FFd2 & iobs/TS_FSM_FFd1 - # iobs/TS_FSM_FFd1 & iobs/IOACTr - # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB5_12 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB5_14 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1; - IOREQ.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 8 | cnt/Er<1> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 22 | 3 | 17 | 6 | 0 | 3 | 11 | 3 | 15 | 3 | 16 | 6 | 17 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 13 | 3 | 9 | 3 | 14 | 3 | 12 | 7 | 16 | 7 | 10 +OUTPUTMC | 22 | 2 | 17 | 6 | 3 | 6 | 12 | 1 | 17 | 2 | 15 | 2 | 14 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 2 | 9 | 4 | 0 | 2 | 6 | 2 | 13 INPUTS | 1 | cnt/Er<0> -INPUTMC | 1 | 0 | 11 +INPUTMC | 1 | 2 | 7 EQ | 2 | cnt/Er<1>.D = cnt/Er<0>; cnt/Er<1>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 11 | cs/ODCSr +MACROCELL | 3 | 9 | cs/ODCSr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 6 | 2 | 2 | 12 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | fsb/ASrf | iobs/Sent | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | nADoutLE1 | cs/nOverlay -INPUTMC | 6 | 2 | 4 | 2 | 12 | 4 | 6 | 0 | 13 | 5 | 13 | 6 | 2 +OUTPUTMC | 1 | 0 | 10 +INPUTS | 6 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 7 | 3 INPUTP | 5 | 36 | 30 | 29 | 28 | 54 -EXPORTS | 1 | 2 | 12 -EQ | 11 | +EQ | 5 | cs/ODCSr.D = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !nAS_FSB # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & fsb/ASrf; cs/ODCSr.CLK = FCLK; // GCK - cs/ODCSr.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & !nAS_FSB & - !iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Sent & - !iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & - !iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 4 | fsb/ASrf +MACROCELL | 7 | 3 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 26 | 2 | 12 | 6 | 2 | 4 | 3 | 4 | 9 | 2 | 10 | 2 | 15 | 4 | 2 | 2 | 5 | 7 | 17 | 7 | 6 | 7 | 9 | 7 | 8 | 4 | 6 | 7 | 2 | 2 | 6 | 2 | 17 | 4 | 12 | 2 | 11 | 7 | 3 | 2 | 7 | 2 | 9 | 2 | 13 | 4 | 17 | 7 | 0 | 7 | 1 | 7 | 7 +OUTPUTMC | 20 | 3 | 17 | 7 | 17 | 0 | 10 | 3 | 13 | 7 | 16 | 7 | 12 | 7 | 11 | 3 | 10 | 3 | 14 | 7 | 15 | 3 | 12 | 0 | 0 | 0 | 15 | 7 | 13 | 3 | 15 | 3 | 1 | 3 | 6 | 3 | 9 | 2 | 8 | 7 | 0 INPUTS | 1 | nAS_FSB INPUTP | 1 | 54 EQ | 2 | @@ -1401,378 +1182,231 @@ EQ | 2 | !fsb/ASrf.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 7 | iobm/DoutOE +MACROCELL | 2 | 5 | iobs/IODONEr<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 3 | 4 -INPUTS | 6 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/IOREQr | nAoutOE -INPUTMC | 6 | 4 | 16 | 5 | 3 | 5 | 9 | 0 | 16 | 1 | 17 | 3 | 1 -EQ | 5 | - iobm/DoutOE.D = !IORW0 & iobm/IOS_FSM_FFd3 - # !IORW0 & iobm/IOS_FSM_FFd2 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & - !iobm/IOS_FSM_FFd2 & !iobm/IOREQr & !nAoutOE; - iobm/DoutOE.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 2 | 3 | iobs/Clear1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 5 | 13 -INPUTS | 2 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 -INPUTMC | 2 | 4 | 6 | 0 | 13 +OUTPUTMC | 1 | 3 | 14 +INPUTS | 1 | IODONE +INPUTMC | 1 | 0 | 15 EQ | 2 | - iobs/Clear1.D = iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1; - iobs/Clear1.CLK = FCLK; // GCK + iobs/IODONEr<0>.D = IODONE; + iobs/IODONEr<0>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 4 | iobs/IODTACKr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 2 | 15 | 2 | 0 | 2 | 8 | 2 | 1 | 2 | 2 | 2 | 7 | 2 | 9 | 2 | 10 | 2 | 14 | 2 | 16 | 2 | 17 -INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 127 -EQ | 2 | - iobs/IODTACKr.D = !nDTACK_IOB; - iobs/IODTACKr.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 6 | nRESout +MACROCELL | 3 | 2 | nRESout ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 3 | 7 INPUTS | 2 | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 -INPUTMC | 2 | 7 | 16 | 7 | 10 +INPUTMC | 2 | 2 | 6 | 2 | 13 EQ | 2 | nRESout.D = cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2; nRESout.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 3 | ram/RAMReady +MACROCELL | 3 | 0 | ram/BACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 2 | 10 | 2 | 7 | 2 | 17 -INPUTS | 13 | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RAMEN | nAS_FSB | fsb/ASrf | ram/RefReq | ram/BACTr | nRAS_OBUF.EXP -INPUTMC | 10 | 6 | 2 | 7 | 6 | 7 | 9 | 7 | 8 | 0 | 0 | 7 | 2 | 2 | 4 | 0 | 2 | 2 | 17 | 7 | 4 -INPUTP | 3 | 36 | 30 | 54 -IMPORTS | 1 | 7 | 4 -EQ | 21 | - ram/RAMReady.D = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & !ram/RefUrg - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & - !ram/RAMEN -;Imported pterms FB8_5 - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq; - ram/RAMReady.CLK = FCLK; // GCK +OUTPUTMC | 6 | 7 | 17 | 7 | 15 | 7 | 13 | 7 | 16 | 7 | 0 | 3 | 17 +INPUTS | 10 | A_FSB<23> | iobs/Sent | iobs/TS_FSM_FFd2 | A_FSB<22> | nWE_FSB | iobs/TS_FSM_FFd1 | A_FSB<21> | A_FSB<20> | cs/nOverlay | nAoutOE_OBUF.EXP +INPUTMC | 5 | 3 | 17 | 3 | 15 | 3 | 8 | 0 | 10 | 3 | 1 +INPUTP | 5 | 36 | 30 | 47 | 29 | 28 +EXPORTS | 1 | 3 | 17 +IMPORTS | 1 | 3 | 1 +EQ | 9 | + !ram/BACTr.D = ;Imported pterms FB4_2 + nAS_FSB & !fsb/ASrf; + ram/BACTr.CLK = FCLK; // GCK + ram/BACTr.EXP = A_FSB<23> & !iobs/Sent & iobs/TS_FSM_FFd2 + # A_FSB<22> & !iobs/Sent & iobs/TS_FSM_FFd2 + # !iobs/Sent & nWE_FSB & iobs/TS_FSM_FFd1 + # !iobs/Sent & nWE_FSB & iobs/TS_FSM_FFd2 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !iobs/Sent & cs/nOverlay GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 3 | ram/RefRAS +MACROCELL | 7 | 16 | ram/CAS ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 7 | 4 -INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 -INPUTMC | 2 | 7 | 6 | 7 | 9 -EQ | 2 | - ram/RefRAS.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; - ram/RefRAS.CLK = FCLK; // GCK +OUTPUTMC | 1 | 4 | 4 +INPUTS | 9 | ram/RS_FSM_FFd6 | RefUrg | ram/RAMEN | ram/RefDone | ram/RS_FSM_FFd8 | RefReq | nAS_FSB | ram/BACTr | fsb/ASrf +INPUTMC | 8 | 7 | 13 | 2 | 17 | 7 | 17 | 7 | 8 | 7 | 15 | 2 | 14 | 3 | 0 | 7 | 3 +INPUTP | 1 | 54 +EQ | 10 | + ram/CAS.D = ram/RS_FSM_FFd6 + # RefUrg & !ram/RAMEN & !ram/RefDone & + ram/RS_FSM_FFd8 + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf + # RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # RefReq & !ram/RefDone & ram/RS_FSM_FFd8 & + fsb/ASrf & !ram/BACTr; + ram/CAS.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 3 | ram/RegUrgSync +MACROCELL | 2 | 4 | ram/RS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 0 | 0 -INPUTS | 1 | RefUrg -INPUTMC | 1 | 3 | 17 +OUTPUTMC | 1 | 2 | 3 +INPUTS | 1 | ram/RS_FSM_FFd2 +INPUTMC | 1 | 7 | 2 EQ | 2 | - ram/RegUrgSync.D = RefUrg; - ram/RegUrgSync.CLK = FCLK; // GCK + ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd2; + ram/RS_FSM_FFd1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 5 | RA_0_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<1> -INPUTMC | 1 | 7 | 17 -INPUTP | 2 | 13 | 149 -EQ | 2 | - RA<0> = A_FSB<10> & !ram/RASEL - # ram/RASEL & A_FSB<1>; - -MACROCELL | 6 | 1 | RA_1_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<2> -INPUTMC | 1 | 7 | 17 -INPUTP | 2 | 15 | 153 -EQ | 2 | - RA<1> = A_FSB<11> & !ram/RASEL - # ram/RASEL & A_FSB<2>; - -MACROCELL | 4 | 13 | RA_2_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 12 -INPUTS | 14 | A_FSB<12> | ram/RASEL | A_FSB<23> | A_FSB<22> | A_FSB<18> | iobs/TS_FSM_FFd2 | nADoutLE1 | nWE_FSB | A_FSB<14> | A_FSB<13> | A_FSB<21> | A_FSB<20> | cs/nOverlay | RA_6_OBUF.EXP -INPUTMC | 5 | 7 | 17 | 4 | 6 | 5 | 13 | 6 | 2 | 4 | 14 -INPUTP | 9 | 17 | 36 | 30 | 24 | 47 | 19 | 18 | 29 | 28 -EXPORTS | 1 | 4 | 12 -IMPORTS | 1 | 4 | 14 -EQ | 11 | - RA<2> = A_FSB<12> & !ram/RASEL -;Imported pterms FB5_15 - # ram/RASEL & A_FSB<3>; - RA_2_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 4 | 10 | RA_3_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 11 -INPUTS | 5 | A_FSB<13> | ram/RASEL | A_FSB<4> | A_FSB<15> | A_FSB<6> -INPUTMC | 1 | 7 | 17 -INPUTP | 4 | 18 | 157 | 21 | 6 -EXPORTS | 1 | 4 | 11 -EQ | 4 | - RA<3> = A_FSB<13> & !ram/RASEL - # ram/RASEL & A_FSB<4>; - RA_3_OBUF.EXP = A_FSB<15> & !ram/RASEL - # ram/RASEL & A_FSB<6> - -MACROCELL | 4 | 8 | RA_4_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 9 -INPUTS | 8 | A_FSB<14> | ram/RASEL | A_FSB<5> | A_FSB<23> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/DTACKEN -INPUTMC | 3 | 7 | 17 | 6 | 2 | 4 | 9 -INPUTP | 5 | 19 | 4 | 36 | 29 | 28 -EXPORTS | 1 | 4 | 9 -EQ | 4 | - RA<4> = A_FSB<14> & !ram/RASEL - # ram/RASEL & A_FSB<5>; - RA_4_OBUF.EXP = !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/DTACKEN - -MACROCELL | 4 | 11 | RA_5_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 12 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> | RA_3_OBUF.EXP -INPUTMC | 3 | 4 | 6 | 5 | 13 | 4 | 10 -INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 23 | 22 -EXPORTS | 1 | 4 | 12 -IMPORTS | 1 | 4 | 10 -EQ | 13 | - RA<5> = ;Imported pterms FB5_11 - A_FSB<15> & !ram/RASEL - # ram/RASEL & A_FSB<6>; - RA_5_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 4 | 14 | RA_6_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 13 -INPUTS | 4 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<3> -INPUTMC | 1 | 7 | 17 -INPUTP | 3 | 22 | 8 | 155 -EXPORTS | 1 | 4 | 13 -EQ | 3 | - RA<6> = A_FSB<16> & !ram/RASEL - # ram/RASEL & A_FSB<7>; - RA_6_OBUF.EXP = ram/RASEL & A_FSB<3> - -MACROCELL | 6 | 4 | RA_7_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 7 | 17 -INPUTP | 2 | 23 | 11 -EQ | 2 | - RA<7> = A_FSB<8> & ram/RASEL - # A_FSB<17> & !ram/RASEL; - -MACROCELL | 6 | 7 | RA_8_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 6 | A_FSB<18> | cs/nOverlay | A_FSB<22> | A_FSB<23> | ram/RASEL | A_FSB<9> -INPUTMC | 2 | 6 | 2 | 7 | 17 -INPUTP | 4 | 24 | 30 | 36 | 12 -EQ | 6 | - RA<8> = A_FSB<23> & A_FSB<18> - # A_FSB<22> & A_FSB<18> - # A_FSB<18> & !cs/nOverlay - # A_FSB<18> & !ram/RASEL - # A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RASEL; - -MACROCELL | 6 | 10 | RA_9_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> -INPUTMC | 1 | 7 | 17 -INPUTP | 2 | 26 | 28 -EQ | 2 | - RA<9> = A_FSB<20> & ram/RASEL - # A_FSB<19> & !ram/RASEL; - -MACROCELL | 7 | 11 | nBERR_FSB_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | iobs/DTACKEN | nBERR_IOB -INPUTMC | 1 | 4 | 9 -INPUTP | 1 | 123 -EQ | 1 | - !nBERR_FSB = iobs/DTACKEN & !nBERR_IOB; - -MACROCELL | 3 | 4 | nDoutOE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | iobm/DoutOE | nAoutOE -INPUTMC | 2 | 5 | 7 | 3 | 1 -EQ | 1 | - !nDoutOE = iobm/DoutOE & !nAoutOE; - MACROCELL | 4 | 5 | nOE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 6 -INPUTS | 10 | nWE_FSB | nAS_FSB | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<20> | A_FSB<19> | A_FSB<16> -INPUTMC | 2 | 4 | 6 | 5 | 13 -INPUTP | 8 | 47 | 54 | 36 | 30 | 29 | 28 | 26 | 22 -EXPORTS | 1 | 4 | 6 -EQ | 9 | +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 47 | 54 +EQ | 1 | !nOE = nWE_FSB & !nAS_FSB; - nOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & - !iobs/TS_FSM_FFd2 & nADoutLE1 MACROCELL | 2 | 16 | nROMWE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 2 | 15 -INPUTS | 16 | nWE_FSB | nAS_FSB | A_FSB<13> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | iobs/DTACKEN | fsb/Ready1r | nADoutLE1 | A_FSB<14> | IOACT | iobs/IODTACKr -INPUTMC | 6 | 6 | 2 | 4 | 9 | 2 | 15 | 5 | 13 | 5 | 17 | 0 | 4 -INPUTP | 10 | 47 | 54 | 18 | 29 | 28 | 26 | 24 | 23 | 22 | 19 -EXPORTS | 1 | 2 | 15 -EQ | 10 | - !nROMWE = !nWE_FSB & !nAS_FSB; - nROMWE_OBUF.EXP = A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !iobs/DTACKEN & !fsb/Ready1r & !nADoutLE1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !fsb/Ready1r & IOACT & !iobs/IODTACKr & !nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !fsb/Ready1r & IOACT & !iobs/IODTACKr & !nADoutLE1 - -MACROCELL | 3 | 10 | nVPA_FSB_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | fsb/VPA | nAS_FSB -INPUTMC | 1 | 2 | 0 -INPUTP | 1 | 54 +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 47 | 54 EQ | 1 | - !nVPA_FSB = fsb/VPA & !nAS_FSB; + !nROMWE = !nWE_FSB & !nAS_FSB; MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 5 | 2 | 2 | 5 +INPUTMC | 2 | 5 | 17 | 7 | 6 EQ | 1 | nADoutLE0 = !ALE0M & !ALE0S; MACROCELL | 4 | 4 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 1 | ram/RASEL -INPUTMC | 1 | 7 | 17 +INPUTS | 1 | ram/CAS +INPUTMC | 1 | 7 | 16 EQ | 2 | - nCAS.D = !ram/RASEL; + nCAS.D = !ram/CAS; !nCAS.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK +MACROCELL | 2 | 8 | nDTACK_FSB_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 10 | nAS_FSB | fsb/ASrf | A_FSB<23> | IONPReady | A_FSB<22> | A_FSB<20> | A_FSB<21> | nWE_FSB | cnt/Er<0>.EXP | cnt/TimerTC.EXP +INPUTMC | 4 | 7 | 3 | 3 | 14 | 2 | 7 | 2 | 9 +INPUTP | 6 | 54 | 36 | 30 | 28 | 29 | 47 +IMPORTS | 2 | 2 | 7 | 2 | 9 +EQ | 11 | + nDTACK_FSB.D = A_FSB<23> & !IONPReady + # nAS_FSB & !fsb/ASrf + # A_FSB<22> & A_FSB<21> & !IONPReady + # A_FSB<22> & A_FSB<20> & !IONPReady + # !A_FSB<22> & nWE_FSB & !IONPReady +;Imported pterms FB3_8 + # !A_FSB<22> & !IONPReady & !IOPWReady +;Imported pterms FB3_10 + # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18>; + nDTACK_FSB.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + MACROCELL | 5 | 16 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 5 | 17 -INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/BERRrf | iobm/DTACKrf | iobm/ETACK | iobm/RESrf -INPUTMC | 6 | 0 | 16 | 5 | 9 | 0 | 9 | 0 | 8 | 5 | 0 | 0 | 5 -EXPORTS | 1 | 5 | 17 -EQ | 4 | - nDinLE.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2; +INPUTS | 2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 +INPUTMC | 2 | 6 | 14 | 5 | 6 +EQ | 2 | + !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; !nDinLE.CLK = C16M; // GCK - nDinLE_OBUF.EXP = iobm/IOS_FSM_FFd2 & !iobm/BERRrf & !iobm/DTACKrf & - !iobm/ETACK & !iobm/RESrf GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 4 | nRAS_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 3 -INPUTS | 10 | ram/RefRAS | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/BACTr | ram/RefReq | A_FSB<22> | nRAMLWE_OBUF.EXP -INPUTMC | 8 | 0 | 3 | 7 | 6 | 7 | 9 | 7 | 8 | 0 | 0 | 2 | 17 | 0 | 2 | 7 | 5 -INPUTP | 2 | 36 | 30 -EXPORTS | 1 | 7 | 3 -IMPORTS | 1 | 7 | 5 -EQ | 12 | - !nRAS = ram/RefRAS -;Imported pterms FB8_6 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RAMEN; - nRAS_OBUF.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - -MACROCELL | 3 | 7 | N0 +MACROCELL | 3 | 7 | C20MEN_OBUF ATTRIBUTES | 265986 | 0 INPUTS | 1 | nRESout -INPUTMC | 1 | 3 | 6 +INPUTMC | 1 | 3 | 2 EQ | 2 | nRES = Gnd; nRES.OE = !nRESout; -MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 +MACROCELL | 6 | 1 | A_FSB_10_IBUF$BUF0 ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 2 -INPUTS | 8 | A_FSB<19> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RAMEN | ram/RS_FSM_FFd1 | ram/RefUrg | nAS_FSB | fsb/ASrf -INPUTMC | 6 | 7 | 6 | 7 | 8 | 7 | 2 | 7 | 9 | 0 | 0 | 2 | 4 -INPUTP | 2 | 26 | 54 -EXPORTS | 1 | 7 | 2 -EQ | 7 | - RA<11> = A_FSB<19>; - A_FSB_19_IBUF$BUF0.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & ram/RAMEN - # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & !ram/RefUrg - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf - -MACROCELL | 6 | 8 | A_FSB_21_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<21> -INPUTP | 1 | 29 +INPUTS | 1 | A_FSB<10> +INPUTP | 1 | 13 EQ | 1 | - RA<10> = A_FSB<21>; + RA<1> = A_FSB<10>; + +MACROCELL | 4 | 8 | A_FSB_11_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<11> +INPUTP | 1 | 15 +EQ | 1 | + RA<4> = A_FSB<11>; + +MACROCELL | 4 | 11 | A_FSB_12_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<12> +INPUTP | 1 | 17 +EQ | 1 | + RA<5> = A_FSB<12>; + +MACROCELL | 4 | 14 | A_FSB_13_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<13> +INPUTP | 1 | 18 +EQ | 1 | + RA<6> = A_FSB<13>; + +MACROCELL | 6 | 4 | A_FSB_14_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<14> +INPUTP | 1 | 19 +EQ | 1 | + RA<7> = A_FSB<14>; + +MACROCELL | 6 | 10 | A_FSB_15_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<15> +INPUTP | 1 | 21 +EQ | 1 | + RA<9> = A_FSB<15>; + +MACROCELL | 4 | 13 | A_FSB_16_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<16> +INPUTP | 1 | 22 +EQ | 1 | + RA<2> = A_FSB<16>; + +MACROCELL | 6 | 8 | A_FSB_17_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<17> +INPUTP | 1 | 23 +EQ | 1 | + RA<10> = A_FSB<17>; + +MACROCELL | 6 | 7 | A_FSB_18_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<18> +INPUTP | 1 | 24 +EQ | 1 | + RA<8> = A_FSB<18>; + +MACROCELL | 4 | 10 | A_FSB_19_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<19> +INPUTP | 1 | 26 +EQ | 1 | + RA<3> = A_FSB<19>; + +MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF1 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<19> +INPUTP | 1 | 26 +EQ | 1 | + RA<11> = A_FSB<19>; + +MACROCELL | 6 | 5 | A_FSB_9_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<9> +INPUTP | 1 | 12 +EQ | 1 | + RA<0> = A_FSB<9>; MACROCELL | 5 | 13 | nADoutLE1_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 25 | 2 | 12 | 4 | 16 | 4 | 9 | 4 | 0 | 0 | 17 | 2 | 14 | 5 | 13 | 4 | 2 | 2 | 8 | 4 | 6 | 2 | 6 | 4 | 12 | 2 | 1 | 2 | 5 | 2 | 11 | 2 | 13 | 2 | 16 | 4 | 1 | 4 | 3 | 4 | 5 | 4 | 7 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 17 +OUTPUTMC | 14 | 3 | 17 | 3 | 13 | 7 | 12 | 3 | 15 | 3 | 12 | 0 | 17 | 0 | 16 | 3 | 16 | 3 | 11 | 5 | 13 | 0 | 0 | 0 | 15 | 3 | 10 | 3 | 14 INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 2 | 3 | 5 | 13 | 2 | 6 +INPUTMC | 3 | 3 | 3 | 5 | 13 | 3 | 12 EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; @@ -1781,62 +1415,79 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 1 | nAoutOE_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 8 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 7 | 3 | 4 | 3 | 1 -INPUTS | 4 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | nAoutOE -INPUTMC | 4 | 7 | 14 | 7 | 16 | 7 | 10 | 3 | 1 -EQ | 5 | +OUTPUTMC | 13 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 15 | 5 | 12 | 6 | 17 | 5 | 17 | 6 | 16 | 3 | 1 | 3 | 4 | 6 | 0 | 3 | 0 +INPUTS | 6 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | nAoutOE | nAS_FSB | fsb/ASrf +INPUTMC | 5 | 7 | 14 | 2 | 6 | 2 | 13 | 3 | 1 | 7 | 3 +INPUTP | 1 | 54 +EXPORTS | 1 | 3 | 0 +EQ | 6 | !nAoutOE.D = !nBR_IOB & cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 # cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2 & !nAoutOE; nAoutOE.CLK = FCLK; // GCK + nAoutOE_OBUF.EXP = nAS_FSB & !fsb/ASrf GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 5 | nDinOE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 6 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<20> | A_FSB<21> -INPUTP | 6 | 36 | 47 | 54 | 30 | 28 | 29 -EQ | 3 | +INPUTS | 7 | A_FSB<20> | nWE_FSB | nAS_FSB | A_FSB<23> | A_FSB<21> | A_FSB<22> | cs/nOverlay +INPUTMC | 1 | 0 | 10 +INPUTP | 6 | 28 | 47 | 54 | 36 | 29 | 30 +EQ | 4 | !nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<22> & A_FSB<21> & nWE_FSB & !nAS_FSB - # A_FSB<22> & A_FSB<20> & nWE_FSB & !nAS_FSB; + # A_FSB<21> & nWE_FSB & !nAS_FSB + # A_FSB<20> & nWE_FSB & !nAS_FSB + # !A_FSB<22> & cs/nOverlay & nWE_FSB & !nAS_FSB; + +MACROCELL | 3 | 4 | nDoutOE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 5 | iobm/DoutOE | nAoutOE | iobm/IORDREQr | iobm/IOS0 | iobm/IOWRREQr +INPUTMC | 5 | 6 | 15 | 3 | 1 | 6 | 2 | 6 | 16 | 6 | 0 +EQ | 3 | + !nDoutOE = iobm/DoutOE & !nAoutOE + # !iobm/IORDREQr & iobm/IOS0 & !iobm/IOWRREQr & + !nAoutOE; MACROCELL | 7 | 5 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 4 -INPUTS | 7 | nWE_FSB | nLDS_FSB | nAS_FSB | ram/RAMEN | A_FSB<23> | A_FSB<22> | cs/nOverlay -INPUTMC | 2 | 7 | 2 | 6 | 2 -INPUTP | 5 | 47 | 49 | 54 | 36 | 30 -EXPORTS | 1 | 7 | 4 -EQ | 3 | - !nRAMLWE = !nWE_FSB & !nLDS_FSB & !nAS_FSB & ram/RAMEN; - nRAMLWE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RAMEN +INPUTS | 4 | ram/RAMEN | nWE_FSB | nLDS_FSB | nAS_FSB +INPUTMC | 1 | 7 | 17 +INPUTP | 3 | 47 | 49 | 54 +EQ | 1 | + !nRAMLWE = ram/RAMEN & !nWE_FSB & !nLDS_FSB & !nAS_FSB; MACROCELL | 7 | 7 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 6 -INPUTS | 9 | nWE_FSB | nUDS_FSB | nAS_FSB | ram/RAMEN | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RefUrg | fsb/ASrf -INPUTMC | 6 | 7 | 2 | 7 | 6 | 7 | 8 | 7 | 9 | 0 | 0 | 2 | 4 +INPUTS | 4 | ram/RAMEN | nWE_FSB | nUDS_FSB | nAS_FSB +INPUTMC | 1 | 7 | 17 INPUTP | 3 | 47 | 56 | 54 -EXPORTS | 1 | 7 | 6 -EQ | 4 | - !nRAMUWE = !nWE_FSB & !nUDS_FSB & !nAS_FSB & ram/RAMEN; - nRAMUWE_OBUF.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RefUrg & !fsb/ASrf +EQ | 1 | + !nRAMUWE = ram/RAMEN & !nWE_FSB & !nUDS_FSB & !nAS_FSB; + +MACROCELL | 7 | 4 | nRAS_OBUF +ATTRIBUTES | 8684294 | 0 +INPUTS | 2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd6 +INPUTMC | 2 | 7 | 0 | 7 | 13 +EQ | 2 | + nRAS.D = !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd6; + nRAS.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 1 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 0 -INPUTS | 7 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | nLDS_FSB | nADoutLE1 -INPUTMC | 2 | 6 | 2 | 5 | 13 -INPUTP | 5 | 36 | 30 | 29 | 28 | 49 -EXPORTS | 1 | 4 | 0 -EQ | 3 | +INPUTS | 5 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay +INPUTMC | 1 | 0 | 10 +INPUTP | 4 | 36 | 30 | 29 | 28 +EQ | 2 | !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay; - nROMCS_OBUF.EXP = !nLDS_FSB & nADoutLE1 + +MACROCELL | 6 | 13 | C20MEN_OBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 0 +EQ | 1 | + C20MEN = Gnd; MACROCELL | 6 | 11 | C25MEN_OBUF ATTRIBUTES | 264962 | 0 @@ -1844,308 +1495,66 @@ INPUTS | 0 EQ | 1 | C25MEN = Vcc; -MACROCELL | 6 | 13 | C25MEN_OBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 0 -EQ | 1 | - C20MEN = Vcc; - -MACROCELL | 2 | 7 | $OpTx$$OpTx$FX_DC$47_INV$153 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 5 | 2 | 0 | 2 | 1 | 2 | 2 | 2 | 17 | 2 | 8 -INPUTS | 14 | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<20> | iobs/DTACKEN | fsb/Ready1r | nDTACK_FSB | A_FSB<23> | cs/nOverlay | fsb/Ready0r | ram/RAMReady | A_FSB<21> | IOACT | iobs/IODTACKr -INPUTMC | 9 | 2 | 4 | 4 | 9 | 2 | 15 | 2 | 8 | 6 | 2 | 2 | 10 | 7 | 3 | 5 | 17 | 0 | 4 -INPUTP | 5 | 54 | 30 | 28 | 36 | 29 -EXPORTS | 1 | 2 | 8 -EQ | 9 | - $OpTx$$OpTx$FX_DC$47_INV$153 = nAS_FSB & !fsb/ASrf; - $OpTx$$OpTx$FX_DC$47_INV$153.EXP = A_FSB<22> & A_FSB<20> & !iobs/DTACKEN & - !fsb/Ready1r & nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & - nDTACK_FSB & IOACT & !iobs/IODTACKr - # A_FSB<22> & !cs/nOverlay & !fsb/Ready1r & - nDTACK_FSB & IOACT & !iobs/IODTACKr - -MACROCELL | 2 | 1 | EXP10_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 0 -INPUTS | 26 | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay | iobs/DTACKEN | fsb/Ready1r | fsb/VPA | nADoutLE1 | $OpTx$$OpTx$FX_DC$47_INV$153 | A_FSB<13> | IOACT | iobs/IODTACKr | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | iobs/IOACTr.EXP -INPUTMC | 9 | 6 | 2 | 4 | 9 | 2 | 15 | 2 | 0 | 5 | 13 | 2 | 7 | 5 | 17 | 0 | 4 | 2 | 2 -INPUTP | 17 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 12 | 11 | 21 | 17 | 15 | 13 | 36 | 30 -EXPORTS | 1 | 2 | 0 -IMPORTS | 1 | 2 | 2 -EQ | 32 | - EXP10_.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !iobs/DTACKEN & !fsb/Ready1r & fsb/VPA & !nADoutLE1 & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !iobs/DTACKEN & !fsb/Ready1r & fsb/VPA & !nADoutLE1 & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !fsb/Ready1r & fsb/VPA & IOACT & !iobs/IODTACKr & !nADoutLE1 & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !fsb/Ready1r & fsb/VPA & IOACT & !iobs/IODTACKr & !nADoutLE1 & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r & - !$OpTx$$OpTx$FX_DC$47_INV$153 -;Imported pterms FB3_3 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !IOACT & - !$OpTx$$OpTx$FX_DC$47_INV$153 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/DTACKEN & iobs/IODTACKr & - !$OpTx$$OpTx$FX_DC$47_INV$153 - -MACROCELL | 2 | 9 | EXP11_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 8 -INPUTS | 12 | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/DTACKEN | fsb/Ready1r | nDTACK_FSB | IOACT | iobs/IODTACKr | A_FSB<22> | A_FSB<21> | cs/nOverlay | fsb/Ready0r.EXP -INPUTMC | 8 | 2 | 4 | 4 | 9 | 2 | 15 | 2 | 8 | 5 | 17 | 0 | 4 | 6 | 2 | 2 | 10 -INPUTP | 4 | 54 | 36 | 30 | 29 -EXPORTS | 1 | 2 | 8 -IMPORTS | 1 | 2 | 10 -EQ | 22 | - EXP11_.EXP = nAS_FSB & !fsb/ASrf - # A_FSB<23> & !iobs/DTACKEN & !fsb/Ready1r & - nDTACK_FSB - # A_FSB<23> & !fsb/Ready1r & nDTACK_FSB & IOACT & - !iobs/IODTACKr - # A_FSB<22> & A_FSB<21> & !iobs/DTACKEN & - !fsb/Ready1r & nDTACK_FSB - # A_FSB<22> & !cs/nOverlay & !iobs/DTACKEN & - !fsb/Ready1r & nDTACK_FSB -;Imported pterms FB3_11 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !IOACT - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/DTACKEN & iobs/IODTACKr - -MACROCELL | 2 | 13 | EXP12_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 12 -INPUTS | 18 | A_FSB<22> | A_FSB<21> | iobs/Sent | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<14> | A_FSB<23> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay | nAS_FSB | A_FSB<13> -INPUTMC | 6 | 2 | 12 | 4 | 6 | 0 | 13 | 2 | 4 | 5 | 13 | 6 | 2 -INPUTP | 12 | 30 | 29 | 19 | 36 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 18 -EXPORTS | 1 | 2 | 12 -EQ | 14 | - EXP12_.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & - !iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & !nAS_FSB & nADoutLE1 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & !nAS_FSB & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & fsb/ASrf & nADoutLE1 - -MACROCELL | 2 | 14 | EXP13_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 15 -INPUTS | 15 | A_FSB<22> | A_FSB<21> | iobs/DTACKEN | fsb/Ready1r | IOACT | iobs/IODTACKr | A_FSB<20> | cs/nOverlay | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | nADoutLE1 -INPUTMC | 6 | 4 | 9 | 2 | 15 | 5 | 17 | 0 | 4 | 6 | 2 | 5 | 13 -INPUTP | 9 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 -EXPORTS | 1 | 2 | 15 -EQ | 11 | - EXP13_.EXP = A_FSB<22> & A_FSB<21> & !iobs/DTACKEN & - !fsb/Ready1r - # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & IOACT & - !iobs/IODTACKr - # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & IOACT & - !iobs/IODTACKr - # A_FSB<22> & !cs/nOverlay & !fsb/Ready1r & IOACT & - !iobs/IODTACKr - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay & - !iobs/DTACKEN & !fsb/Ready1r & !nADoutLE1 - -MACROCELL | 4 | 3 | EXP14_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 2 -INPUTS | 18 | A_FSB<14> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | iobs/Sent | cs/nOverlay | iobs/IORW1 | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd2 -INPUTMC | 7 | 2 | 12 | 6 | 2 | 4 | 2 | 0 | 13 | 2 | 4 | 5 | 13 | 4 | 6 -INPUTP | 11 | 19 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 4 | 2 -EQ | 12 | - EXP14_.EXP = A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/IORW1 & - iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/IORW1 & - iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Sent & cs/nOverlay & iobs/IORW1 & - iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - -MACROCELL | 4 | 7 | EXP15_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 6 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<18> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | nWE_FSB | A_FSB<14> | A_FSB<13> | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 3 | 4 | 6 | 5 | 13 | 6 | 2 -INPUTP | 9 | 36 | 30 | 24 | 23 | 47 | 19 | 18 | 29 | 28 -EXPORTS | 1 | 4 | 6 -EQ | 10 | - EXP15_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 4 | 15 | EXP16_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 16 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<19> | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 2 | 5 | 13 | 6 | 2 -INPUTP | 8 | 36 | 30 | 26 | 24 | 23 | 22 | 29 | 28 -EXPORTS | 1 | 4 | 16 -EQ | 6 | - EXP16_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - nADoutLE1 - -MACROCELL | 4 | 17 | EXP17_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 16 -INPUTS | 9 | iobs/Sent | nADoutLE1 | IORW0 | iobs/IORW1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | IOL0.EXP -INPUTMC | 6 | 2 | 12 | 5 | 13 | 4 | 16 | 4 | 2 | 2 | 4 | 4 | 0 -INPUTP | 3 | 54 | 36 | 30 -EXPORTS | 1 | 4 | 16 -IMPORTS | 1 | 4 | 0 -EQ | 9 | - EXP17_.EXP = iobs/Sent & nADoutLE1 - # IORW0 & iobs/IORW1 & !nADoutLE1 - # !IORW0 & !iobs/IORW1 & !nADoutLE1 - # nAS_FSB & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !IORW0 & nADoutLE1 -;Imported pterms FB5_1 - # iobs/TS_FSM_FFd2 - # iobs/TS_FSM_FFd1 - # nWE_FSB & IORW0 & nADoutLE1 - -MACROCELL | 7 | 0 | EXP18_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 17 -INPUTS | 10 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RefReq | A_FSB<22> | nAS_FSB | fsb/ASrf | cs/nOverlay | ram/RAMEN -INPUTMC | 7 | 7 | 6 | 7 | 8 | 0 | 0 | 0 | 2 | 2 | 4 | 6 | 2 | 7 | 2 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 7 | 17 -EQ | 10 | - EXP18_.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf - -PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 4 | 6 | 7 | 2 | 2 | 2 | 1 | 2 | 10 -PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 4 | 6 | 4 | 2 | 2 | 2 | 1 | 2 | 10 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 4 | 4 | 10 | 2 | 2 | 2 | 1 | 2 | 10 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 15 | 2 | 10 | 4 | 16 | 2 | 14 | 4 | 8 | 4 | 2 | 2 | 8 | 4 | 3 | 2 | 6 | 4 | 7 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 13 | 2 | 16 | 4 | 13 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 14 | 2 | 10 | 4 | 16 | 2 | 13 | 4 | 10 | 4 | 2 | 2 | 8 | 4 | 3 | 2 | 6 | 4 | 7 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 16 | 4 | 13 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 4 | 4 | 13 | 2 | 2 | 2 | 1 | 2 | 10 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 4 | 6 | 1 | 2 | 2 | 2 | 1 | 2 | 10 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 4 | 6 | 5 | 2 | 2 | 2 | 1 | 2 | 10 -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 38 | 2 | 12 | 4 | 16 | 4 | 9 | 2 | 10 | 2 | 15 | 2 | 0 | 4 | 2 | 2 | 7 | 7 | 16 | 7 | 6 | 7 | 9 | 7 | 8 | 4 | 6 | 7 | 2 | 2 | 6 | 4 | 12 | 2 | 11 | 7 | 3 | 6 | 7 | 7 | 0 | 3 | 5 | 4 | 1 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 9 | 2 | 13 | 2 | 17 | 4 | 3 | 4 | 5 | 4 | 7 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 17 | 7 | 4 | 7 | 5 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 39 | 2 | 12 | 4 | 16 | 4 | 9 | 2 | 10 | 2 | 15 | 2 | 0 | 4 | 2 | 2 | 8 | 7 | 16 | 7 | 6 | 7 | 9 | 7 | 8 | 4 | 6 | 7 | 2 | 2 | 6 | 4 | 12 | 2 | 11 | 7 | 3 | 6 | 7 | 7 | 0 | 3 | 5 | 4 | 1 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 13 | 2 | 14 | 2 | 17 | 4 | 3 | 4 | 5 | 4 | 7 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 17 | 7 | 4 | 7 | 5 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 26 | 2 | 10 | 4 | 16 | 4 | 8 | 2 | 14 | 2 | 0 | 4 | 2 | 2 | 8 | 4 | 5 | 2 | 6 | 4 | 11 | 2 | 11 | 6 | 8 | 3 | 5 | 4 | 1 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 7 | 2 | 9 | 2 | 13 | 2 | 16 | 2 | 17 | 4 | 3 | 4 | 7 | 4 | 13 | 4 | 15 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 27 | 2 | 12 | 4 | 16 | 4 | 8 | 2 | 15 | 2 | 0 | 4 | 2 | 2 | 8 | 4 | 5 | 2 | 6 | 4 | 11 | 2 | 11 | 6 | 10 | 3 | 5 | 4 | 1 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 7 | 2 | 10 | 2 | 13 | 2 | 14 | 2 | 16 | 2 | 17 | 4 | 3 | 4 | 7 | 4 | 13 | 4 | 15 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 16 | 2 | 10 | 4 | 15 | 2 | 14 | 7 | 1 | 4 | 2 | 2 | 8 | 4 | 5 | 2 | 6 | 4 | 11 | 6 | 10 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 13 | 2 | 16 | 4 | 3 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 15 | 2 | 10 | 4 | 15 | 2 | 14 | 6 | 7 | 4 | 2 | 2 | 8 | 4 | 3 | 2 | 6 | 4 | 7 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 13 | 2 | 16 | 4 | 13 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 15 | 2 | 10 | 4 | 15 | 2 | 14 | 6 | 4 | 4 | 2 | 2 | 8 | 4 | 3 | 2 | 6 | 4 | 11 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 13 | 2 | 16 | 4 | 7 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 15 | 2 | 10 | 4 | 15 | 2 | 14 | 4 | 14 | 4 | 2 | 2 | 8 | 4 | 5 | 2 | 6 | 4 | 11 | 2 | 1 | 2 | 2 | 2 | 5 | 2 | 13 | 2 | 16 | 4 | 3 -PIN | C16M | 4096 | 0 | N/A | 33 | 20 | 5 | 1 | 5 | 3 | 0 | 16 | 5 | 9 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 | 5 | 17 | 0 | 6 | 5 | 0 | 5 | 2 | 5 | 7 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 17 | 1 | 16 | 5 | 16 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 17 | 2 | 5 | 4 | 16 | 2 | 14 | 7 | 7 | 4 | 2 | 2 | 8 | 4 | 3 | 2 | 6 | 4 | 7 | 4 | 5 | 2 | 16 | 3 | 5 | 7 | 5 | 2 | 1 | 2 | 13 | 4 | 0 | 4 | 13 -PIN | FCLK | 16384 | 0 | N/A | 42 | 66 | 2 | 12 | 3 | 17 | 6 | 0 | 3 | 11 | 3 | 15 | 3 | 16 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 12 | 7 | 12 | 7 | 13 | 7 | 15 | 6 | 9 | 6 | 6 | 6 | 3 | 4 | 16 | 3 | 13 | 3 | 9 | 4 | 9 | 0 | 12 | 4 | 0 | 0 | 17 | 3 | 14 | 3 | 12 | 2 | 10 | 2 | 15 | 2 | 0 | 0 | 15 | 4 | 2 | 0 | 14 | 2 | 8 | 7 | 14 | 7 | 17 | 7 | 6 | 7 | 9 | 7 | 8 | 0 | 0 | 4 | 6 | 7 | 2 | 0 | 13 | 7 | 16 | 7 | 10 | 2 | 2 | 2 | 6 | 2 | 17 | 0 | 2 | 0 | 1 | 0 | 11 | 0 | 10 | 2 | 5 | 4 | 12 | 3 | 8 | 2 | 11 | 2 | 3 | 0 | 4 | 3 | 6 | 7 | 3 | 0 | 3 | 3 | 3 | 5 | 13 | 3 | 1 | 2 | 4 | 4 | 4 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 30 | 2 | 12 | 6 | 2 | 2 | 13 | 4 | 9 | 2 | 10 | 2 | 15 | 4 | 2 | 2 | 7 | 7 | 17 | 7 | 6 | 7 | 9 | 7 | 8 | 4 | 6 | 7 | 2 | 2 | 6 | 2 | 17 | 4 | 12 | 2 | 11 | 2 | 4 | 7 | 3 | 4 | 5 | 2 | 16 | 3 | 10 | 7 | 1 | 3 | 5 | 7 | 5 | 7 | 7 | 2 | 9 | 4 | 17 | 7 | 0 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 7 | 5 | 0 | 15 | 4 | 1 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 17 | 0 | 14 | 7 | 7 -PIN | C8M | 8256 | 0 | N/A | 35 | 7 | 5 | 3 | 5 | 9 | 5 | 17 | 0 | 7 | 0 | 9 | 0 | 8 | 0 | 5 -PIN | E | 64 | 0 | N/A | 37 | 2 | 0 | 7 | 0 | 11 -PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 10 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 2 | 0 | 9 | 7 | 11 -PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 0 | 8 | 0 | 4 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 16 -PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 -PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 -PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 14 -PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 10 -PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 8 -PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 4 | 10 -PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 1 | 4 | 14 +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 13 | 3 | 17 | 3 | 13 | 3 | 14 | 3 | 10 | 2 | 9 | 3 | 12 | 4 | 1 | 0 | 0 | 0 | 17 | 3 | 0 | 3 | 9 | 2 | 8 | 3 | 5 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 14 | 3 | 17 | 3 | 13 | 3 | 14 | 3 | 10 | 2 | 9 | 3 | 12 | 4 | 1 | 0 | 0 | 2 | 7 | 3 | 0 | 3 | 9 | 2 | 8 | 3 | 5 | 0 | 17 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 12 | 2 | 9 | 3 | 10 | 3 | 14 | 4 | 1 | 0 | 0 | 3 | 12 | 3 | 0 | 3 | 9 | 2 | 8 | 3 | 5 | 0 | 17 | 3 | 17 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 12 | 2 | 9 | 3 | 10 | 3 | 14 | 4 | 1 | 0 | 0 | 3 | 12 | 3 | 0 | 3 | 9 | 2 | 8 | 3 | 5 | 0 | 17 | 3 | 17 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 4 | 3 | 10 | 7 | 1 | 4 | 10 | 2 | 9 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 3 | 3 | 10 | 6 | 7 | 2 | 9 +PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 5 | 9 | 5 | 1 | 1 | 16 | 0 | 15 | 0 | 12 | 0 | 11 | 0 | 14 | 0 | 13 | 0 | 0 | 1 | 14 +PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 15 | 6 | 14 | 5 | 9 | 5 | 6 | 5 | 5 | 5 | 12 | 6 | 17 | 5 | 7 | 6 | 9 | 6 | 2 | 5 | 17 | 6 | 15 | 6 | 16 | 6 | 0 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 +PIN | FCLK | 16384 | 0 | N/A | 42 | 65 | 2 | 17 | 3 | 17 | 6 | 3 | 6 | 12 | 1 | 17 | 2 | 15 | 2 | 14 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 7 | 17 | 4 | 15 | 2 | 9 | 0 | 10 | 3 | 13 | 3 | 14 | 7 | 12 | 4 | 0 | 7 | 10 | 7 | 9 | 7 | 8 | 7 | 11 | 3 | 10 | 7 | 14 | 3 | 8 | 3 | 15 | 2 | 6 | 2 | 13 | 7 | 15 | 5 | 4 | 3 | 12 | 0 | 17 | 0 | 16 | 7 | 0 | 7 | 13 | 3 | 16 | 3 | 11 | 2 | 7 | 1 | 15 | 3 | 3 | 7 | 2 | 2 | 3 | 3 | 6 | 7 | 6 | 6 | 6 | 3 | 9 | 2 | 5 | 3 | 2 | 3 | 0 | 7 | 16 | 2 | 4 | 2 | 8 | 5 | 13 | 3 | 1 | 7 | 4 | 7 | 3 | 4 | 4 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 26 | 3 | 17 | 7 | 17 | 0 | 10 | 3 | 13 | 7 | 5 | 7 | 12 | 7 | 11 | 3 | 10 | 3 | 14 | 7 | 15 | 3 | 12 | 0 | 0 | 0 | 15 | 7 | 13 | 3 | 15 | 3 | 1 | 3 | 6 | 3 | 9 | 7 | 3 | 7 | 7 | 7 | 16 | 4 | 5 | 2 | 16 | 2 | 8 | 3 | 5 | 7 | 0 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 12 | 3 | 0 | 3 | 13 | 3 | 14 | 3 | 12 | 3 | 16 | 3 | 11 | 4 | 5 | 2 | 16 | 2 | 8 | 3 | 5 | 7 | 5 | 7 | 7 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 1 | 16 +PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 1 | 0 | 15 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 7 | 10 | 0 | 17 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 7 | 9 | 0 | 16 | 7 | 7 +PIN | E | 64 | 0 | N/A | 37 | 6 | 0 | 12 | 0 | 11 | 0 | 14 | 0 | 13 | 2 | 7 | 0 | 0 +PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 1 | 15 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 14 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 1 | 6 | 1 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 1 | 4 | 8 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 1 | 4 | 11 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 1 | 4 | 14 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 1 | 6 | 4 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 1 | 6 | 10 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 1 | 4 | 13 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 1 | 6 | 8 +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 1 | 6 | 5 PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 PIN | nAS_IOB | 536871040 | 0 | N/A | 135 PIN | nLDS_IOB | 536871040 | 0 | N/A | 130 PIN | nUDS_IOB | 536871040 | 0 | N/A | 134 -PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 +PIN | nBERR_FSB | 536871040 | 0 | N/A | 112 +PIN | nVPA_FSB | 536871040 | 0 | N/A | 147 PIN | nBR_IOB | 536871040 | 0 | N/A | 116 -PIN | RA<0> | 536871040 | 0 | N/A | 86 +PIN | nOE | 536871040 | 0 | N/A | 60 +PIN | nROMWE | 536871040 | 0 | N/A | 57 +PIN | nADoutLE0 | 536871040 | 0 | N/A | 139 +PIN | nCAS | 536871040 | 0 | N/A | 59 +PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 +PIN | nDinLE | 536871040 | 0 | N/A | 140 PIN | RA<1> | 536871040 | 0 | N/A | 79 -PIN | RA<2> | 536871040 | 0 | N/A | 69 -PIN | RA<3> | 536871040 | 0 | N/A | 64 PIN | RA<4> | 536871040 | 0 | N/A | 63 PIN | RA<5> | 536871040 | 0 | N/A | 68 PIN | RA<6> | 536871040 | 0 | N/A | 72 PIN | RA<7> | 536871040 | 0 | N/A | 82 -PIN | RA<8> | 536871040 | 0 | N/A | 88 PIN | RA<9> | 536871040 | 0 | N/A | 92 -PIN | nBERR_FSB | 536871040 | 0 | N/A | 112 -PIN | nDoutOE | 536871040 | 0 | N/A | 143 -PIN | nOE | 536871040 | 0 | N/A | 60 -PIN | nROMWE | 536871040 | 0 | N/A | 57 -PIN | nVPA_FSB | 536871040 | 0 | N/A | 147 -PIN | nADoutLE0 | 536871040 | 0 | N/A | 139 -PIN | nCAS | 536871040 | 0 | N/A | 59 -PIN | nDinLE | 536871040 | 0 | N/A | 140 -PIN | nRAS | 536871040 | 0 | N/A | 103 -PIN | RA<11> | 536871040 | 0 | N/A | 102 +PIN | RA<2> | 536871040 | 0 | N/A | 69 PIN | RA<10> | 536871040 | 0 | N/A | 90 +PIN | RA<8> | 536871040 | 0 | N/A | 88 +PIN | RA<3> | 536871040 | 0 | N/A | 64 +PIN | RA<11> | 536871040 | 0 | N/A | 102 +PIN | RA<0> | 536871040 | 0 | N/A | 86 PIN | nADoutLE1 | 536871040 | 0 | N/A | 136 PIN | nAoutOE | 536871040 | 0 | N/A | 141 PIN | nDinOE | 536871040 | 0 | N/A | 144 +PIN | nDoutOE | 536871040 | 0 | N/A | 143 PIN | nRAMLWE | 536871040 | 0 | N/A | 104 PIN | nRAMUWE | 536871040 | 0 | N/A | 105 +PIN | nRAS | 536871040 | 0 | N/A | 103 PIN | nROMCS | 536871040 | 0 | N/A | 58 -PIN | C25MEN | 536871040 | 0 | N/A | 95 PIN | C20MEN | 536871040 | 0 | N/A | 96 -PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 6 | 2 | 0 | 5 +PIN | C25MEN | 536871040 | 0 | N/A | 95 +PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 0 | 15 | 0 | 10 diff --git a/cpld/XC95144XL/WarpSE.mod b/cpld/XC95144XL/WarpSE.mod new file mode 100644 index 0000000..eebe67e --- /dev/null +++ b/cpld/XC95144XL/WarpSE.mod @@ -0,0 +1,170 @@ +MODEL +MODEL_VERSION "v1998.8"; +DESIGN "WarpSE"; + +/* port names and type */ +INPUT S:PIN24 = A_FSB<23>; +INPUT S:PIN20 = A_FSB<22>; +INPUT S:PIN19 = A_FSB<21>; +INPUT S:PIN18 = A_FSB<20>; +INPUT S:PIN17 = A_FSB<19>; +INPUT S:PIN16 = A_FSB<18>; +INPUT S:PIN23 = C8M; +INPUT S:PIN22 = C16M; +INPUT S:PIN27 = FCLK; +INPUT S:PIN32 = nAS_FSB; +INPUT S:PIN29 = nWE_FSB; +INPUT S:PIN76 = nBERR_IOB; +INPUT S:PIN78 = nDTACK_IOB; +INPUT S:PIN30 = nLDS_FSB; +INPUT S:PIN33 = nUDS_FSB; +INPUT S:PIN25 = E; +INPUT S:PIN92 = nIPL2; +INPUT S:PIN77 = nVPA_IOB; +INPUT S:PIN8 = A_FSB<10>; +INPUT S:PIN9 = A_FSB<11>; +INPUT S:PIN10 = A_FSB<12>; +INPUT S:PIN11 = A_FSB<13>; +INPUT S:PIN12 = A_FSB<14>; +INPUT S:PIN13 = A_FSB<15>; +INPUT S:PIN14 = A_FSB<16>; +INPUT S:PIN15 = A_FSB<17>; +INPUT S:PIN7 = A_FSB<9>; +TRIOUT S:PIN91 = nRES; +TRIOUT S:PIN74 = nVMA_IOB; +TRIOUT S:PIN81 = nAS_IOB; +TRIOUT S:PIN79 = nLDS_IOB; +TRIOUT S:PIN80 = nUDS_IOB; +OUTPUT S:PIN70 = nBERR_FSB; +OUTPUT S:PIN93 = nVPA_FSB; +OUTPUT S:PIN72 = nBR_IOB; +OUTPUT S:PIN37 = nOE; +OUTPUT S:PIN34 = nROMWE; +OUTPUT S:PIN85 = nADoutLE0; +OUTPUT S:PIN36 = nCAS; +OUTPUT S:PIN28 = nDTACK_FSB; +OUTPUT S:PIN86 = nDinLE; +OUTPUT S:PIN50 = RA<1>; +OUTPUT S:PIN40 = RA<4>; +OUTPUT S:PIN42 = RA<5>; +OUTPUT S:PIN46 = RA<6>; +OUTPUT S:PIN52 = RA<7>; +OUTPUT S:PIN56 = RA<9>; +OUTPUT S:PIN43 = RA<2>; +OUTPUT S:PIN55 = RA<10>; +OUTPUT S:PIN54 = RA<8>; +OUTPUT S:PIN41 = RA<3>; +OUTPUT S:PIN63 = RA<11>; +OUTPUT S:PIN53 = RA<0>; +OUTPUT S:PIN82 = nADoutLE1; +OUTPUT S:PIN87 = nAoutOE; +OUTPUT S:PIN90 = nDinOE; +OUTPUT S:PIN89 = nDoutOE; +OUTPUT S:PIN65 = nRAMLWE; +OUTPUT S:PIN66 = nRAMUWE; +OUTPUT S:PIN64 = nRAS; +OUTPUT S:PIN35 = nROMCS; +OUTPUT S:PIN59 = C20MEN; +OUTPUT S:PIN58 = C25MEN; + +/* timing arc definitions */ +A_FSB<9>_RA<0>_delay: DELAY A_FSB<9> RA<0>; +A_FSB<17>_RA<10>_delay: DELAY A_FSB<17> RA<10>; +A_FSB<19>_RA<11>_delay: DELAY A_FSB<19> RA<11>; +A_FSB<10>_RA<1>_delay: DELAY A_FSB<10> RA<1>; +A_FSB<16>_RA<2>_delay: DELAY A_FSB<16> RA<2>; +A_FSB<19>_RA<3>_delay: DELAY A_FSB<19> RA<3>; +A_FSB<11>_RA<4>_delay: DELAY A_FSB<11> RA<4>; +A_FSB<12>_RA<5>_delay: DELAY A_FSB<12> RA<5>; +A_FSB<13>_RA<6>_delay: DELAY A_FSB<13> RA<6>; +A_FSB<14>_RA<7>_delay: DELAY A_FSB<14> RA<7>; +A_FSB<18>_RA<8>_delay: DELAY A_FSB<18> RA<8>; +A_FSB<15>_RA<9>_delay: DELAY A_FSB<15> RA<9>; +nAS_FSB_nDinOE_delay: DELAY nAS_FSB nDinOE; +nWE_FSB_nDinOE_delay: DELAY nWE_FSB nDinOE; +A_FSB<23>_nDinOE_delay: DELAY A_FSB<23> nDinOE; +A_FSB<22>_nDinOE_delay: DELAY A_FSB<22> nDinOE; +A_FSB<20>_nDinOE_delay: DELAY A_FSB<20> nDinOE; +A_FSB<21>_nDinOE_delay: DELAY A_FSB<21> nDinOE; +nWE_FSB_nOE_delay: DELAY nWE_FSB nOE; +nAS_FSB_nOE_delay: DELAY nAS_FSB nOE; +nWE_FSB_nRAMLWE_delay: DELAY nWE_FSB nRAMLWE; +nAS_FSB_nRAMLWE_delay: DELAY nAS_FSB nRAMLWE; +nLDS_FSB_nRAMLWE_delay: DELAY nLDS_FSB nRAMLWE; +nUDS_FSB_nRAMUWE_delay: DELAY nUDS_FSB nRAMUWE; +nWE_FSB_nRAMUWE_delay: DELAY nWE_FSB nRAMUWE; +nAS_FSB_nRAMUWE_delay: DELAY nAS_FSB nRAMUWE; +A_FSB<20>_nROMCS_delay: DELAY A_FSB<20> nROMCS; +A_FSB<22>_nROMCS_delay: DELAY A_FSB<22> nROMCS; +A_FSB<23>_nROMCS_delay: DELAY A_FSB<23> nROMCS; +A_FSB<21>_nROMCS_delay: DELAY A_FSB<21> nROMCS; +nAS_FSB_nROMWE_delay: DELAY nAS_FSB nROMWE; +nWE_FSB_nROMWE_delay: DELAY nWE_FSB nROMWE; +FCLK_nRES_delay: DELAY (ENABLE_HIGH) FCLK nRES; +FCLK_nVMA_IOB_delay: DELAY (ENABLE_HIGH) FCLK nVMA_IOB; +FCLK_nAS_IOB_delay: DELAY (ENABLE_HIGH) FCLK nAS_IOB; +FCLK_nLDS_IOB_delay: DELAY (ENABLE_HIGH) FCLK nLDS_IOB; +FCLK_nUDS_IOB_delay: DELAY (ENABLE_HIGH) FCLK nUDS_IOB; +FCLK_nBERR_FSB_delay: DELAY FCLK nBERR_FSB; +FCLK_nVPA_FSB_delay: DELAY FCLK nVPA_FSB; +FCLK_nBR_IOB_delay: DELAY FCLK nBR_IOB; +FCLK_nADoutLE0_delay: DELAY FCLK nADoutLE0; +FCLK_nCAS_delay: DELAY FCLK nCAS; +FCLK_nDTACK_FSB_delay: DELAY FCLK nDTACK_FSB; +FCLK_nADoutLE1_delay: DELAY FCLK nADoutLE1; +FCLK_nAoutOE_delay: DELAY FCLK nAoutOE; +FCLK_nDinOE_delay: DELAY FCLK nDinOE; +FCLK_nDoutOE_delay: DELAY FCLK nDoutOE; +FCLK_nRAMLWE_delay: DELAY FCLK nRAMLWE; +FCLK_nRAMUWE_delay: DELAY FCLK nRAMUWE; +FCLK_nRAS_delay: DELAY FCLK nRAS; +FCLK_nROMCS_delay: DELAY FCLK nROMCS; +C16M_nAS_IOB_delay: DELAY (ENABLE_HIGH) C16M nAS_IOB; +C16M_nLDS_IOB_delay: DELAY (ENABLE_HIGH) C16M nLDS_IOB; +C16M_nUDS_IOB_delay: DELAY (ENABLE_HIGH) C16M nUDS_IOB; +C16M_nADoutLE0_delay: DELAY C16M nADoutLE0; +C16M_nDinLE_delay: DELAY C16M nDinLE; +C16M_nDoutOE_delay: DELAY C16M nDoutOE; +C8M_nVMA_IOB_delay: DELAY (ENABLE_HIGH) C8M nVMA_IOB; + +/* timing check arc definitions */ +A_FSB<18>_FCLK_setup: SETUP(POSEDGE) A_FSB<18> FCLK; +A_FSB<19>_FCLK_setup: SETUP(POSEDGE) A_FSB<19> FCLK; +A_FSB<20>_FCLK_setup: SETUP(POSEDGE) A_FSB<20> FCLK; +A_FSB<21>_FCLK_setup: SETUP(POSEDGE) A_FSB<21> FCLK; +A_FSB<22>_FCLK_setup: SETUP(POSEDGE) A_FSB<22> FCLK; +A_FSB<23>_FCLK_setup: SETUP(POSEDGE) A_FSB<23> FCLK; +E_FCLK_setup: SETUP(POSEDGE) E FCLK; +nAS_FSB_FCLK_setup: SETUP(POSEDGE) nAS_FSB FCLK; +nIPL2_FCLK_setup: SETUP(POSEDGE) nIPL2 FCLK; +nLDS_FSB_FCLK_setup: SETUP(POSEDGE) nLDS_FSB FCLK; +nRES_FCLK_setup: SETUP(POSEDGE) nRES FCLK; +nUDS_FSB_FCLK_setup: SETUP(POSEDGE) nUDS_FSB FCLK; +nWE_FSB_FCLK_setup: SETUP(POSEDGE) nWE_FSB FCLK; +A_FSB<18>_FCLK_hold: HOLD(POSEDGE) A_FSB<18> FCLK; +A_FSB<19>_FCLK_hold: HOLD(POSEDGE) A_FSB<19> FCLK; +A_FSB<20>_FCLK_hold: HOLD(POSEDGE) A_FSB<20> FCLK; +A_FSB<21>_FCLK_hold: HOLD(POSEDGE) A_FSB<21> FCLK; +A_FSB<22>_FCLK_hold: HOLD(POSEDGE) A_FSB<22> FCLK; +A_FSB<23>_FCLK_hold: HOLD(POSEDGE) A_FSB<23> FCLK; +E_FCLK_hold: HOLD(POSEDGE) E FCLK; +nAS_FSB_FCLK_hold: HOLD(POSEDGE) nAS_FSB FCLK; +nIPL2_FCLK_hold: HOLD(POSEDGE) nIPL2 FCLK; +nLDS_FSB_FCLK_hold: HOLD(POSEDGE) nLDS_FSB FCLK; +nRES_FCLK_hold: HOLD(POSEDGE) nRES FCLK; +nUDS_FSB_FCLK_hold: HOLD(POSEDGE) nUDS_FSB FCLK; +nWE_FSB_FCLK_hold: HOLD(POSEDGE) nWE_FSB FCLK; +C8M_C16M_setup: SETUP(POSEDGE) C8M C16M; +C8M_C16M_hold: HOLD(POSEDGE) C8M C16M; +E_C8M_setup: SETUP(POSEDGE) E C8M; +nBERR_IOB_C8M_setup: SETUP(POSEDGE) nBERR_IOB C8M; +nDTACK_IOB_C8M_setup: SETUP(POSEDGE) nDTACK_IOB C8M; +nRES_C8M_setup: SETUP(POSEDGE) nRES C8M; +nVPA_IOB_C8M_setup: SETUP(POSEDGE) nVPA_IOB C8M; +E_C8M_hold: HOLD(POSEDGE) E C8M; +nBERR_IOB_C8M_hold: HOLD(POSEDGE) nBERR_IOB C8M; +nDTACK_IOB_C8M_hold: HOLD(POSEDGE) nDTACK_IOB C8M; +nRES_C8M_hold: HOLD(POSEDGE) nRES C8M; +nVPA_IOB_C8M_hold: HOLD(POSEDGE) nVPA_IOB C8M; + +ENDMODEL diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index adaa446..2b905ec 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$4f14c<,Fz_t)*@pUz'B]YCK->?4$NrW|!"Hx]r/JUQKC%64[LHQ,8?8"HV__uqjiwciidooSck{_0g8 JvSp-.D|Yv+FY]GG!20W@D](<;<.P03?!Iw\q./C}Zw$GZ\@F"3?VCEZ)?:3/WJI0=#Zl|/;6&?:183-c=/83:1<$.'0;294,773!;;<=4>01295567!890$0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(f8,1672=:;69>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5<5=2:036>45:288=95=3;KMTPR=IVLXN1<<:0<1?7253;?97?9=;3:1?7?63:80?=<43308764<;=80?8<43708727<<;1?8?5:539627=20;1>5<592:406>03:2<>>6891:51?2653>897::=;641?2153>297:7>;908<54<08804?<48408<34<0>8044?4939:47=>9;12>?56339:07=>=;12:?56749BH@6J?2KGI=C!D99BH@6J&M;37LBJ0L,G6a=FDL:F"IGKM9G%H?Q_T^34?DJB8D$Z56OCE1O-V@A23HFN<^94AMG3W+B?3HFN<^ K199BH@6T&M827LBJ0R,F\Uc5NNE;8EKHH\H^NH45N_GQA8469l2KTJ^L311<-TDB>3HUM_O2>1?f8EZ@TJ5;:2#^ND89B[CUE4885h6OPFR@?578)XHN27LQISC>27;b/RB@<=FWOYI0<:1d:C\BVD;9=4%\LJ6;@]EWG:6=7n0MRH\B=36:+VFL01JSK]M<04=`>GXNZH7=;0!P@F:?DYA[K6:;3j4A^DPF9706'ZJH45N_GQA84>9l2KTJ^L319<-TDB>3HUM_O2>9?f8EZ@TJ5;22#^ND99B[CUE484h7LQISC>2:+VFL01JSK]M<32=`>GXNZH7>=0!P@F:?DYA[K69=3j4A^DPF9466'ZJH45N_GQA8749l2KTJ^L323<-TDBe3HUM_O2=3;3==>GXNZH7>>0k;@]EWG:5;7$[MI64A^DPF949k2KTJ^L32?,SEA>9k2KTJ^L38?,SEA>7^KAQCb0MRH\B^3:[LDRN-H^J= K149B[CUEW83TEO[I$CWE4+B69<1JSK]M_0;\MGSA,K_M<#J=179B[CUEW83TEO[I$CWE4+C_X8h0MRH\B^3:[LDRN-H^J= JXQ]SPZ66j2KTJ^LP18]JFP@#J\L;"HV__QV\54dGXNZHT=4QFBTD'FP@7&LR[S]ZP3358EZ@TJV;2SDLZF%@VB5(BPYUdc}eocnaaYim}U:86OPFR@\5GXNZHT>7LQISC]15ZOE]O.IYK>!D036?DYA[KU9=RGMUG&AQC6)L;;?7LQISC]15ZOE]O.IYK>!Qe9B[CUEW;8TEO[Id:C\BVDX::UBNXHl;@]EWGY5W@H^Jn5N_GQA[6YNJ\Lh7LQISC]7[LDRNj1JSK]M_4]JFP@d3HUM_OQ9_H@VBf=FWOYIS:QFBTD`?DYA[KU3SDLZFb9B[CUEW0UBNXH9;@mvpJC43K_M46LZS^KMBJ26L,SEAc0:A11HCIWFH^J)LZF131?F42ELDTCO[I$CWE4+B6;2I99@KA_N@VB!DRN9$O=<=4C37NAKYHJ\L/NXH?.E026>E5=DOESBLZF%@VB5(Vl2I99@KA_N@VB!Wc3J8>AH@POCWE*AcJW@H^Jh5L8L]JFP@(NJF@!?5LE29@HN?Bbdhs:7H;4E/RB@d=BPY;;S#KWPg9F\U77W'OS\R^[_1d8A]V68V$NT]Q_T^3e?@^W99U%IU^PPU]1b>C_X8:T"HV__QV\7c=BPY;;S#KWP^RW[1`P.DZS[qune{oem`kk_ogw[d=BPY;:S#KWPg9F\U76W'OS\R^[_1d8A]V69V$NT]Q_T^3e?@^W98U%IU^PPU]1b>C_X8;T"HV__QV\7c=BPY;:S#KWP^RW[1`C_X88T"HV__QV\7c=BPY;9S#KWP^RW[17e3LR[=?Q!EYR\pvojzldjahjPndv\e>C_X89T"HV_f:G[T45X&LR[S]ZP0g9F\U74W'OS\R^[_0d8A]V6;V$NT]Q_T^0e?@^W9:U%IU^PPU]0b>C_X89T"HV__QV\04d7^,F\UYW\V:m7HV_16]-A]VXX]U:j6KWP05\*@^WWY^T>k5JXQ34[+C_XVZ_S>h4EYR23Z(BPYU[XR:i;DZS52Y)MQZT\YQ:1c9F\U70W'OS\Rz|ilpfjdkblVdnxRo4EYR28^,F\UYW\V:m7HV_19]-A]VXX]U:j6KWP0:\*@^WWY^T>k5JXQ3;[+C_XVZ_S>h4EYR2b:G[T4>X&LR[Sy}fmsgmehccWgoS;5J_H@VB2=Blno]ma:4FBNH<>@DDB$[MIk4FBNH[LDRN&LH@Fh4FBNH[LDRN&LH@F#=;GD0?Bhc:2CE?6GA029JJ42:4IO370>OI9<>0EC?94:KM522OI<:1BB8=4IO40?LH0;2CE4>5FN8;8MKOS[]K_I85FO@AW3>OHIJ^%H55FO@AW*A7?3@EJOY K2e9JKDES&M8T\YQ?d:KLEFR)L;U[XR?k;HMBGQ(C:VZ_S?j4INC@P+B5WY^T?i5FO@AW*A4XX]U?h6G@ABV-@7YW\V?<7DANCU,R=>OHIJ^%^HI;;HMO42=NGE:%OH84INN3*A1OHD9$NT]j4INN3*@^WWY^Ti5FOSGR*A4XX]U;h6G@RDS-@7YW\V;o7DA]EP,G6ZVSW;n0EB\JQ/F1[URX;m1BC_K^.E0\TQY3l2CD^H_!D3]SPZ3c3@EYI\ K2^RW[31OHZ^:%H?j4INPT4+B5WY^T!D3]SPZ003@EY[= ^9:KLVR6)ZLM::6G@RV2\pvojk{ojxhjPxnp\0>OH]9=0EB[?.BG5?LIR8'N<7DAZ0/F23>OH]9$O>n5FOT2-@7YW\V:h7DAZ0/F1[URX9?1BCX>!Q99JKP6)ZLM37D`{Ddnb}6=KGJ=0@BIFC@N`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`=;O26?K6#GLi0B= MUGMF*JSS<2D;"I;4N1,G50=I8'N986@?.P58J5(SZZ^87C>>7:LFPRIUC:1DBH<4OS18KPR43YKO86^NRU58TLHIIDOj7]GA_CWPMA^e3YCESO[\N@OF2>Vbz`eo46^`ruRbpm32XJ0<>1a:PB8469&YKO46\N<0394;025;(WIM?0^L2>>89QE979&YKO96\N<3<:?WG;:7$[MI;4R@>0:<=UI595"]OK5:PB818>3[K783 _AE78VD:2601YM1;1.QCG1>TF4?427_O36?,SEA389QE9?9&YKO56\N_1]LFP@e3[KTTFW9UDNXH!D0a8VDY7WFH^J#J=109QEZ6XGK_M"I_N@VB+B5WY^T<TFW;UDNXH!D332?WGX:VEIYK K2^RW[5763[KT>RAMUG,G6ZVSW8n0^LQ=_N@VB+C_X880^LQ=_N@VB+C_XVZ_S=?=;SC\6ZIE]O$NT]Q_T^326>TFW;UDNXH!EYR\TQY59;1YMR1:PB[6YHJ\L%H?Q_T^225>TFW:UDNXH!D3]SPZ7c3[KT?RAMUG,F\U753[KT?RAMUG,F\UYW\V::>6\N_2]LFP@)MQZT\YQ>1d9QEZ5XGK_M"HV__uqjiwciidooSck{_c9QEZ5XGK_M"\74R@]7[JDRNk1YMR:POCWE*AeTFW=UDNXH!D332?WGX3[KT9RAMUG`8VDY2WFH^J#Jl;SC\1ZIE]O$O=n5]A^7\KGSA&M8o7_OP5^MAQC(BPY;97_OP5^MAQC(BPYU[XR>>2:PB[0YHJ\L%IU^PPU]257=UIV?TCO[I.DZS[URX:880^LQ:_N@VB+C_XVZ_S>?=;SC\1ZIE]O$NT]Q_T^62a>TFWTFWc:PB[2YHJ\L%H??>;SC\3ZIE]O$O>R^[_132?WGX?VEIYK K2^RW[4d1:PB[=YHJ\L%H?Q_T^025>TFW1UDNXH!D3]SPZ5692XJS5Q@BTD-@7YW\V>i7_OP8^MAQC(V12XJS4Q@BTDa?WGX1VEIYK Kc:PB[TFW0UDNXH!Q29QWQ03[omYi!CD:8V``Rzn$O56\jfTpd*A7>3[omYi!D3g8V``Rzn$O>R^[_1g8V``Rzn$O>R^[_0g8V``Rzn$O>R^[_3g8V``Rzn$O>R^[_2:8V``Rzn$Zm6\jfTpd*WC@9>1Yik[}g^vpmheumh~nhRv`r^18W@R>3Zoy}F`le18PWO33]S[In5ZSDP\RLUNJEO27[GJW^VZT@5<^ji=7Zo}pRGb?RguxZO%bjo:;Vkgpm4d3QI29<:;XM/24(RV99:+$^~jee"Emic=(_oydaa 2,3-1=_LDF:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C87UA]6:ZgfZOcj2RodR^}ilKmrf=_laU[~dc[yqge?]boWYxba[k}shmm<>^c`V^bahj4Xej\PlkbKaohgi5Wdi]WmhcCmekr==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo68^@TH12iex"K}<1.DZS[URX98h0ocz IOKWWZ@TEVLMh< JXQ]SPZ46j2iex"GAIUQ\BVKXNOn:"HV__QV\7714:amp*OIA]YTJ^CPFGf2*T713jd#D@FTR]EWHYANm;%^HI=3:amp*OIA]YTJ^CPFGf2[qunejxnmykk_ymq[441119`jq)K\`gn~1>1.BGe?fhs'E^bah|30?,G55=df}%GXdcjr=2=*A7682iex"B[ilgq858)L;l0ocz LUknaw:76'[:=6mat.NWmhcu494%^HI>e:amp*JSadoy0=0Ptrkngwcf|lnTtb|Pe:amp*JSadoy0<>1109`jq)K\`gn~1??>/AF55=df}%GXdcjr=33:+B692iex"B[ilgq8469&M;:=6mat.NWmhcu48:5"I<>0:amp*JSadoy0<>1.P31?fhs'E^bah|311<-V@A6n2iex"B[ilgq8469W}yban|jaugg[}iuWl1hby!CThofv97668;0ocz LUknaw:697$HI<>4cov,HQojm{6:=3 K109`jq)K\`gn~1?>>/F254=df}%GXdcjr=32:+B5991hby!CThofv9766'[:>6mat.NWmhcu48;5"_KH1g9`jq)K\`gn~1?>>^vpmheumh~nhRv`r^g8gkr(D]cfi2>2?32?fhs'E^bah|313<-G@773jd#AZfmdp?578)L8;0ocz LUknaw:6:7$O=3 K2028gkr(D]cfi2>2?,R57=df}%GXdcjr=31:+TBO8l0ocz LUknaw:6:7UdclrdcwaaYg{Uo7n`{/MVji`t;97;;7n`{/MVji`t;97$HIk5lnu-OPlkbz5;5"I??;blw+IRnelx7=3 K1028gkr(D]cfi2>>/F1b>ei|&F_e`k}<0<-U472:+TBO8o0ocz LUknaw:66V~xe`m}e`vf`Z~hzVn0ocz LUknaw:568:0ocz LUknaw:56'INj6mat.NWmhcu4;4%H<>4cov,HQojm{692#J>119`jq)K\`gn~1<1.E0e?fhs'E^bah|32?,R54=df}%GXdcjr=0=*WC@9l1hby!CThofv949W}yban|jaugg[}iuWm1hby!CThofv959991hby!CThofv959&JOm7n`{/MVji`t;;7$O==5lnu-OPlkbz595"I?>0:amp*JSadoy0>0!D3d8gkr(D]cfi2<>/S25>ei|&F_e`k}<2<-V@A6m2iex"B[ilgq868X|zcfokntdf\|jtXl2iex"B[ilgq818682iex"B[ilgq818)KLl0ocz LUknaw:36'N:<6mat.NWmhcu4=4%H4cov,HQojm{6>2#J=f:amp*JSadoy080!Q038gkr(D]cfi2:>/PFC4c6:Zrtadiyilzjd^zlvZb5:465:+EBn2iex"B[ilgq838)L8:0ocz LUknaw:16'N:==5lnu-OPlkbz5<5"I4%H?h4cov,HQojm{6<2#_>1:amp*JSadoy0:0!RDE2a>ei|&F_e`k}<6<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<9<24>ei|&F_e`k}<9<-G@`;:+B682iex"B[ilgq8=8)L8;;7n`{/MVji`t;07$O>k5lnu-OPlkbz525"\?>;blw+IRnelx743 ]EF3f?fhs'E^bah|38?]wwlkdzlkiiQwos]g?fhs'E^bah|39?33?fhs'E^bah|39?,@Ac=df}%GXdcjr=;=*A773jd#AZfmdp?=;(C98:0ocz LUknaw:>6'N9j6mat.NWmhcu404%]^vpmheumh~nhRv`r^a8gkr(D]cfiZLf:amp*JSadoyXN LEd9`jq)K\`gn~YM!Dg9`jq)K\`gn~YM!D0d8gkr(D]cfiZL.E0f?fhs'E^bah|[C/S24>ei|&F_e`k}TB,QABeei|&^bah|30?,@A`=df}%_e`k}<1<-@c=df}%_e`k}<1<-@4`3:+W682iex"Zfmdp?4;(UMN;o7n`{/Uknaw:76V~xe`m}e`vf`Z~hzVi0ocz Thofv979n2iex"Zfmdp?5;(DMl1hby![ilgq848)Lo1hby![ilgq848)L8l0ocz Thofv979&M8:86mat.Vji`t;97$O>R^[_137?fhs']cfi2>>/F1[URX98>0ocz Thofv979&M8T\YQ=e:amp*Rnelx7=3 ^119`jq)Sadoy0<0!RDE`?fhs']cfi2=>g9`jq)Sadoy0?0!CDg8gkr(\`gn~1<1.Ed8gkr(\`gn~1<1.E3e?fhs']cfi2=>/F151=df}%_e`k}<3<-@7YW\V::86mat.Vji`t;:7$O>R^[_037?fhs']cfi2=>/F1[URX:8>0ocz Thofv949&M8T\YQ3 ^119`jq)Sadoy0?0!RDEa?fhs']cfiZLe:amp*Rnelx_O#MJd:amp*Rnelx_O#Jj;blw+Qojm{^H"I?j;blw+Qojm{^H"I}.Eg8bvd(Zlkou=|!D0g8bvd(Zlkou=|!D330?cue'[ojht>}.E0\TQY79:1mo!]e`fz4w(C:VZ_S4:dpf*Tbims;~#KWP^RW[5733oyi#_kndx2q*@^WWY^T=<:4fr`,V`gcq9x%IU^PPU]165=a{k%Yiljv0s,F\UYs{`gyicobee]maqYc3oyi#_kndx2q*T``tj&Xnmiw>r/Ff?cue'[ojht?}.E3f?cue'[ojht?}.E027>`tj&Xnmiw>r/F1[URX8890j~l Rdcg}4t)L;U[XR?>3:dpf*Tbims:~#J=_QV\645ohjd%II_\}f/F2a>ohjd%II_\}f/F1`>ohjd%II_\}f/Se?liee&HN^_|i.SGDg>ohjd%OXLMDrgg8mjdj'M^JOF|i.Ed8mjdj'M^JOF|i.E3e?liee&N_MNE}f/F1a>ohjd%OXLMDrg,R55=ngkg$HYOLKsd-V@Ae3`eia"J`uuMF`>ohjd%Ocxz@E/Ff?liee&NdyyAJ.E3f?liee&NdyyAJ.E027>ohjd%Ocxz@E/F1[URX8890eblb/EmvpJC)L;U[XR?>3:klfh)Cg|~DI#J=_QV\6a=ngkg$Hb{{OD,Rb>ohjd%Ocxz@E/PFCd=ngkg$I^2?>b9jkgk(MZ6;2#Jk;hmai*CT494%H<<4in`n+@U;87$O>R^[_131?liee&OX0=0!D3]SPZ76:2cdn`!JS=2=*A4XX]U9o6g`bl-FW969&Xo0eblb/DQ?4;(UMN;i7damm.GP858X|zcfokntdf\|jtXi2cdn`!JS=3=g>ohjd%N_1?1.Ef8mjdj'LY7=3 K1e9jkgk(MZ6:2#J=139jkgk(MZ6:2#J=_QV\4441:+Bc3`eia"K\<3<-@4b2:klfh)B[585"I/F1[URX;880eblb/DQ?6;(C:VZ_S9m4in`n+@U;:7$Zi6g`bl-FW949&[OLm6g`bl-FW959k2cdn`!JS=1=*Ab/F157=ngkg$I^2<>/F1[URX8880eblb/DQ?7;(C:VZ_S0!Qd9jkgk(MZ682#\JG0`8mjdj'LY7?3Q{sho`v`gsmmUscQn;hmai*CT4=4h7damm.GP818)Lm1bcoc ER>7:+B6l2cdn`!JS=6=*A46:2cdn`!JS=6=*A4XX]U;=?5foco,AV:36'N9S]ZP1008mjdj'LY783 K2^RW[7753`eia"K\<5<-@7YW\V9h7damm.GP818)Yl1bcoc ER>7:+TBO8h0eblb/DQ?0;Ys{`gh~ho{ee]{kwYf3`eia"K[ABI`?liee&O_MNE!De9jkgk(M]KHG#J>d:klfh)B\HI@"Iohjd%N~#\JG99jkgk(M{8j7damm.Gq6+Be3`eia"K}2/F2f>ohjd%N~? K2`9jkgk(M{8%]n5foco,Aw4)ZLMi7damm.KLV@Wul2cdn`!FOSGRv+Bb3`eia"G@RDSq*A7b3`eia"G@RDSq*A4c3`eia"G@RDSq*T`9:klfh)NGZUM_@QIFe3-@7YW\V::56g`bl-JKVYA[DUMJi?!D3]SPZ76;2cdn`!FOR]EWHYANm;%]<;4in`n+LITWOYFSKHk1/PFC47#J>4:klfh)NGZUM_@QIFe0-@4733`eia"G@S^DPIZ@Al;$O><74in`n+LITWOYFSKHk2/F1[URX8830eblb/HMP[CUJWOLo>#J=_QV\54?ohjd%BC^QISL]EBa4)ZLM:=6g`bl-JKVYA[DUMJi=>3:klfh)NGZUM_@QIFe1-@42ohjd%BC^QISL]EBa5)L;U[XR>>9:klfh)NGZUM_@QIFe1-@7YW\V;:56g`bl-JKVYA[DUMJi=!D3]SPZ46;2cdn`!FOR]EWHYANm9%]<;4in`n+LITWOYFSKHk3/PFCd=ngkg$^H]}fb9jkgk(ZLYyj#Jk;hmai*TB[{l%Hn5foco,V@Uun'[n7damm.PFWw`)ZLM27damm.TSEwdohjz%OXLMDEO,G6ZVSW=;?7dams.FWEFMBF'N9S]ZP5d9jkgu(L]KHGH@!Q028mjdt'M^JOFKA.SGDf>ohjz%BCLM[re9jkgu(AFKHX Ke:klfv)NGHI_~#J>e:klfv)NGHI_~#J=f:klfv)NGHI_~#KWP068mjdt'@EJOY|!EYR\TQY79=1bco} INC@Pw(BPYU[XR?=0:klfv)NGHI_~#KWP^vpmhtbfhgnhR`jt^f8mjdt'@EJOY|!Qg9jkgu(AFKHX ]EFf8mjdt'@EOXLMDrg9jkgu(AFN_MNE}.E33?lie{&CDHYOLKs,G546ohjz%BC_Y>.E026>ohjz%BC_Y>.E0\TQY79;1bco} INPT5+B5WY^T=<<4in`p+LIU_8$O>R^[_331?lie{&CD^Z?!D3]SPZ56:2cdn~!FOSU2*A4XX]U?=?5focq,MJTP9'N9S]ZP5b9jkgu(AFX\=#_j;hmaw*OHZ^;%^HI>b:klfv)NG[]:Sy}fmbpfeqccWqeyS45focq,MJS6k2cdn~!FOT3-G@dohjz%Gclj>.E026>ohjz%Gclj>.E0\TQY79;1bco} Lncg5+B5WY^T=<<4in`p+Iifl8$O>R^[_331?lie{&Fdmi?!D3]SPZ56:2cdn~!Co`f2*A4XX]U?=?5focq,Hjgc9'N9S]ZP5b9jkgu(Dfko=#_j;hmaw*Jhim;%^HI6;hmaw*Ubf}h0ebl|/Rgmp+Bd3`ei"]jnu,G5f=ngky$_h`{.E025>ohjz%Xicz!D3]SPZ6692cdn~!\eov-@7YW\V;:=6g`br-Pakr)L;U[XR<>1:klfv)Tmg~%H?Q_T^125>ohjz%Xicz!D3]SPZ2692cdn~!\eov-@7YW\V?:=6g`br-Pakr)L;U[XR8m;hmaw*Ubf}$Zh6g`br-Pakr)ZLM:m6g`br-PakrX|zcfokntdf\|jtX991bco} TR]EWHYANm;:>6g`br-WWZ@TEVLMh< K129jkgu(\ZUM_@QIFe3-@4743`ei"Z\_GQN[C@c9'N9=55focq,PVYA[DUMJi?!D3]SPZ6602cdn~![S^DPIZ@Al8$O>R^[_031?lie{&^XSK]B_GDg5+W6<2cdn~![S^DPIZ@Al8$YIJ??;hmaw*RTWOYFSKHk2008mjdt']YTJ^CPFGf1*A743`ei"Z\_GQN[C@c:'N:=>5focq,PVYA[DUMJiohjz%__RH\M^DE`7(C:VZ_S>?7;hmaw*RTWOYFSKHk2/F1[URX<820ebl|/UQ\BVKXNOn9"I2:klfv)S[VLXARHId3,R51=ngky$X^QISL]EBa4)ZLM27cOKotvOA5b4n@FlqqJB9VEIYK K109mEAir|EO:SBLZF/F254=iIMe~xAK>_N@VB+B59?1eMIaztMG2[JDRN'N9S]ZP0048jDBh}}FN=RAMUG,G6ZVSW8;;7cOKotvOA4YHJ\L%]<<4n@FlqqJB9VEIYK ]EF58jDUXNZHi7cO\_GQA*UGCk2dJ_RH\B^KAQC1>2:lBWZOHJf"IhF[VCDNb{{.Pg8jDUXAFHdyy ]EFd8jDUXAFHdyy [RRV4?kGh}}ENn6`NotvLA+VFLj1eMb{{OD]LFP@b3gKdyyAJ_N@VB+Ba3gKdyyAJ_N@VB+B6n2dJcxz@E^MAQC(C:8>0bLaztNG\KGSA&M8T\YQ?159mEjssGLUDNXH!D3]SPZ7b3gKdyyAJ_N@VB+W682dJcxz@E^MAQC(UMN30bOK]R^DPFa=iJLXYSK]M.QCGa>hEM[XTJ^LPOCWE55=iJLXYSK]M_N@VB+B692dII_\PFR@\KGSA&M;:=6`MESP\BVDXGK_M"I<>0:lAAWTXNZHTCO[I.P;8jGCUZVCDNi5aBDPQ[LIE&YKOi6`MESP\MJDXAK_M;6`MR^KLFg=iJ[UBCO _AEa8jGTXAFHTCO[Ie:lAVZOHJVEIYK*^e:lAVZOHJVEIYK Kf:lAVZOHJVEIYK K1g9mFWYNGKUDNXH!D337?kDUW@EISBLZF/F1[URX88>0bO\PIN@\KGSA&M8T\YQ>e:lAVZOHJVEIYK ^119mFWYNGKUDNXH!RDE2`>hEZVCDNRAMUG]wwlkdzlkiiQwos]7?kEF[11eOL]!P@F:?kEF[VEIYKl4nBCP[JDRN'Nh7cMNS^MAQC(C9j1eOL]POCWE*A4e3gIJ_RAMUG,R`>hDIZUDNXH!RDEb?kBSIJATJ^Lj;oFWEFMXNZH%\LJi;oFWEFMXNZHTCO[I109m@QGDCVLXNRAMUG,G57=iL]KHGRH\B^MAQC(C9880bIZNCJ]EWGYHJ\L%H??8;oFWEFMXNZHTCO[I.E0\TQY79>1eHYOLK^DPFZIE]O$O>R^[_034?kBSIJATJ^LPOCWE*A4XX]U9=:5aDUC@OZ@TJVEIYK K2^RW[6703gN_MNEPFR@\KGSA&M8T\YQ;169m@QGDCVLXNRAMUG,G6ZVSW<;<7cJ[ABI\BVDXGK_M"IhC\HI@SDAMe:lGPDELW@EI"]OKf:lGPDELW@EISDLZF79m@lhKMh1eHd`CE/RB@g=iL`dGIRAMUGf8jAoiDLUDNXH!Dd9m@lhKMVEIYK K1d9m@lhKMVEIYK K2g9m@lhKMVEIYK JXQ03?kBnfEOTCO[I.DZS[qune{oem`kk_ogw[a=iL`dGIRAMUG,Rb>hCagFNSBLZF/PFC3=iL`dDIl5aDhlLA+VFLk1eHd`@E^MAQCbhKLZUM_O _AEf8jIBTWOYISDLZF99mHAUXAFHh7cBKS^KLF+VFLk1e@I]PIN@lqqc<=4nMFP[LIEg|~%H?Q_T^227>hKLZUBCOazt/F1[URX9890bAJ\_HMAkpr)L;U[XR0:lO@VYNGKe~x#Z]SU18jJC03gEN"]OK8:lLAZIE]Ok0bBKPOCWE*Ad;oMF[JDRN'OS\R^[_132?kIBWFH^J#KWP^RW[4763gENSBLZF/G[TZVSW;;:7cAJ_N@VB+C_XVZ_S>?k;oMF[JDRN'OS\Rz|ilpfjdkblVdnxRo4nNG\KGSA&X=0b_OBLVGa?kTFEE]N"]OKc:lQEHJPMVEIYKk4nSCNHRCXGK_M"Ih4nSCNHRCXGK_M"I?i;oPBIIQBWFH^J#J=119mVDKK_LUDNXH!EYR15>hUIDF\IRAMUG,F\UYs{`gyicobee]maqYb3gXJAAYJ_N@VB+W03gXJAXYJb:lQEHSPM'ZJHn5aR@OVS@YHJ\Ln7c\NMTUF[JDRN'Nm7c\NMTUF[JDRN'N:j6`]ALWTAZIE]O$O><>4nSCNQRCXGK_M"HV_149mVDKR_LUDNXH!EYR\TQY79<1e^LCZWD]LFP@)MQZT\YQ>209mVDKR_LUDNXH!EYR\pvojzldjahjPndv\a>hUID_\IRAMUG,R0>hUIZ20b_O\.QCG=>hUIZUDNXHm;oPBWZIE]O$Oo6`]AR]LFP@)L8i0b_O\_N@VB+B5981e^L]POCWE*A4XX]U;=<5aR@Q\KGSA&M8T\YQ>d:lQEVYHJ\L%IU^>2:lQEVYHJ\L%IU^PPU]357=iZHYTCO[I.DZS[URX9880b_O\_N@VB+C_XVZ_S??=;oPBWZIE]O$NT]Q_T^12a>hUIZUDNXH!EYR\pvojzldjahjPndv\f>hUIZUDNXH!Q59mV@U?3gXN_#^ND69mV@Uh}}30b_K\otv-@d=iZLYdyy K1`9mV@Uh}}$O>45aRDQlqq(Vj2dYI^azt/PFC3=iZFGH_l5aRNO@W+VFLk1e^BCLS^MAQCbhUGD]Nm6`]OLUF*UGCj2dYC@YJ_N@VBa=iZFG\IRAMUG,Ga>hUGD]NSBLZF/F2a>hUGD]NSBLZF/F1b>hUGD]NSBLZF/G[T42hUGD]NSBLZF/G[TZVSW;8;7c\@MVG\KGSA&LR[Sy}fmsgmehccWgoSi5aRNOTAZIE]O$Z46`ZDR]EWGehRLZUM_OQFBTD;?kSC[VCDNn5aUEQ\MJD)XHNi7c[KS^KLFjssm2d^H^QFOCmvp!IB9<1eYI]PIN@lqq(E]OEN"B[[d:lV@VYNGKe~x#Jj;oWGWZOHJf"I?j;oWGWZOHJf"I<>3:lV@VYNGKe~x#J=_QV\445hQEHUBCOm4nWOB[LIE&YKOn6`YM@]JKGir|l1eZ@OPIN@lqq"HMm1eZ@OPIN@lqq"V9<1eZ@OPIN@lqq(E]OEN"B[[d:lUIDYNGKe~x#Jj;oTNEZOHJf"I?j;oTNEZOHJf"I<>3:lUIDYNGKe~x#J=_QV\445hQXHUM_OQ@BTD-@46hQXHUBCOQFBTD4?kQBWOYIn6`XE^DPF+VFLj1e[HQISC]JFP@33gkfi:5aefggc`1tfe&HJOY|!RDE:?wgj'[KFICl4r`o,VDKBF'Nh7ob/SCNAK(C9j1ym`!]ALGM*A4692xja"\NMDL-@7YW\V::=6|nm.PBI@H)L;U[XR?>1:pbi*TFELD%H?Q_T^025>tfe&XJAH@!D3]SPZ5692xja"\NMDL-@7YW\V>:=6|nm.PBI@H)L;U[XR;m;scn+WGJMG$Zh6|nm.PBI@H)ZLMh7ob/SCNV`gcql1ym`!]ALPfea)Lo1ym`!]ALPfea)L8l0~lc R@OQadb~&M8:86|nm.PBIWcflp$O>R^[_137?wgj'[KF^hoky/F1[URX98>0~lc R@OQadb~&M8T\YQ=159qeh)UIDXnmiw!D3]SPZ56<2xja"\NMSgb`|(C:VZ_S9?;;scn+WGJZlkou#J=_QV\1`=uid%YM@\jae{-U46tfe&XXSK]B_GDg547.E023>tfe&XXSK]B_GDg5+B5WY^T<<94r`o,VVYA[DUMJi?!D3]SPZ76?2xja"\\_GQN[C@c9'N9S]ZP2018vdk(ZZUM_@QIFe3-A]V602xja"\\_GQN[C@c9'OS\R^[_13;?wgj'[YTJ^CPFGf2*@^WWY^T=?:4r`o,VVYA[DUMJi?!EYR\pvojzldjahjPndv\54=uid%Y_RH\M^DE`4(V9:1ym`!]S^DPIZ@Al8$YIJ_uqjiftbi}ooSua}_g9qeh)U[VLXARHId332?wgj'[YTJ^CPFGf1*A753{kf#_]PFRO\BCb5&M;:>6|nm.PP[CUJWOLo>#J=169qeh)U[VLXARHId3,G6ZVSW9;<7ob/SQ\BVKXNOn9"I1ym`!]S^DPIZ@Al;$O>R^[_534?wgj'[YTJ^CPFGf1*A4XX]U>=<5}al-QWZ@TEVLMh? ^129qeh)U[VLXARHId3,QAB473{kf#_]PFRO\BCb5W}yban|jaugg[}iuWo1ym`!]S^DPIZ@Al:;:7ob/SQ\BVKXNOn8"I?=;scn+WUXNZGTJKj<.E326>tfe&XXSK]B_GDg7+B59>1ym`!]S^DPIZ@Al:$O>R^[_134?wgj'[YTJ^CPFGf0*A4XX]U:=:5}al-QWZ@TEVLMh> K2^RW[7703{kf#_]PFRO\BCb4&M8T\YQ<169qeh)U[VLXARHId2,G6ZVSW=;<7ob/SQ\BVKXNOn8"I<=4r`o,V``Cggo%H?Q_T^227>tfe&XnjIaae/F1[URX9m1ym`!]egFlj`(Vn2xja"\jfEmma+TBOh1ym`!]egPBWf=uid%Yik\NS/Fg?wgj'[om^L]!D0f8vdk(ZllYM^ K2b9qeh)UmoXJ_#_j;scn+WcaZHY%^HIn;scn+WcaZl{h7ob/SgeV`w)Lm1ym`!]egPfu+B6l2xja"\jfSgr*A4d3{kf#_kiRds-U`=uid%Yik\jq/PFC`=uid%Yik\jqR{mg46tfe&Xnj_k~Sxl`*WC@i2xja"\jfTpdg>tfe&XnjX|h.Ef8vdk(Zll^~j K1e9qeh)Umo_yk#J=c:pbi*Tbn\xl"\k4r`o,V``Rzn$YIJk4r`o,V`aRznYrbn??;scn+Wc`]{mXucm!D038vdk(Zlm^~j]vnb,G547i==?51ef1f~h5=:0:7c<:4;48 72d2;>j7p]jc;07=?d=9:>i==?51ef1f>b5{Rgg>72>2k0:?9l>0082`a4e3^51;3902>|[ln1>975b;300g7793;oh?l4V36e>7}r90l1=6{>a183?x"5<:0:5h5+1`d961>>6=4;7;6:g?200rB98?5+2539613v5<#9k815:5a1c39<>=n0:0;6)?m2;;4?k7e93307d6>:18'5g4=1>1e=o?5a:9j3c<72-;i>778;o3a5?d<3`=o6=4+1c09=2=i9k;1o65f7c83>!7e:33<7c?m1;f8?l??290/=o<5969m5g7=821b584?:%3a6??03g;i=7?4;h;0>5<#9k815:5a1c396>=n180;6)?m2;;4?k7e93907d6k:18'5g4=1>1e=o?54:9j778;o3a5?3<3`226=4+1c09=2=i9k;1:65f8683>!7e:33<7c?m1;58?lb32900e8:l:188m4512900e9;6:188m1e52900e8<=:188m`0=831bj?4?::k7fc<722c?;l4?::k7e4<722c>844?::k;b?6=,8h96494n0`2>40<3`3j6=4+1c09=2=i9k;1=854i8a94?"6j;02;6`>b0820>=n1l0;6)?m2;;4?k7e93;876gn0;29 4d520=0b:008?lg5290/=o<5969m5g7=9810el:50;&2f7<>?2d:n<4>0:9l165=831d88m50;9l0f3=831d9?;50;9l0<7=831d8:j50;9l5g3=83.:n?4>b89m5g7=j21d=om50;&2f7<6j01e=o?5a:9l5gc=83.:n?4>b89m5g7=121d=n>50;&2f7<6j01e=o?58:9l5f4=83.:n?4>b89m5g7=?21d=n:50;&2f7<6j01e=o?56:9l5f0=83.:n?4>b89m5g7==21d=n650;&2f7<6j01e=o?54:9l5fg=83.:n?4>b89m5g7=;21d=nm50;&2f7<6j01e=o?52:9l025=831d8o650;9l0a5=831d9<850;9l114=831d89650;9l0=?=831d8l:50;9l0c2=831 9==50;9l5gg=83.:n?4>b89m5g7=821d=o950;&2f7<6j01e=o?51:9l01`=831d8;750;9l0dc=831d8h>50;9l0`?=831d9=l50;9l`d<722eo47>5;cc:>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j54b9'5g6=5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}cc4>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j5469'5g6=<>10qomi:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c:5>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j5219'5g6=:910qooi:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c:7>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j51d9'5g6=9l10qooj:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c:1>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j51e9'5g6=9m10qook:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c:3>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j51b9'5g6=9j10qool:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c5f>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j55b9'5g6==j10qoom:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c5`>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j5559'5g6===10qoon:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c;:>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j52b9'5g6=:j10qom7:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c;5>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j5299'5g6=:110qom8:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c;7>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j5279'5g6=:?10qom>:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c;1>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j5249'5g6=:<10qom?:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c:f>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j5259'5g6=:=10qol<:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c:`>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j5229'5g6=::10qol=:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c:b>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j5239'5g6=:;10qol>:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c:;>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j5209'5g6=:810qol?:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}cf6>5<6290;w)<;e;3ba>N5<;1b=lk50;&10`<6il1/=4j5289'5g6=:010qoj9:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5109'106=981vqps4}cf4>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1C>9j4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 03628;0(8;?:038yx{z3th>8i4?:083>5}#:=o1=lk4H361?l7fm3:1(?:j:0cf?!7>l39;7)?m0;13?>{e==o1<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80:96*:51821>{zut1vn<=8:182>5<7s-8?i7?ne:J107=n9ho1<7*=4d82e`=#90n1>h5+1c296`=52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6473883>7<729q/>9k5d39K6145$36f>4gc3A8?h6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<692.>9=4>1:~yx=zj=?j6=4>:183!43m3;ji6F=439j5dc=83.98h4>ad9'553298yg22j3:1>7>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 03628?0(8;?:078yx{z3th:m44?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7?:;%764?723twvq6sm4b194?7=83:p(?:j:0cf?M43:2c:mh4?:%07a?7fm2.:5i4<2:&2f5<4:21vn9m;:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c717?6=93:107pl:2583>7<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;36?!3283;>7psr}:aa2<7280;6=u+25g95dc<@;>97d?ne;29 72b28kn7)?6d;0b?!7e838j76sme983>7<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;36?!3283;>7psr}:aa<<72;0;6=u+25g9`7=O:=80e<=l:18'61c=9:i07b?nd;29 72b28ko7E<;d:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80:=6*:51825>{zut1vnk=50;394?6|,;>n6o6il0;6)<;e;3ba>"61m09h6*>b181`>=zj89?6=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1=85+542950=zutw0qo:l0;295?6=8r.98h4>ad9K6140`<3th?o<4?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7?:;%764?723twvq6sm46`94?7=83:p(?:j:0cf?M43:2c:mh4?:%07a?7fm2.:5i4:0:&2f5<2821vn99l:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5149'106=9<1vqps4}c6b6?6=93:17<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;36?!3283;>7psr}:a11g=83;1<7>t$36f>4gb3A8?>6g>ad83>!43m3;ji6*>9e865>"6j90>=65rb46a>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+543950=#=<:1=85r}|8yg?7290:6=4?{%07a?7fm2B98?5f1`g94?"55<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+543950=#=<:1=85r}|8yg?e290:6=4?{%07a?7fm2B98?5f1`g94?"55<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+543950=#=<:1=85r}|8yg?c290:6=4?{%07a?7fm2B98?5f1`g94?"554}cab>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+543950=#=<:1=85r}|8yg?a290:6=4?{%07a?7fm2B98?5f1`g94?"55<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+543950=#=<:1=85r}|8ygg6290:6=4?{%07a?7fm2B98?5f1`g94?"5:54}ca`>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+543950=#=<:1=85r}|8ygg4290:6=4?{%07a?7fm2B98?5f1`g94?"55<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+543950=#=<:1=85r}|8ygg2290:6=4?{%07a?7fm2B98?5f1`g94?"55<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+543950=#=<:1=85r}|8yg34<3:1=7>50z&10`<6il1C>9<4o0cf>5<#:=o1=lk4$0;g>1`<,8h;69h4;|`676<72:0;6=u+25g9616<@;>97d?<7?<;|~y>obm3:1(?:j:dg8R73728qG>8<51zTe5?4|,8h?6hk4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`71a<7280;6=u+25g95dc<@;>97b?ne;29 72b28kn7)?6d;75?!7e83?=76sm44a94?5=83:p(?:j:363?M43:2c:?n4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827f=Q9:?1>v*:50827>"2=90:?6sr}|9ja`<72-8?i7kj;W064?7|D;?965c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155<7s-8?i7<;0:J107=n9:i1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512a8R4522;q/98?5129'106=9:1vqps4idg94?"59k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn8<9:182>5<7s-8?i7?ne:J107=h9ho1<7*=4d82e`=#90n1985+1c2910=53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=l;W301?4|,458>51zN117<6s_l:6?u+1c69a`=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{2>7>51;294~"59k51`g8 4?c2;l0(9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293;87);:0;30?x{zu2wi8:k50;394?6|,;>n6i6il0;6)<;e;3ba>"61m0?i6*>b187a>=zj==o6=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1=>5+542956=zutw0qo?m6;295?6=8r.98h4>ad9K61417<3th:n84?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7?<;%764?743twvq6sm1cf94?7=83:p(?:j:0cf?M43:2e:mh4?:%07a?7fm2.:5i45<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5129'106=9:1vqps4}c3ab?6=93:1bd83>7<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;30?!3283;87psr}:a5f7=83;1<7>t$36f>4gb3A8?>6a>ad83>!43m3;ji6*>9e80f>"6j908n65rb0a3>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+543956=#=<:1=>5r}|8yg7d;3:1=7>50z&10`<6il1C>9<4o0cf>5<#:=o1=lk4$0;g>6g<,8h;6>o4;|`2g7<72;0;6=u+25g9`7=O:=80e<=l:18'61c=9:i07b?nd;29 72b28ko7[<:0;3xH73528q]j<4={%3a0?7fl2\:?84={%765?743-?><7?<;|~y>{e9j?1<7?50;2x 72b28kn7E<;2:m2e`<72-8?i7?ne:&2=a<4k2.:n=46g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=9:1/98>5129~yx{51;294~"59k51`g8 4?c2:o0(9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293;87);:0;30?x{zu2wi=n750;394?6|,;>n6i6il0;6)<;e;3ba>"61m0?<6*>b1874>=zj8i36=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1=>5+542956=zutw0qo?lb;295?6=8r.98h4>ad9K61414<3th:ol4?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7?<;%764?743twvq6sm1bf94?7=83:p(?:j:0cf?M43:2e:mh4?:%07a?7fm2.:5i4;4:&2f5<3<21vn5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5129'106=9:1vqps4}c640?6=93:17<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;30?!3283;87psr}:a0g?=83;1<7>t$36f>4gb3A8?>6a>ad83>!43m3;ji6*>9e86f>"6j90>n65rb5`;>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+543956=#=<:1=>5r}|8yg2c<3:1=7>50z&10`<6il1C>9<4o0cf>5<#:=o1=lk4$0;g>6><,8h;6>64;|`7`6<72;0;6=u+25g9`7=O:=80e<=l:18'61c=9:i07b?nd;29 72b28ko7[<:0;3xH73528q]j<4={%3a0?7fl2\:?84={%765?743-?><7?<;|~y>{e=8=1<7?50;2x 72b28kn7E<;2:m2e`<72-8?i7?ne:&2=a<4=2.:n=4<5:9~f07129096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=9:1/98>5129~yx{51;294~"59k51`g8 4?c2?:0(9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293;87);:0;30?x{zu2wi89750;394?6|,;>n6i6il0;6)<;e;3ba>"61m0>46*>b186<>=zj=>36=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1=>5+542956=zutw0qo:7a;295?6=8r.98h4>ad9K61494$0`3>61<3th?444?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7?<;%764?743twvq6sm4`794?7=83:p(?:j:0cf?M43:2e:mh4?:%07a?7fm2.:5i4:9:&2f5<2121vn9o;:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5129'106=9:1vqps4}c6e1?6=93:17<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;30?!3283;87psr}:a152=83;1<7>t$36f>4gb3A8?>6%>ad83>!43m3;ji6*>9e86a>"6j90>i65rb420>5<4290;w)<;e;074>N5<;1b=>m50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956e<^89>6?u+543956=#=<:1=>5r}|8m`c=83.98h4je:T115<6sE8>>7?tVg396~"6j=0ni6X>3481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb0`a>5<6290;w)<;e;3ba>N5<;1d=lk50;&10`<6il1/=4j5499'5g6=<110qo?ma;296?6=8r.98h4k2:J107=n9:i1<7*=4d827f=:018 0372890qpsr;|`2f=<7280;6=u+25g95dc<@;>97b?ne;29 72b28kn7)?6d;60?!7e83>876sm1c594?4=83:p(?:j:e08L7253`;8o7>5$36f>45d32e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:50827>"2=90:?6sr}|9~f137290:6=4?{%07a?7fm2B98?5`1`g94?"5N5<;1b=>m50;&10`<6;j10c4gc3_;89745<,h0;6<4?:1y'61c=9ho0D?:=;n3ba?6=,;>n6t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<6;2.>9=4>3:~yx=zj=km6=4>:183!43m3;ji6F=439l5dc=83.98h4>ad9'555e98yg2fm3:1>7>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362890(8;?:018yx{z3th?i<4?:083>5}#:=o1=lk4H361?j7fm3:1(?:j:0cf?!7>l3>j7)?m0;6b?>{en6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80:?6*:51827>{zut1vn9kn:182>5<7s-8?i7?ne:J107=h9ho1<7*=4d82e`=#90n18o5+1c290g=n57>52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6454<729q/>9k51`g8L7253f;ji7>5$36f>4gb3-;2h7=9;%3a4?5132wi9=l50;094?6|,;>n6i<4H361?l74k3:1(?:j:01`?>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4>3:&615<6;2wvqp5rbe`94?7=83:p(?:j:0cf?M43:2e:mh4?:%07a?7fm2.:5i4;5:&2f5<3=21vnio50;094?6|,;>n6i<4H361?l74k3:1(?:j:01`?>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4>3:&615<6;2wvqp5rbe;94?7=83:p(?:j:0cf?M43:2e:mh4?:%07a?7fm2.:5i4;6:&2f5<3>21vni650;094?6|,;>n6i<4H361?l74k3:1(?:j:01`?>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4>3:&615<6;2wvqp5rb414>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a163=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd2;?0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn8:>:180>5<7s-8?i7<;b:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6=2.>9=4>5:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615a:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`44={Wge>7}#==l1io5+33591079<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?44m2.8>:4:509~ 0b62820qps4i30b>5<#:=o1>?o4V373>7}K:<81=vX>9b81!7e<389m6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1d=lj50;&10`<6im10qo?5}#:=o1=ll4H361?j7fl3:1(?:j:0cg?>{e=:31<7=50;2x 72b2;>i7E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj<9j6=4>:183!43m38>96F=439l5db=83.98h4>ae98yg34k3:1;7>50z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,41>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1b=9750;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628=0(8;?:058yx{z3`;>87>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6==1]=>;52z&614<6?2.>9=4>7:~yx=n9<=1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74303_;89741<,{M066?7|^o;1>v*>b5821==Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi9>j50;;94?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=n9=31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515;8R4522;q/98?5169'106=9>1vqps4i077>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 03628=0(8;?:058yx{z3`;>;7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6=>1]=>;52z&614<6?2.>9=4>7:~yx=n9<21<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=743?3_;89741<,=7?8;%764?703twvq6g>5`83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=h1]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb463>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=n2.>9=4i;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439b>"2=90m7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th?9h4?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo::f;296?6=8r.98h4k2:J107=n9:i1<7*=4d827f=:b9'106=k2wvqp5rb543>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a031=83<1<7>t$36f>45f3A8?>6*>5e82e>o6;j0;6)<;e;30g>P5=90:wA<:2;3xR4?d2;q/=o:512a8R4522;q/98?5c:&615f=#=<:1o6sr}|9jag<72-8?i7km;W064?4|D;?963481!3293o0(8;?:d9~yxJ5=80?w[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955438y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=9:i0(><8:473?x"2l80:86sY22d97~Pbn38p(8:i:d`8 6402<8:472?x"2l80o7pX>b281Sca2;q/99h5ec9'771==<;0q);k1;3:?x{z3`88i7>5$36f>75b3_8><74}Q90i1>v*>b5817`=Q9:?1>v*:5082<>"2=90:46sr}M065?7|^;><6?uYeg81!33n388i6*<268614=z,9k523c8R7372;qG>8<51zT2=f<5s-;i87<=a:T270<5s-?>=7?7;%764?7?3twv@?;>:0yU611=:r\nj774f3-99;7;:1:'1a7=911vqp5`1`f94?"545c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`727<7280;6=u+25g9603<@;>97b?nd;29 72b28ko76sm47694?5=83:p(?:j:6;8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;34?!3283;<7psr}:k27`<72-8?i7?1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj=<>6=4<:183!43m3=27E<;2:k27a<72-8?i7?1vqps4i01f>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd3>:0;6>4?:1y'61c=9hi0D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e30D?:=;h30`?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4i;%764?`>7?tVg396~"6j=0:?h5Y12796~"2=80m7);:0;d8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c6`3?6=:3:1N5<;1b=>m50;&10`<6;j10c4gc3_;897f=#=<:1o6sr}|9~f1e?29096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{en6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th?h<4?:783>5}#:=o1=>o4H361?!72l3;j7d?;52z&614<7m4}|~?lcf290/>9k5e`9U606=9rF99?4>{W3:g?4|,8h?6ho4V016>7}#=<;1o6*:518`?x{zu2cnn7>5$36f>`d<^;?;6?uC24095~P61j09w)?m4;ga?S74=38p(8;>:d9'106=m2wvqA<:1;6xR75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4>3b9'771==<:0q);k1;37?xP5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h5e`9'771==<;0q);k1;f8yS7e;38pZhh52z&60c:4:509~ 0b62830qps4i31f>5<#:=o1>>k4V373>7}K:<81=vX>9b81!7e<388i6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1b>?o50;&10`<5:h1]>8>52zN117<6s_;2o774f3_;8974><,7}#==l1>?o4$204>0363t.>h<4>8:~y>i6im0;6)<;e;3b`>=zj=ij6=4<:183!43m38?n6F=439j56b=83.98h4>3b9K61b<^;?;6:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi8nj50;694?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=n9=31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515;8R4522;q/98?5169'106=9>1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj=in6=4;:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80:;6*:51823>{zut1b=>k50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628=0(8;?:058yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;34?!3283;<7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th?ok4?:483>5}#:=o1;45G2508m45c290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;34?!3283;<7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+543952=#=<:1=:5r}|8m433290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;;;W301?4|,4150z&10`<6ij1C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&6154883>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo:k2;297?6=8r.98h489:J107=n9:n1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745c3_;897c=#=<:1j6sr}|9j56c=83.98h4>3b9K61b<^;?;6:g9'106=n2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e=;=1<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th>>54?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo;=9;296?6=8r.98h4k2:J107=n9:i1<7*=4d827f=:b9'106=k2wvqp5rb412>5<1290;w)<;e;30e>N5<;1/=8j51`9j56e=83.98h4>3b9U606=9rF99?4>{W3:g?4|,8h?6<=l;W301?4|,{zut1bil4?:%07a?cf3_8><7?tL371>4}Q90i1>v*>b58fe>P6;<09w);:1;a8 0372j1vqps4id`94?"5;52z&614<7k4}|O607=7}#==l1io5+3359107;|&6`4<6<2w]>>h53zTfb?4|,<>m6hl4$204>0363t\m<745d3-99;7;:0:'1a7=9=1vZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?cf3-99;7;:1:'1a7=l2w]=o=52zTfb?4|,<>m6hl4$204>0363t.>h<4>9:~y>o5;l0;6)<;e;00a>P5=909wA<:2;3xR4?d2;q/=o:522g8R4522;q/98?5199'106=911vqpB=5082S43?38pZhh52z&60c<5;l1/??955438y!3c93;37psr;h01e?6=,;>n6?l5Y12796~"2=80:46*:5182<>{zuE8>=7?tV364>7}Qmo09w);;f;01e>"4:>0>9<5r$4f2>4>6<729q/>9k525`8L7253`;8h7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0c4gc3_;897f=#=<:1o6sr}|9~f04e290:6=4?{%07a?42=2B98?5`1`f94?"5N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543952=#=<:1=:5r}|8m45b290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,41<7?8;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e1<729q/>9k5789K614:058 03728=0qpsr;h30a?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>7:&615<6?2wvqp5f15;94?"5:3y'5g2=9=30Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb40e>5<2290;w)<;e;5:?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=9>1/98>5169~yx{:058 03728=0qpsr;h37=?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:994?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58211=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi9?m50;694?6|,;>n6o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:6;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a164=8391<7>t$36f>2?<@;>97d?>7?tVg396~"6j=0:?i5Y12796~"2=80m7);:0;d8yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7h4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo:=5;296?6=8r.98h4k2:J107=n9:i1<7*=4d827f=:b9'106=k2wvqp5rb511>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:96*:51821>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a067=83<1<7>t$36f>45f3A8?>6*>5e82e>o6;j0;6)<;e;30g>P5=90:wA<:2;3xR4?d2;q/=o:512a8R4522;q/98?5c:&615f=#=<:1o6sr}|9jag<72-8?i7km;W064?4|D;?963481!3293o0(8;?:d9~yxJ5=80?w[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955438y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=9:i0(><8:473?x"2l80:86sY22d97~Pbn38p(8:i:d`8 6402<8:472?x"2l80o7pX>b281Sca2;q/99h5ec9'771==<;0q);k1;3:?x{z3`88i7>5$36f>75b3_8><74}Q90i1>v*>b5817`=Q9:?1>v*:5082<>"2=90:46sr}M065?7|^;><6?uYeg81!33n388i6*<268614=z,9k523c8R7372;qG>8<51zT2=f<5s-;i87<=a:T270<5s-?>=7?7;%764?7?3twv@?;>:0yU611=:r\nj774f3-99;7;:1:'1a7=911vqp5`1`f94?"545c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`762<7280;6=u+25g9603<@;>97b?nd;29 72b28ko76sm43;94?5=83:p(?:j:6;8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;a8 0372j1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;a8 0372j1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;32?!3283;:7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1b=9750;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c61g?6=?3:1N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543954=#=<:1=<5r}|8m45b290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;32?!3283;:7psr}:k20<<72-8?i7?1vqps4i077>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:4:T270<5s-?>=7?8;%764?703twvq6g>5683>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1=:5+542952=zutw0e<;7:18'61c=9:i0Z?;?:0yO604=9r\m=743?3_;89741<,=7m4$473>f=zutw0qo:=d;293?6=8r.98h489:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5109'106=981vqps4i01f>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>4883>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<6?2.>9=4>7:~yx=n9<>1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74333_;89741<,{M066?7|^o;1>v*>b58212=Q9:?1>v*:50823>"2=90:;6sr}|9j50>=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=864V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f14b29036=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<692.>9=4>1:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5109'106=981vqps4i06:>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7?>;%764?763twvq6g>5583>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=:5+542952=zutw0e<;8:18'61c=9:i0D?:k;W064?7|D;?96;6X>3481!3293;<7);:0;34?x{zu2c:954?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9<20Z<=::3y'107=9>1/98>5169~yx{:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{9j7>58;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=<5+542954=zutw0e<=j:18'61c=9:i0D?:k;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:844?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9=30Z<=::3y'107=9>1/98>5169~yx{o7[<:0;3xH73528q]j<4={%3a0?72<2\:?84={%765?703-?><7?8;|~y>o6=>0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c69501<^89>6?u+543952=#=<:1=:5r}|8m43?290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;7;W301?4|,41>7?tVg396~"6j=0:945Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a07>=8321<7>t$36f>4gd3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<:6:18'61c=9:i0Z?;?:0yO604=9r\m=742>3_;897f=#=<:1o6sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1o6*:518`?x{zu2c:9:4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58212=Q9:?1>v*:508`?!3283i0qpsr;h36n6<=l;W064?7|D;?9646X>3481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e::81<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th9?i4?:283>5}#:=o1>9l4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89743<,=7m4$473>f=zutw0qo<3`9K614<,8?o65<#:=o1=>m4V373>4}K:<81=vX>9b81!7e<3;8o6X>3481!3293i0(8;?:b9~yx{P5=90:wA<:2;3xR4?d2;q/=o:5e`9U563=:r.>9<4l;%764?e8>52zN117<6s_;2o7`d<^89>6?u+5439a>"2=90n7psrL372>1}Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?>=6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;30g>"4:>0>9=5r$4f2>42v*:4g8fe>"4:>0>9<5r$4f2>429k522g8R7372;qG>8<51zT2=f<5s-;i87<=7?7;%764?7?3twv@?;>:0yU611=:r\nj775b3-99;7;:1:'1a7=911vqp5f23c94?"5l5Y24296~J5=;0:w[?6c;0x 4d32;8j7[?<5;0x 0362820(8;?:0:8yx{K:<;1=vX=4681Sca2;q/99h523c8 6402{zu2e:mi4?:%07a?7fl21vn?=;:180>5<7s-8?i7<;b:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo<<5;295?6=8r.98h4=549K6145}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,41<7?8;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>7:&615<6?2wvqp5f14694?"5:3y'5g2=9<>0Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb31;>5<2290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0e<:6:18'61c=9:i0Z?;?:0yO604=9r\m=742>3_;89741<,{M066?7|^o;1>v*>b58211=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi>>750;794?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=n9=31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515;8R4522;q/98?5169'106=9>1vqps4i077>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:4:T270<5s-?>=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5;h0;684?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=n9=31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515;8R4522;q/98?5169'106=9>1vqps4i077>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c002?6==3:145c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2c:844?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5820<=Q9:?1>v*:508`?!3283i0qpsr;h360?6=,;>n6<=l;W064?7|D;?9686X>3481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb310>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=9>1/98>5169~yx{:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?9i:180>5<7s-8?i7<;b:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6=2.>9=4>5:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615a:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`4<6<2w]=o=52zTfb?4|,<>m6hl4$204>0363t.>h<4>9:~y>o5;l0;6)<;e;00a>P5=909wA<:2;3xR4?d2;q/=o:522g8R4522;q/98?5199'106=911vqpB=5082S43?38pZhh52z&60c<5;l1/??955438y!3c93;37psr;h01e?6=,;>n6?l5Y12796~"2=80:46*:5182<>{zuE8>=7?tV364>7}Qmo09w);;f;01e>"4:>0>9<5r$4f2>4>6<729q/>9k525`8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;a8 0372j1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155<7s-8?i7?nb:J107=h9hn1<7*=4d82ea=54;294~"53b9K61b<^;?;6:058 03728=0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:844?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5820<=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi>h950;094?6|,;>n6i<4H361?l74k3:1(?:j:01`?>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e6<729q/>9k525`8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;a8 0372j1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628?0(8;?:078yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c0f`?6=>3:19k512a8R73728qG>8<51zT2=f<5s-;i87?=7m4$473>f=zutw0eho50;&10`{M066?7|^83h6?u+1c69ad=Q9:?1>v*:508`?!3283i0qpsr;hga>5<#:=o1io5Y24296~J5=;0:w[?6c;0x 4d32lh0Z<=::3y'107=m2.>9=4j;|~H7362=q]>>h53zTfb?4|,<>m6hl4$204>0363t\m<745d3-99;7;:1:'1a7=9=1vZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:519~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c:4:509~ 0b628>0q[?m3;0xR``=:r.>8k4jb:&062<2=81v(8j>:0;8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;9n7)==7;765>{#=m;1=55r}|9j67g=83.98h4=2`9U606=:rF99?4>{W3:g?4|,8h?6?4>8?51zT102<5s_om6?u+55d967g<,:8<68;>;|&6`4<602wvq6a>ae83>!43m3;jh65rb3g:>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5mh0;6<4?:1y'61c=:n6t$36f>2?<@;>97d?>7?tVg396~"6j=0:?i5Y12796~"2=80:;6*:51823>{zut1b=>k50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628=0(8;?:058yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;34?!3283;<7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a6`>=8391<7>t$36f>2?<@;>97d?>7?tVg396~"6j=0:?i5Y12796~"2=80:;6*:51823>{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?ki:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615a:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`4<6<2w]=o=52zTfb?4|,<>m6hl4$204>0363t.>h<4>9:~y>o5;l0;6)<;e;00a>P5=909wA<:2;3xR4?d2;q/=o:522g8R4522;q/98?5199'106=911vqpB=5082S43?38pZhh52z&60c<5;l1/??955438y!3c93;37psr;h01e?6=,;>n6?l5Y12796~"2=80:46*:5182<>{zuE8>=7?tV364>7}Qmo09w);;f;01e>"4:>0>9<5r$4f2>4>6<729q/>9k525`8L7253`;8h7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0c4gc3_;897f=#=<:1o6sr}|9~f7`5290:6=4?{%07a?42=2B98?5`1`f94?"5N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543952=#=<:1=:5r}|8m45b290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,4150z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,41>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a6c0=83>1<7>t$36f>2?<@;>97d?>7?tVg396~"6j=0:?i5Y12796~"2=80:;6*:51823>{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543952=#=<:1=:5r}|8m42>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:6;W301?4|,4150z&10`<6ij1C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&6154883>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo45c3_;89741<,=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5n00;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{56;294~"5>7?tV0;`>7}#9k>1il5Y12796~"2=80h7);:0;a8yx{z3`oi6=4+25g9ag=Q:<:1>vB=5382S7>k38p(:5yU66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3292w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4ja:&062<2=81v(8j>:068yS7e;38pZhh52z&60c:4:509~ 0b62830qps4i31f>5<#:=o1>>k4V373>7}K:<81=vX>9b81!7e<388i6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1b>?o50;&10`<5:h1]>8>52zN117<6s_;2o774f3_;8974><,7}#==l1>?o4$204>0363t.>h<4>8:~y>i6im0;6)<;e;3b`>=zj;li6=4<:183!43m38?n6F=439j56b=83.98h4>3b9K61b<^;?;6:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi>kk50;194?6|,;>n6:74H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{53;294~"53b9K61b<^;?;6:058 03728=0qpsr;h30a?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>7:&615<6?2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;9:1<7:50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9j51?=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f666290?6=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=:5+542952=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89741<,=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5nm0;684?:1y'61c=9hi0D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5f15;94?"5:3y'5g2=9=30Z<=::3y'107=k2.>9=4l;|~y>o6==0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4l;%764?e50z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,41<7?8;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e7<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c112?6=;3:145c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=85+542950=zutw0c4gc3_;897f=#=<:1o6sr}|9~f642290=6=4?{%07a?74i2B98?5+14f95d=n9:i1<7*=4d827f=Q:<:1=vB=5382S7>k38p(:b9'106=k2wvqp5fe`83>!43m3oj7[<:0;3xH73528q]=4m52z&2f1>7?tV0;`>7}#9k>1io5Y12796~"2=80n7);:0;g8yx{K:<;18vX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=9:i0(><8:472?x"2l80:86sY22d97~Pbn38p(8:i:d`8 6402{#=m;1=95rV31e>6}Qmo09w);;f;ga?!55?3?>=6sYf181!33n3oj7)==7;765>{#=m;1h6sY1c196~Pbn38p(8:i:d`8 6402{zu2c9?h4?:%07a?44m2\99=4={M066?7|^83h6?u+1c6966c<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;79<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?45i2.8>:4:509~ 0b62820qps4o0cg>5<#:=o1=lj4;|`05c<72:0;6=u+25g961d<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a776=83;1<7>t$36f>7323A8?>6a>ae83>!43m3;jh65rb201>5<2290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50825>"2=90:=6sr}|9j56c=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;h37=?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:994?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9<>0Z<=::3y'107=981/98>5109~yx{:b9'106=k2wvqp5rb200>5<1290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50825>"2=90:=6sr}|9j56c=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;h37=?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>7:&615<6?2wvqp5f14694?"5:3y'5g2=9<>0Z<=::3y'107=981/98>5109~yx{:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th94=4?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo<77;297?6=8r.98h4=4c9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=9<1/98>5149~yx{:b9'106=k2wvqp5rb3:5>5<1290;w)<;e;30e>N5<;1/=8j51`9j56e=83.98h4>3b9U606=9rF99?4>{W3:g?4|,8h?6<=l;W301?4|,{zut1bil4?:%07a?cf3_8><7?tL371>4}Q90i1>v*>b58fe>P6;<09w);:1;a8 0372j1vqps4id`94?"5;52z&614<7k4}|O607=7}#==l1io5+3359107;|&6`4<6<2w]>>h53zTfb?4|,<>m6hl4$204>0363t\m<745d3-99;7;:0:'1a7=9=1vZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?cf3-99;7;:1:'1a7=9=1vZvB=5382S7>k38p(:0:8 0372820qpsC24395~P5<>09w[ki:3y'11`=::o0(><8:472?x"2l80:46sr}:k16d<72-8?i7<=a:T115<5sE8>>7?tV0;`>7}#9k>1>?o4V016>7}#=<;1=55+54295==zutF99<4>{W073?4|^ll1>v*:4g816d=#;;=198?4}%7g5?7?3twv7b?nd;29 72b28ko76sm29094?5=83:p(?:j:36a?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb3:0>5<6290;w)<;e;061>N5<;1d=lj50;&10`<6im10qo<74;2954<729q/>9k5789K614:058 03728=0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:844?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5820<=Q9:?1>v*:50823>"2=90:;6sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=:5+542952=zutw0e<;8:18'61c=9:i0Z?;?:0yO604=9r\m=74303_;89741<,=7?8;%764?703twvq6g>5883>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=01]=>;52z&614<6?2.>9=4>7:~yx=n91vqps4i07a>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?i7[?<5;0x 03628=0(8;?:058yx{z3`;>o7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36g>P6;<09w);:1;34?!3283;<7psr}:k27c<72-8?i7?>7?tVg396~"6j=0:?k5Y12796~"2=80h7);:0;a8yx{z3`;?<7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;374>P6;<09w);:1;a8 0372j1vqps4i062>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>:7[?<5;0x 0362j1/98>5c:~yx=n9=81<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51508R4522;q/98?5c:&6154283>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<:1]=>;52z&614<7m4}|~?l73<3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?;4:T270<5s-?>=7m4$473>f=zutw0c4gc3_;897f=#=<:1o6sr}|9~f7>6290?6=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=:5+542952=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89741<,=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5010;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543950=#=<:1=85r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?6j:185>5<7s-8?i7?5c:~yx=nmh0;6)<;e;gb?S4283;p@?;=:0yU5=7m4$473>f=zutw0ehl50;&10`v*:508f?!3283o0qpsC24390~P5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h512a8 6402{Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?><6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;gb?!55?3?>=6s+5e3951=z^8h86?uYeg81!33n3oi7)==7;765>{#=m;1=45r}|9j66c=83.98h4=3d9U606=:rF99?4>{W3:g?4|,8h?6?=j;W301?4|,4>8?51zT102<5s_om6?u+55d966c<,:8<68;>;|&6`4<602wvq6g=2`83>!43m389m6X=5181I42:3;pZ<7l:3y'5g2=:;k0Z<=::3y'107=911/98>5199~yxJ5=80:w[<;7;0xR``=:r.>8k4=2`9'771==<;0q);k1;3;?x{z3f;jh7>5$36f>4gc32wi>5o50;194?6|,;>n6?:m;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?6m:182>5<7s-8?i7<:5:J107=h9hn1<7*=4d82ea=51083>5}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,41<7?8;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>7:&615<6?2wvqp5f14694?"5:3y'5g2=9<>0Z<=::3y'107=9>1/98>5169~yx{:058 03728=0qpsr;h36n6<=l;W064?7|D;?9646X>3481!3293;<7);:0;34?x{zu2c:944?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821<=Q9:?1>v*:50823>"2=90:;6sr}|9j50g=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8o4V016>7}#=<;1=:5+542952=zutw0e<;m:18'61c=9:i0Z?;?:0yO604=9r\m=743e3_;89741<,=7?8;%764?703twvq6g>3g83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;o1]=>;52z&614<6?2.>9=4>7:~yx=n9=:1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51528R4522;q/98?5c:&6154083>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<81]=>;52z&614<7m4}|~?l73:3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?;2:T270<5s-?>=7m4$473>f=zutw0e<:<:18'61c=9:i0Z?;?:0yO604=9r\m=74243_;897f=#=<:1o6sr}|9j512=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9:4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`1<<<72=0;6=u+25g93<=O:=80e<=k:18'61c=9:i0D?:k;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9j51?=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f7??29096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e:0l1<7=50;2x 72b2;>i7E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;36?!3283;>7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th95h4?:783>5}#:=o1=>o4H361?!72l3;j7d?;52z&614<7m4}|~?lcf290/>9k5e`9U606=9rF99?4>{W3:g?4|,8h?6ho4V016>7}#=<;1o6*:518`?x{zu2cnn7>5$36f>`d<^;?;6?uC24095~P61j09w)?m4;ga?S74=38p(8;>:d9'106=m2wvqA<:1;6xR75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4>3b9'771==<:0q);k1;37?xP5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h5e`9'771==<;0q);k1;37?xP6j:09w[ki:3y'11`=mk1/??955438y!3c93;27psr;h00a?6=,;>n6?=j;W064?4|D;?96{zuE8>=7?tV364>7}Qmo09w);;f;00a>"4:>0>9<5r$4f2>4><7?7;|~H73628q]>9952zTfb?4|,<>m6?53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th95o4?:083>5}#:=o1>8;4H361?j7fl3:1(?:j:0cg?>{e:0i1<7=50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi>4750;694?6|,;>n6:74H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0e<:6:18'61c=9:i0Z?;?:0yO604=9r\m=742>3_;89741<,=7m4$473>f=zutw0qo:b9'106=k2wvqp5rb3c4>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:96*:51821>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a6d0=83<1<7>t$36f>45f3A8?>6*>5e82e>o6;j0;6)<;e;30g>P5=90:wA<:2;3xR4?d2;q/=o:512a8R4522;q/98?5c:&615f=#=<:1o6sr}|9jag<72-8?i7km;W064?4|D;?963481!3293o0(8;?:d9~yxJ5=80?w[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955438y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=9:i0(><8:473?x"2l80:86sY22d97~Pbn38p(8:i:d`8 6402<8:472?x"2l80:86sY1c196~Pbn38p(8:i:d`8 6402{zu2c9?h4?:%07a?44m2\99=4={M066?7|^83h6?u+1c6966c<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;79<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?45i2.8>:4:509~ 0b62820qps4o0cg>5<#:=o1=lj4;|`1e7<72:0;6=u+25g961d<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a6d5=83;1<7>t$36f>7323A8?>6a>ae83>!43m3;jh65rb3c7>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f7g6290?6=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=:5+542952=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89741<,=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5i10;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543950=#=<:1=85r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?oj:185>5<7s-8?i7?5c:~yx=nmh0;6)<;e;gb?S4283;p@?;=:0yU5=7m4$473>f=zutw0ehl50;&10`v*:508f?!3283o0qpsC24390~P5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h512a8 6402{Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?><6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;gb?!55?3?>=6s+5e3951=z^8h86?uYeg81!33n3oi7)==7;765>{#=m;1=45r}|9j66c=83.98h4=3d9U606=:rF99?4>{W3:g?4|,8h?6?=j;W301?4|,4>8?51zT102<5s_om6?u+55d966c<,:8<68;>;|&6`4<602wvq6g=2`83>!43m389m6X=5181I42:3;pZ<7l:3y'5g2=:;k0Z<=::3y'107=911/98>5199~yxJ5=80:w[<;7;0xR``=:r.>8k4=2`9'771==<;0q);k1;3;?x{z3f;jh7>5$36f>4gc32wi>lo50;194?6|,;>n6?:m;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?om:182>5<7s-8?i7<:5:J107=h9hn1<7*=4d82ea=54;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=:5+542952=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89741<,=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5i00;694?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=n9=31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515;8R4522;q/98?5169'106=9>1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj;h;6=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi>o950;194?6|,;>n6?:m;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e<7?:;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e3<729q/>9k512c8L7253-;>h7?n;h30g?6=,;>n6<=l;W064?7|D;?96k38p(`=#=<:1i6sr}M065?2|^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;30g>"4:>0>9<5r$4f2>42v*:4g827f=#;;=198>4}%7g5?733t\9?k4<{Wge>7}#==l1io5+33591074={Wge>7}#==l1io5+33591079<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?44m2.8>:4:509~ 0b62820qps4i30b>5<#:=o1>?o4V373>7}K:<81=vX>9b81!7e<389m6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1d=lj50;&10`<6im10qo:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi>o:50;794?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=n9=31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515;8R4522;q/98?5169'106=9>1vqps4i077>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c0a5?6=<3:1N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628=0(8;?:058yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;34?!3283;<7psr}:k20<<72-8?i7?>7?tVg396~"6j=0:845Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a6g>=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5jo0;6>4?:1y'61c=:=h0D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:078 03728?0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{56;294~"5>7?tV0;`>7}#9k>1il5Y12796~"2=80h7);:0;a8yx{z3`oi6=4+25g9ag=Q:<:1>vB=5382S7>k38p(:5yU66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3292w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4ja:&062<2=81v(8j>:068yS7e;38pZhh52z&60c:4:509~ 0b62830qps4i31f>5<#:=o1>>k4V373>7}K:<81=vX>9b81!7e<388i6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1b>?o50;&10`<5:h1]>8>52zN117<6s_;2o774f3_;8974><,7}#==l1>?o4$204>0363t.>h<4>8:~y>i6im0;6)<;e;3b`>=zj;hj6=4<:183!43m38?n6F=439j56b=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1o6*:518`?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{51;294~"59k51`f8?xd5jj0;6;4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628=0(8;?:058yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;34?!3283;<7psr}:k20<<72-8?i7?>7?tVg396~"6j=0:845Y12796~"2=80:;6*:51823>{zut1b=8:50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69502<^89>6?u+543952=#=<:1=:5r}|8m430290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;8;W301?4|,4150z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,41<7?8;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>7:&615<6?2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e:j:1<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th9o:4?:283>5}#:=o1>9l4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89743<,=7m4$473>f=zutw0qo3`9K614<,8?o65<#:=o1=>m4V373>4}K:<81=vX>9b81!7e<3;8o6X>3481!3293i0(8;?:b9~yx{P5=90:wA<:2;3xR4?d2;q/=o:5e`9U563=:r.>9<4l;%764?e8>52zN117<6s_;2o7`d<^89>6?u+5439a>"2=90n7psrL372>1}Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?>=6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;30g>"4:>0>9=5r$4f2>42v*:4g8fe>"4:>0>9<5r$4f2>429k522g8R7372;qG>8<51zT2=f<5s-;i87<=7?7;%764?7?3twv@?;>:0yU611=:r\nj775b3-99;7;:1:'1a7=911vqp5f23c94?"5l5Y24296~J5=;0:w[?6c;0x 4d32;8j7[?<5;0x 0362820(8;?:0:8yx{K:<;1=vX=4681Sca2;q/99h523c8 6402{zu2e:mi4?:%07a?7fl21vn?m=:180>5<7s-8?i7<;b:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo5}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,41<7?8;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>7:&615<6?2wvqp5f14694?"5:3y'5g2=9<>0Z<=::3y'107=9>1/98>5169~yx{:058 03728=0qpsr;h36n6<=l;W064?7|D;?9646X>3481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`1g4<72=0;6=u+25g93<=O:=80e<=k:18'61c=9:i0D?:k;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9j51?=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f7e?29096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e:jl1<7=50;2x 72b2;>i7E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;36?!3283;>7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th9oh4?:783>5}#:=o1=>o4H361?!72l3;j7d?;52z&614<7m4}|~?lcf290/>9k5e`9U606=9rF99?4>{W3:g?4|,8h?6ho4V016>7}#=<;1o6*:518`?x{zu2cnn7>5$36f>`d<^;?;6?uC24095~P61j09w)?m4;ga?S74=38p(8;>:d9'106=m2wvqA<:1;6xR75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4>3b9'771==<:0q);k1;37?xP5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h5e`9'771==<;0q);k1;37?xP6j:09w[ki:3y'11`=mk1/??955438y!3c93;27psr;h00a?6=,;>n6?=j;W064?4|D;?96{zuE8>=7?tV364>7}Qmo09w);;f;00a>"4:>0>9<5r$4f2>4><7?7;|~H73628q]>9952zTfb?4|,<>m6?53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th9oo4?:083>5}#:=o1>8;4H361?j7fl3:1(?:j:0cg?>{e:ji1<7650;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9j51?=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=:5+542952=zutw0e<;;:18'61c=9:i0Z?;?:0yO604=9r\m=74333_;89741<,=7?8;%764?703twvq6g>5983>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=11]=>;52z&614<6?2.>9=4>7:~yx=n9<31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:514;8R4522;q/98?5169'106=9>1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj;i26=4;:183!43m3=27E<;2:k27a<72-8?i7?1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628=0(8;?:058yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;34?!3283;<7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th9h=4?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=9<1/98>5149~yx{:b9'106=k2wvqp5rb3f5>5<1290;w)<;e;30e>N5<;1/=8j51`9j56e=83.98h4>3b9U606=9rF99?4>{W3:g?4|,8h?6<=l;W301?4|,{zut1bil4?:%07a?cf3_8><7?tL371>4}Q90i1>v*>b58fe>P6;<09w);:1;a8 0372j1vqps4id`94?"5;52z&614<7k4}|O607=7}#==l1io5+3359107;|&6`4<6<2w]>>h53zTfb?4|,<>m6hl4$204>0363t\m<745d3-99;7;:0:'1a7=9=1vZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?cf3-99;7;:1:'1a7=9=1vZvB=5382S7>k38p(:0:8 0372820qpsC24395~P5<>09w[ki:3y'11`=::o0(><8:472?x"2l80:46sr}:k16d<72-8?i7<=a:T115<5sE8>>7?tV0;`>7}#9k>1>?o4V016>7}#=<;1=55+54295==zutF99<4>{W073?4|^ll1>v*:4g816d=#;;=198?4}%7g5?7?3twv7b?nd;29 72b28ko76sm2e094?5=83:p(?:j:36a?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb3f0>5<6290;w)<;e;061>N5<;1d=lj50;&10`<6im10qo1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628=0(8;?:058yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;34?!3283;<7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80:;6*:51823>{zut1b=8950;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69501<^89>6?u+543952=#=<:1=:5r}|8m43?290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;7;W301?4|,41<7?8;|~y>o6=h0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>5`9U563=:r.>9<4>7:&615<6?2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e:m;1<7:50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>7:&615<6?2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=9>1/98>5169~yx{26=4+25g956e<^;?;6:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?ji:180>5<7s-8?i7<;b:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6=2.>9=4>5:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615a:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`4<6<2w]=o=52zTfb?4|,<>m6hl4$204>0363t.>h<4>9:~y>o5;l0;6)<;e;00a>P5=909wA<:2;3xR4?d2;q/=o:522g8R4522;q/98?5199'106=911vqpB=5082S43?38pZhh52z&60c<5;l1/??955438y!3c93;37psr;h01e?6=,;>n6?l5Y12796~"2=80:46*:5182<>{zuE8>=7?tV364>7}Qmo09w);;f;01e>"4:>0>9<5r$4f2>4>6<729q/>9k525`8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;a8 0372j1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6151vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628=0(8;?:058yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;34?!3283;<7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80:;6*:51823>{zut1b=8950;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69501<^89>6?u+543952=#=<:1=:5r}|8m43?290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;7;W301?4|,41<7?8;|~y>o6=h0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>5`9U563=:r.>9<4>7:&615<6?2wvqp5f14`94?"5:3y'5g2=91/98>5169~yx{:b9'106=k2wvqp5f12d94?"5:3y'5g2=9:l0Z<=::3y'107=k2.>9=4l;|~y>o6<90;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>419U563=:r.>9<4l;%764?e9k512a8R73728qG>8<51zTe5?4|,8h?6<:=;W301?4|,{zut1b=9=50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69515<^89>6?u+5439g>"2=90h7psr}:k201<72-8?i7?>7?tVg396~"6j=0:895Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c0g=?6=<3:1N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628=0(8;?:058yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;34?!3283;<7psr}:k20<<72-8?i7?>7?tVg396~"6j=0:845Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a5=3=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd61;0;6>4?:1y'61c=:=h0D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:078 03728?0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{56;294~"5>7?tV0;`>7}#9k>1il5Y12796~"2=80h7);:0;a8yx{z3`oi6=4+25g9ag=Q:<:1>vB=5382S7>k38p(:5yU66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3292w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4ja:&062<2=81v(8j>:e9~R4d42;q]ik4={%77b?ce3-99;7;:1:'1a7=901vqp5f22g94?"5{zu2c9>l4?:%07a?45i2\99=4={M066?7|^83h6?u+1c6967g<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;7l5+3359107{e91<1<7=50;2x 72b2;>i7E<;2:k27a<72-8?i7?3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo?77;295?6=8r.98h4=549K6145}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th:4l4?:283>5}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th:4o4?:583>5}#:=o1;45G2508m45c290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;34?!3283;<7psr}:k27`<72-8?i7?5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c3;g?6==3:1N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628;0(8;?:038yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<692.>9=4>1:~yx=n9=31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=742>3_;89747<,=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd60m0;684?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=<5+542954=zutw0e<:6:18'61c=9:i0D?:k;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:994?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58211=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi=5k50;794?6|,;>n6:74H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50825>"2=90:=6sr}|9j56c=83.98h4>3b9K61b<^;?;6:038 03728;0qpsr;h37=?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:994?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9<>0Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb0:e>5<1290;w)<;e;5:?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=981/98>5109~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?763-?><7?>;|~y>o6<00;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6951?<^89>6?u+543954=#=<:1=<5r}|8m433290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;32?!3283;:7psr}:k212<72-8?i7?>7?tVg396~"6j=0:9:5Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a5=>=8321<7>t$36f>4gd3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<:6:18'61c=9:i0Z?;?:0yO604=9r\m=742>3_;897f=#=<:1o6sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1o6*:518`?x{zu2c:9:4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58212=Q9:?1>v*:508`?!3283i0qpsr;h36n6<=l;W064?7|D;?9646X>3481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e:0:1<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th95:4?:283>5}#:=o1>9l4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89743<,=7m4$473>f=zutw0qo<66;292?6=8r.98h4>3`9K614<,8?o65<#:=o1=>m4V373>4}K:<81=vX>9b81!7e<3;8o6X>3481!3293i0(8;?:b9~yx{P5=90:wA<:2;3xR4?d2;q/=o:5e`9U563=:r.>9<4l;%764?e8>52zN117<6s_;2o7`d<^89>6?u+5439a>"2=90n7psrL372>1}Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?>=6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;30g>"4:>0>9=5r$4f2>42v*:4g8fe>"4:>0>9<5r$4f2>429k522g8R7372;qG>8<51zT2=f<5s-;i87<=7?7;%764?7?3twv@?;>:0yU611=:r\nj775b3-99;7;:1:'1a7=911vqp5f23c94?"5l5Y24296~J5=;0:w[?6c;0x 4d32;8j7[?<5;0x 0362820(8;?:0:8yx{K:<;1=vX=4681Sca2;q/99h523c8 6402{zu2e:mi4?:%07a?7fl21vn?7=:180>5<7s-8?i7<;b:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo<63;295?6=8r.98h4=549K614n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=n9=31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515;8R4522;q/98?5169'106=9>1vqps4i077>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 03628=0(8;?:058yx{z3`;>;7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;363>P6;<09w);:1;34?!3283;<7psr}:k21=<72-8?i7?>7?tVg396~"6j=0:955Y12796~"2=80:;6*:51823>{zut1b=8750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950?<^89>6?u+543952=#=<:1=:5r}|8m43f290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;n;W301?4|,41<7?8;|~y>o6=j0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>5b9U563=:r.>9<4>7:&615<6?2wvqp5f12d94?"5:3y'5g2=9:l0Z<=::3y'107=9>1/98>5169~yx{;6=4+25g956e<^;?;6:058 03728=0qpsr;h375?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{96=4+25g956e<^;?;6:b9'106=k2wvqp5f15194?"5:3y'5g2=9=90Z<=::3y'107=k2.>9=4l;|~y>o6<=0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>459U563=:r.>9<4l;%764?e93:187>50z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,41<7?8;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>7:&615<6?2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;9>1<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th85}#:=o1=>o4H361?!72l3;j7d?;52z&614<7m4}|~?lcf290/>9k5e`9U606=9rF99?4>{W3:g?4|,8h?6ho4V016>7}#=<;1o6*:518`?x{zu2cnn7>5$36f>`d<^;?;6?uC24095~P61j09w)?m4;ga?S74=38p(8;>:d9'106=m2wvqA<:1;6xR75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4>3b9'771==<:0q);k1;37?xP5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h5e`9'771==<;0q);k1;37?xP6j:09w[ki:3y'11`=mk1/??955438y!3c93;27psr;h00a?6=,;>n6?=j;W064?4|D;?96{zuE8>=7?tV364>7}Qmo09w);;f;00a>"4:>0>9<5r$4f2>4><7?7;|~H73628q]>9952zTfb?4|,<>m6?53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th8<:4?:083>5}#:=o1>8;4H361?j7fl3:1(?:j:0cg?>{e;921<7;50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:?h4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:o0Z<=::3y'107=9>1/98>5169~yx{26=4+25g956e<@;>o7[<:0;3xH73528q]j<4={%3a0?7312\:?84={%765?703-?><7?8;|~y>o6==0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>7:&615<6?2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;9?1<7=50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>7:&615<6?2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb2g1>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a7`b=83<1<7>t$36f>45f3A8?>6*>5e82e>o6;j0;6)<;e;30g>P5=90:wA<:2;3xR4?d2;q/=o:512a8R4522;q/98?5c:&615f=#=<:1o6sr}|9jag<72-8?i7km;W064?4|D;?963481!3293o0(8;?:d9~yxJ5=80?w[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955438y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=9:i0(><8:473?x"2l80:86sY22d97~Pbn38p(8:i:d`8 6402<8:472?x"2l80o7pX>b281Sca2;q/99h5ec9'771==<;0q);k1;3:?x{z3`88i7>5$36f>75b3_8><74}Q90i1>v*>b5817`=Q9:?1>v*:5082<>"2=90:46sr}M065?7|^;><6?uYeg81!33n388i6*<268614=z,9k523c8R7372;qG>8<51zT2=f<5s-;i87<=a:T270<5s-?>=7?7;%764?7?3twv@?;>:0yU611=:r\nj774f3-99;7;:1:'1a7=911vqp5`1`f94?"53481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;l>1<7?50;2x 72b2;?>7E<;2:m2ea<72-8?i7?nd:9~f6c129086=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0c4gc3_;897f=#=<:1o6sr}|9~f6c029086=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<692.>9=4>1:~yx=n9:o1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745b3_;89741<,=7m4$473>f=zutw0qo=j8;297?6=8r.98h489:J107=n9:n1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745c3_;89741<,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi?h750;194?6|,;>n6:74H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{53;294~"53b9K61b<^;?;6:058 03728=0qpsr;h30a?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>7:&615<6?2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;lh1<7:50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>1:&615<692wvqp5f12g94?"58<51zTe5?4|,8h?6<=j;W301?4|,47>7?tVg396~"6j=0:845Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a7`3=83=1<7>t$36f>4gd3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<:6:18'61c=9:i0Z?;?:0yO604=9r\m=742>3_;897f=#=<:1o6sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1o6*:518`?x{zu2c:9:4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58212=Q9:?1>v*:508`?!3283i0qpsr;h36n6<=l;W064?7|D;?9646X>3481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb4ca>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a1g4=83<1<7>t$36f>45f3A8?>6*>5e82e>o6;j0;6)<;e;30g>P5=90:wA<:2;3xR4?d2;q/=o:512a8R4522;q/98?5c:&615f=#=<:1o6sr}|9jag<72-8?i7km;W064?4|D;?963481!3293o0(8;?:d9~yxJ5=80?w[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955438y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=9:i0(><8:473?x"2l80:86sY22d97~Pbn38p(8:i:d`8 6402<8:472?x"2l80o7pX>b281Sca2;q/99h5ec9'771==<;0q);k1;3:?x{z3`88i7>5$36f>75b3_8><74}Q90i1>v*>b5817`=Q9:?1>v*:5082<>"2=90:46sr}M065?7|^;><6?uYeg81!33n388i6*<268614=z,9k523c8R7372;qG>8<51zT2=f<5s-;i87<=a:T270<5s-?>=7?7;%764?7?3twv@?;>:0yU611=:r\nj774f3-99;7;:1:'1a7=911vqp5`1`f94?"545c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`6ea<7280;6=u+25g9603<@;>97b?nd;29 72b28ko76sm5`d94?5=83:p(?:j:6;8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;34?!3283;<7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a1g6=83>1<7>t$36f>2?<@;>97d?<7?8;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>7:&615<6?2wvqp5f15;94?"58<51zTe5?4|,8h?6<:6;W301?4|,4150z&10`<6ij1C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd6>h0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn<98:185>5<7s-8?i7?5c:~yx=nmh0;6)<;e;gb?S4283;p@?;=:0yU5=7m4$473>f=zutw0ehl50;&10`v*:508f?!3283o0qpsC24390~P5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h512a8 6402{Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?><6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;gb?!55?3?>=6s+5e3951=z^8h86?uYeg81!33n3oi7)==7;765>{#=m;1=45r}|9j66c=83.98h4=3d9U606=:rF99?4>{W3:g?4|,8h?6?=j;W301?4|,4>8?51zT102<5s_om6?u+55d966c<,:8<68;>;|&6`4<602wvq6g=2`83>!43m389m6X=5181I42:3;pZ<7l:3y'5g2=:;k0Z<=::3y'107=911/98>5199~yxJ5=80:w[<;7;0xR``=:r.>8k4=2`9'771==<;0q);k1;3;?x{z3f;jh7>5$36f>4gc32wi=;m50;194?6|,;>n6?:m;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn<8k:182>5<7s-8?i7<:5:J107=h9hn1<7*=4d82ea=53;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1o6*:518`?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{53;294~"53b9K61b<^;?;6:058 03728=0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`22`<72:0;6=u+25g95de<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a524=8391<7>t$36f>2?<@;>97d?<7?7;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>8:&615<602wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e9>91<7=50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;37);:0;3;?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:5082<>"2=90:46sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi=::50;694?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?7;%764?7?3twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6:2.>9=4>2:~yx=n9=31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515;8R4522;q/98?5199'106=911vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj8=>6=4;:183!43m3;jo6F=439j56b=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1o6*:518`?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:508`?!3283i0qpsr;h37=?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb04a>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn<7m:185>5<7s-8?i7?5c:~yx=nmh0;6)<;e;gb?S4283;p@?;=:0yU5=7m4$473>f=zutw0ehl50;&10`v*:508f?!3283o0qpsC24390~P5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h512a8 6402{Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?><6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;gb?!55?3?>=6s+5e3951=z^8h86?uYeg81!33n3oi7)==7;765>{#=m;1=45r}|9j66c=83.98h4=3d9U606=:rF99?4>{W3:g?4|,8h?6?=j;W301?4|,4>8?51zT102<5s_om6?u+55d966c<,:8<68;>;|&6`4<602wvq6g=2`83>!43m389m6X=5181I42:3;pZ<7l:3y'5g2=:;k0Z<=::3y'107=911/98>5199~yxJ5=80:w[<;7;0xR``=:r.>8k4=2`9'771==<;0q);k1;3;?x{z3f;jh7>5$36f>4gc32wi=4;50;194?6|,;>n6?:m;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn<79:182>5<7s-8?i7<:5:J107=h9hn1<7*=4d82ea=53;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=:5+542952=zutw0e<=j:18'61c=9:i0D?:k;W064?7|D;?963481!3293;:7);:0;32?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`2=<<72:0;6=u+25g93<=O:=80e<=k:18'61c=9:i0D?:k;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi=4950;194?6|,;>n6o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn<7;:180>5<7s-8?i796;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>7:&615<6?2wvqp5f12g94?"58<51zTe5?4|,8h?6<=j;W301?4|,417>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj;9:6=49:183!43m3;8m6F=439'50b=9h1b=>m50;&10`<6;j1]>8>51zN117<6s_;2o745d3_;897f=#=<:1o6sr}|9jad<72-8?i7kn;W064?7|D;?963481!3293i0(8;?:b9~yx{P5=909wA<:2;3xR4?d2;q/=o:5ec9U563=:r.>9<4j;%764?c8?54zT17c<4s_om6?u+55d9ag=#;;=198?4}Wd3>7}#==l1=>m4$204>0363t.>h<4>4:U66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3282w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7kn;%113?3292w/9i?5159~R4d42;q]ik4={%77b?ce3-99;7;:1:'1a7=901vqp5f22g94?"5{zu2c9>l4?:%07a?45i2\99=4={M066?7|^83h6?u+1c6967g<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;7l5+3359107{e:;n1<7=50;2x 72b2;>i7E<;2:k27a<72-8?i7?3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo<=e;295?6=8r.98h4=549K614k4?:583>5}#:=o1;45G2508m45c290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;34?!3283;<7psr}:k27`<72-8?i7?1vqps4i06:>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5:j0;6>4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d32e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`1a3<72?0;6=u+25g956g<@;>97)?:d;3b?l74k3:1(?:j:01`?S4283;p@?;=:0yU53b9U563=:r.>9<4l;%764?e8>51zN117<6s_;2o7`g<^89>6?u+5439g>"2=90h7psr}:kff?6=,;>n6hl4V373>7}K:<81=vX>9b81!7e<3oi7[?<5;0x 0362l1/98>5e:~yI4293>pZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:509~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955428y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=mh1/??955438y!3c93;?7pX>b281Sca2;q/99h5ec9'771==<;0q);k1;3:?x{z3`88i7>5$36f>75b3_8><74}Q90i1>v*>b5817`=Q9:?1>v*:5082<>"2=90:46sr}M065?7|^;><6?uYeg81!33n388i6*<268614=z,9k523c8R7372;qG>8<51zT2=f<5s-;i87<=a:T270<5s-?>=7?7;%764?7?3twv@?;>:0yU611=:r\nj774f3-99;7;:1:'1a7=911vqp5`1`f94?"545c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`1a6<7280;6=u+25g9603<@;>97b?nd;29 72b28ko76sm2d694?76290;w)<;e;5:?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=9>1/98>5169~yx{:058 03728=0qpsr;h37=?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:994?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58211=Q9:?1>v*:50823>"2=90:;6sr}|9j501=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1=:5+542952=zutw0e<;7:18'61c=9:i0Z?;?:0yO604=9r\m=743?3_;89741<,=7?8;%764?703twvq6g>5`83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=h1]=>;52z&614<6?2.>9=4>7:~yx=n91vqps4i07`>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?h7[?<5;0x 03628=0(8;?:058yx{z3`;8j7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30b>P6;<09w);:1;34?!3283;<7psr}:k205<72-8?i7?>7?tVg396~"6j=0:8=5Y12796~"2=80:;6*:51823>{zut1b=9?50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69517<^89>6?u+543952=#=<:1=:5r}|8m425290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:=;W301?4|,{zut1b=9=50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69515<^89>6?u+5439g>"2=90h7psr}:k201<72-8?i7?>7?tVg396~"6j=0:895Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c0f5?6=<3:1N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628=0(8;?:058yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;34?!3283;<7psr}:k20<<72-8?i7?>7?tVg396~"6j=0:845Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a77c=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd4;=0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{56;294~"5>7?tV0;`>7}#9k>1il5Y12796~"2=80h7);:0;a8yx{z3`oi6=4+25g9ag=Q:<:1>vB=5382S7>k38p(:5yU66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3292w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4ja:&062<2=81v(8j>:e9~R4d42;q]ik4={%77b?ce3-99;7;:1:'1a7=901vqp5f22g94?"5{zu2c9>l4?:%07a?45i2\99=4={M066?7|^83h6?u+1c6967g<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;7l5+3359107{e;;l1<7=50;2x 72b2;>i7E<;2:k27a<72-8?i7?3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo=<0;295?6=8r.98h4=549K6145}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,47>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a765=83<1<7>t$36f>2?<@;>97d?>7?tVg396~"6j=0:?i5Y12796~"2=80:=6*:51825>{zut1b=>k50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628;0(8;?:038yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;34?!3283;<7psr}:k211<72-8?i7?1vqps4i074>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:7:T270<5s-?>=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd4;80;6>4?:1y'61c=9hi0D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;:?1<7<>:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80:>6*:51826>{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543957=#=<:1=?5r}|8m42>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:6;W301?4|,44<7?=;|~y>o6=>0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>569U563=:r.>9<4>2:&615<6:2wvqp5f14:94?"5:3y'5g2=9<20Z<=::3y'107=9;1/98>5139~yx{:008 0372880qpsr;h36e?6=,;>n6<=l;W064?7|D;?96m6X>3481!3293;97);:0;31?x{zu2c:9o4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821g=Q9:?1>v*:50826>"2=90:>6sr}|9j50e=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8m4V016>7}#=<;1=?5+542957=zutw0e<=i:18'61c=9:i0Z?;?:0yO604=9r\m=745a3_;89744<,=7?=;%764?753twvq6g>4083>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<81]=>;52z&614<6:2.>9=4>2:~yx=n9=81<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51508R4522;q/98?5139'106=9;1vqps4i060>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>87[?<5;0x 0362880(8;?:008yx{z3`;?87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;370>P6;<09w);:1;31?!3283;97psr}:k200<72-8?i7?>7?tVg396~"6j=0:885Y12796~"2=80:46*:5182<>{zut1b=9850;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69510<^89>6?u+5439g>"2=90h7psr}:k202<72-8?i7?>7?tVg396~"6j=0:8:5Y12796~"2=80h7);:0;a8yx{z3`;?47>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37<>P6;<09w);:1;a8 0372j1vqps4i06b>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>j7[?<5;0x 0362j1/98>5c:~yx=n9=h1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515`8R4522;q/98?5c:&6154b83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;52z&614<7m4}|~?l73l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?;d:T270<5s-?>=7m4$473>f=zutw0e<:j:18'61c=9:i0Z?;?:0yO604=9r\m=742b3_;897f=#=<:1o6sr}|9j51`=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9h4V016>7}#=<;1o6*:518`?x{zu2c:9=4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58215=Q9:?1>v*:508`?!3283i0qpsr;h365?6=,;>n6<=l;W064?7|D;?96=6X>3481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5f14194?"5:3y'5g2=9<90Z<=::3y'107=k2.>9=4l;|~y>o6=<0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>549U563=:r.>9<4l;%764?e2\:?84={%765?e<,9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>=9:1815?6=8r.98h489:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5139'106=9;1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362880(8;?:008yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;31?!3283;97psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80:>6*:51826>{zut1b=8950;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69501<^89>6?u+543957=#=<:1=?5r}|8m43?290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;7;W301?4|,44<7?=;|~y>o6=h0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>5`9U563=:r.>9<4>2:&615<6:2wvqp5f14`94?"5:3y'5g2=95139~yx{:008 0372880qpsr;h30b?6=,;>n6<=l;W064?7|D;?963481!3293;97);:0;31?x{zu2c:8=4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58205=Q9:?1>v*:50826>"2=90:>6sr}|9j517=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9?4V016>7}#=<;1=?5+542957=zutw0e<:=:18'61c=9:i0Z?;?:0yO604=9r\m=74253_;89744<,=7?=;%764?753twvq6g>4583>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<=1]=>;52z&614<6:2.>9=4>2:~yx=n9=?1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74223_;8974><,3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?;6:T270<5s-?>=7?7;%764?7?3twvq6g>4683>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<>1]=>;52z&614<7m4}|~?l7303:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?;8:T270<5s-?>=7m4$473>f=zutw0e<:n:18'61c=9:i0Z?;?:0yO604=9r\m=742f3_;897f=#=<:1o6sr}|9j51d=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9l4V016>7}#=<;1o6*:518`?x{zu2c:8n4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5820f=Q9:?1>v*:508`?!3283i0qpsr;h37`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{n6=4+25g956e<^;?;6:b9'106=k2wvqp5f15d94?"5:3y'5g2=9=l0Z<=::3y'107=k2.>9=4l;|~y>o6=90;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>519U563=:r.>9<4l;%764?e9k512a8R73728qG>8<51zTe5?4|,8h?6<;=;W301?4|,{zut1b=8=50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69505<^89>6?u+5439g>"2=90h7psr}:k210<72-8?i7?>7?tVg396~"6j=0:985Y12796~"2=80h7);:0;a8yx{z3`;>:7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;362>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj:9<6=4=1;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=?5+542957=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89744<,=7?=;%764?753twvq6g>5583>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6==1]=>;52z&614<6:2.>9=4>2:~yx=n9<=1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51458R4522;q/98?5139'106=9;1vqps4i07;>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?37[?<5;0x 0362880(8;?:008yx{z3`;>57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36=>P6;<09w);:1;31?!3283;97psr}:k21d<72-8?i7?>7?tVg396~"6j=0:9l5Y12796~"2=80:>6*:51826>{zut1b=8l50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950d<^89>6?u+543957=#=<:1=?5r}|8m43d290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;l;W301?4|,44<7?=;|~y>o6<90;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>419U563=:r.>9<4>2:&615<6:2wvqp5f15394?"5:3y'5g2=9=;0Z<=::3y'107=9;1/98>5139~yx{96=4+25g956e<^;?;6:008 0372880qpsr;h377?6=,;>n6<=l;W064?7|D;?963481!3293;97);:0;31?x{zu2c:894?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58201=Q9:?1>v*:50826>"2=90:>6sr}|9j513=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9;4V016>7}#=<;1=55+54295==zutw0e<:9:18'61c=9:i0Z?;?:0yO604=9r\m=74213_;8974><,=7m4$473>f=zutw0e<:7:18'61c=9:i0Z?;?:0yO604=9r\m=742?3_;897f=#=<:1o6sr}|9j51g=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9o4V016>7}#=<;1o6*:518`?x{zu2c:8o4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5820g=Q9:?1>v*:508`?!3283i0qpsr;h37g?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{o6=4+25g956e<^;?;6:b9'106=k2wvqp5f15g94?"5:3y'5g2=9=o0Z<=::3y'107=k2.>9=4l;|~y>o6P5=90:wA<:2;3xRc7=:r.:n94>4g9U563=:r.>9<4l;%764?e9k512a8R73728qG>8<51zTe5?4|,8h?6<;>;W301?4|,{zut1b=8<50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69504<^89>6?u+5439g>"2=90h7psr}:k216<72-8?i7?>7?tVg396~"6j=0:9>5Y12796~"2=80h7);:0;a8yx{z3`;>97>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;361>P6;<09w);:1;a8 0372j1vqps4i075>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?=7[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{26=4+25g956e<^;?;6:b9'106=k2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;:h1<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th88:4?:783>5}#:=o1=>o4H361?!72l3;j7d?;52z&614<7m4}|~?lcf290/>9k5e`9U606=9rF99?4>{W3:g?4|,8h?6ho4V016>7}#=<;1o6*:518`?x{zu2cnn7>5$36f>`d<^;?;6?uC24095~P61j09w)?m4;ga?S74=38p(8;>:d9'106=m2wvqA<:1;6xR75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4>3b9'771==<:0q);k1;37?xP5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h5e`9'771==<;0q);k1;f8yS7e;38pZhh52z&60c:4:509~ 0b62830qps4i31f>5<#:=o1>>k4V373>7}K:<81=vX>9b81!7e<388i6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1b>?o50;&10`<5:h1]>8>52zN117<6s_;2o774f3_;8974><,7}#==l1>?o4$204>0363t.>h<4>8:~y>i6im0;6)<;e;3b`>=zj:9h6=4<:183!43m38?n6F=439j56b=83.98h4>3b9K61b<^;?;6:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi?>h50;194?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi?9>50;194?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi?9?50;194?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f625290?6=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<692.>9=4>1:~yx=n9:o1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745b3_;89741<,{M066?7|^o;1>v*>b5820<=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi?9=50;794?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=n9=31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=742>3_;89741<,{M066?7|^o;1>v*>b58211=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi?9:50;794?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<692.>9=4>1:~yx=n9=31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=742>3_;89741<,{M066?7|^o;1>v*>b58211=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi?9;50;794?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0e<:6:18'61c=9:i0D?:k;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:994?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9<>0Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb21f>5N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;a8 0372j1vqps4i077>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 0362j1/98>5c:~yx=n9<=1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51458R4522;q/98?5c:&6155983>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=11]=>;52z&614<7m4}|~?l7213:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?:9:T270<5s-?>=7m4$473>f=zutw0c4gc3_;897f=#=<:1o6sr}|9~f62?29096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;<>1<7850;2x 72b289j7E<;2:&21a<6i2c:?n4?:%07a?74k2\99=4>{M066?7|^83h6?u+1c6956e<^89>6?u+5439g>"2=90h7psr}:kfe?6=,;>n6ho4V373>4}K:<81=vX>9b81!7e<3oj7[?<5;0x 0362j1/98>5c:~yx=nmk0;6)<;e;ga?S42838p@?;=:0yU5=7k4$473>`=zutF99<4;{W00b?5|^ll1>v*:4g8ff>"4:>0>9<5rVg296~"27}#==l1=>m4$204>0373t.>h<4>4:U66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6ho4$204>0363t.>h<4k;|T2f6<5s_om6?u+55d9ag=#;;=198?4}%7g5?7>3twv7d<;52z&614<602.>9=4>8:~yI4293;pZ?:8:3yUac<5s-??j7<:0:8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;8j7)==7;765>{#=m;1=55r}|9l5db=83.98h4>ae98yg5313:1?7>50z&10`<59<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd4n6t$36f>2?<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a71b=8391<7>t$36f>2?<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a71c=83<1<7>t$36f>2?<@;>97d?<7?>;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543952=#=<:1=:5r}|8m42>290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;34?!3283;<7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80:;6*:51823>{zut1b=8950;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328?<7[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c17b?6=?3:1N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543954=#=<:1=<5r}|8m45b290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,41>7?tVg396~"6j=0:845Y12796~"2=80:;6*:51823>{zut1b=8:50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 03628=0(8;?:058yx{z3`;>;7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;363>P6;<09w);:1;34?!3283;<7psr}:k21=<72-8?i7?1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj:?;6=48:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80:=6*:51825>{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543954=#=<:1=<5r}|8m42>290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;34?!3283;<7psr}:k211<72-8?i7?1vqps4i074>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?<7[?<5;0x 03628=0(8;?:058yx{z3`;>47>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6=11]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;32?!3283;:7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:=6*:51825>{zut1b=9750;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628=0(8;?:058yx{z3`;>87>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6==1]=>;52z&614<6?2.>9=4>7:~yx=n9<=1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51458R4522;q/98?5169'106=9>1vqps4i07;>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:8:T270<5s-?>=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd4=;0;6:4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628;0(8;?:038yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=n9=31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=742>3_;89741<,{M066?7|^o;1>v*>b58211=Q9:?1>v*:50823>"2=90:;6sr}|9j501=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1=:5+542952=zutw0e<;7:18'61c=9:i0D?:k;W064?7|D;?9646X>3481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`00g<7210;6=u+25g95de<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+5439g>"2=90h7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80h7);:0;a8yx{z3`;>;7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;363>P6;<09w);:1;a8 0372j1vqps4i07;>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?37[?<5;0x 0362j1/98>5c:~yx=n9<31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:514;8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd4nl0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{;87>56;294~"5>7?tV0;`>7}#9k>1il5Y12796~"2=80h7);:0;a8yx{z3`oi6=4+25g9ag=Q:<:1>vB=5382S7>k38p(:5yU66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3292w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4ja:&062<2=81v(8j>:068yS7e;38pZhh52z&60c:4:509~ 0b62830qps4i31f>5<#:=o1>>k4V373>7}K:<81=vX>9b81!7e<388i6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1b>?o50;&10`<5:h1]>8>52zN117<6s_;2o774f3_;8974><,7}#==l1>?o4$204>0363t.>h<4>8:~y>i6im0;6)<;e;3b`>=zj=:;6=4<:183!43m38?n6F=439j56b=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1o6*:518`?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{;=7>51;294~"59k51`f8?xd38;0;6>4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=<5+542954=zutw0c4gc3_;897f=#=<:1o6sr}|9~f6`a29086=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<6?2.>9=4>7:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5169'106=9>1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj=:>6=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi8n6?:m;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e<7?:;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e3<729q/>9k512c8L7253-;>h7?n;h30g?6=,;>n6<=l;W064?7|D;?96k38p(`=#=<:1i6sr}M065?2|^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;30g>"4:>0>9<5r$4f2>42v*:4g827f=#;;=198>4}%7g5?733t\9?k4<{Wge>7}#==l1io5+33591079k522g8R7372;qG>8<51zT2=f<5s-;i87<=7?7;%764?7?3twv@?;>:0yU611=:r\nj775b3-99;7;:1:'1a7=911vqp5f23c94?"5l5Y24296~J5=;0:w[?6c;0x 4d32;8j7[?<5;0x 0362820(8;?:0:8yx{K:<;1=vX=4681Sca2;q/99h523c8 6402{zu2e:mi4?:%07a?7fl21vn9>9:180>5<7s-8?i7<;b:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo:?7;295?6=8r.98h4=549K6145}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th?n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=<5+542954=zutw0e<:6:18'61c=9:i0D?:k;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:994?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58211=Q9:?1>v*:50825>"2=90:=6sr}|9j501=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1=<5+542954=zutw0e<;7:18'61c=9:i0Z?;?:0yO604=9r\m=743?3_;89747<,=7?>;%764?763twvq6g>5`83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=h1]=>;52z&614<692.>9=4>1:~yx=n95<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:c:T270<5s-?>=7?>;%764?763twvq6g>3g83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>h4V016>7}#=<;1=<5+542954=zutw0e<:?:18'61c=9:i0D?:k;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:8<4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58204=Q9:?1>v*:50823>"2=90:;6sr}|9j514=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9<4V016>7}#=<;1=:5+542952=zutw0e<:<:18'61c=9:i0Z?;?:0yO604=9r\m=74243_;89741<,=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd38k0;630D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:?h4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:o0Z<=::3y'107=981/98>5109~yx{26=4+25g956e<@;>o7[<:0;3xH73528q]j<4={%3a0?7312\:?84={%765?763-?><7?>;|~y>o6==0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>1:&615<692wvqp5f14594?"5:3y'5g2=9<=0Z<=::3y'107=981/98>5109~yx{:038 03728;0qpsr;h36=?6=,;>n6<=l;W064?7|D;?9656X>3481!3293;:7);:0;32?x{zu2c:9l4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821d=Q9:?1>v*:50825>"2=90:=6sr}|9j50d=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8l4V016>7}#=<;1=<5+542954=zutw0e<;l:18'61c=9:i0D?:k;W064?7|D;?96o6X>3481!3293;:7);:0;32?x{zu2c:?k4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:l0Z<=::3y'107=981/98>5109~yx{;6=4+25g956e<@;>o7[<:0;3xH73528q]j<4={%3a0?7382\:?84={%765?703-?><7?8;|~y>o6<80;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>409U563=:r.>9<4>7:&615<6?2wvqp5f15094?"5:3y'5g2=9=80Z<=::3y'107=9>1/98>5169~yx{86=4+25g956e<^;?;6:058 03728=0qpsr;h370?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`74f<728;1<7>t$36f>2?<@;>97d?<7?>;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543954=#=<:1=<5r}|8m42>290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;32?!3283;:7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80:=6*:51825>{zut1b=8950;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69501<^89>6?u+543954=#=<:1=<5r}|8m43?290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;7;W301?4|,47<7?>;|~y>o6=h0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>5`9U563=:r.>9<4>1:&615<692wvqp5f14`94?"5:3y'5g2=95109~yx{o7[<:0;3xH73528q]j<4={%3a0?72k2\:?84={%765?763-?><7?>;|~y>o6;o0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956`<^89>6?u+543952=#=<:1=:5r}|8m427290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:?;W301?4|,41<7?8;|~y>o6<;0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>439U563=:r.>9<4>7:&615<6?2wvqp5f15194?"5:3y'5g2=9=90Z<=::3y'107=9>1/98>5169~yx{?6=4+25g956e<^;?;6:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{;h7>51083>5}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,47>7?tVg396~"6j=0:?h5Y12796~"2=80:=6*:51825>{zut1b=9750;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628;0(8;?:038yx{z3`;>87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;32?!3283;:7psr}:k212<72-8?i7?>7?tVg396~"6j=0:9:5Y12796~"2=80:=6*:51825>{zut1b=8650;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950><^89>6?u+543954=#=<:1=<5r}|8m43>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;6;W301?4|,47<7?>;|~y>o6=k0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>5c9U563=:r.>9<4>1:&615<692wvqp5f14a94?"58<51zTe5?4|,8h?6<;l;W301?4|,47>7?tVg396~"6j=0:?k5Y12796~"2=80:=6*:51825>{zut1b=9>50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>;7[?<5;0x 03628=0(8;?:058yx{z3`;?=7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;375>P6;<09w);:1;34?!3283;<7psr}:k207<72-8?i7?>7?tVg396~"6j=0:8?5Y12796~"2=80:;6*:51823>{zut1b=9=50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69515<^89>6?u+543952=#=<:1=:5r}|8m423290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:;;W301?4|,419<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628;0(8;?:038yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<692.>9=4>1:~yx=n9=31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=742>3_;89747<,=7?>;%764?763twvq6g>5683>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=>1]=>;52z&614<692.>9=4>1:~yx=n9<21<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:514:8R4522;q/98?5109'106=981vqps4i07:>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?27[?<5;0x 03628;0(8;?:038yx{z3`;>m7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36e>P6;<09w);:1;32?!3283;:7psr}:k21g<72-8?i7?>7?tVg396~"6j=0:9o5Y12796~"2=80:=6*:51825>{zut1b=8m50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328?h7[?<5;0x 03628;0(8;?:038yx{z3`;8j7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;o1]=>;52z&614<692.>9=4>1:~yx=n9=:1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74273_;89741<,=7?8;%764?703twvq6g>4383>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<;1]=>;52z&614<6?2.>9=4>7:~yx=n9=91<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51518R4522;q/98?5169'106=9>1vqps4i067>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>?7[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c6345c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2c:844?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5820<=Q9:?1>v*:508`?!3283i0qpsr;h360?6=,;>n6<=l;W064?7|D;?9686X>3481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5f14:94?"5:3y'5g2=9<20Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e7<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c623:19k512a8R73728qG>8<51zT2=f<5s-;i87?=7m4$473>f=zutw0eho50;&10`{M066?7|^83h6?u+1c69ad=Q9:?1>v*:508`?!3283i0qpsr;hga>5<#:=o1io5Y24296~J5=;0:w[?6c;0x 4d32lh0Z<=::3y'107=m2.>9=4j;|~H7362=q]>>h53zTfb?4|,<>m6hl4$204>0363t\m<745d3-99;7;:1:'1a7=9=1vZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:519~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c:4:509~ 0b628>0q[?m3;0xR``=:r.>8k4jb:&062<2=81v(8j>:0;8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;9n7)==7;765>{#=m;1=55r}|9j67g=83.98h4=2`9U606=:rF99?4>{W3:g?4|,8h?6?4>8?51zT102<5s_om6?u+55d967g<,:8<68;>;|&6`4<602wvq6a>ae83>!43m3;jh65rb537>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c621?6=93:16<729q/>9k5789K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?763-?><7?>;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543954=#=<:1=<5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn9?<:180>5<7s-8?i796;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>7:&615<6?2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb5;0>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a0d6=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd31o0;6;4?:1y'61c=9:k0D?:=;%36`?7f3`;8o7>5$36f>45d3_8><7?tL371>4}Q90i1>v*>b5827f=Q9:?1>v*:508`?!3283i0qpsr;hgb>5<#:=o1il5Y24295~J5=;0:w[?6c;0x 4d32lk0Z<=::3y'107=k2.>9=4l;|~y>obj3:1(?:j:d`8R7372;qG>8<51zT2=f<5s-;i87km;W301?4|,{zuE8>=7:tV31e>6}Qmo09w);;f;ga?!55?3?>=6sYf181!33n3;8o6*<268614=z,v*:4g8ff>"4:>0>9<5rVg296~"27}#==l1il5+3359107v*:4g8ff>"4:>0>9<5r$4f2>4?<7?7;|~H73628q]>9952zTfb?4|,<>m6?=j;%113?3292w/9i?5199~yx=n:;k1<7*=4d816d=Q:<:1>vB=5382S7>k38p(:0:8 0372820qpsC24395~P5<>09w[ki:3y'11`=:;k0(><8:472?x"2l80:46sr}:m2ea<72-8?i7?nd:9~f1?329086=4?{%07a?43j2B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=k2.>9=4l;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4l;%764?e=3:1=7>50z&10`<5=<1C>9<4o0cg>5<#:=o1=lj4;|`7=2<72:0;6=u+25g93<=O:=80e<=k:18'61c=9:i0Z?;?:0yO604=9r\m=745c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`7==<72:0;6=u+25g93<=O:=80e<=k:18'61c=9:i0Z?;?:0yO604=9r\m=745c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`7=<<72>0;6=u+25g93<=O:=80e<=k:18'61c=9:i0Z?;?:0yO604=9r\m=745c3_;89747<,=7?>;%764?763twvq6g>4883>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<6?2.>9=4>7:~yx=n9<>1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74333_;89741<,{M066?7|^o;1>v*>b58212=Q9:?1>v*:50823>"2=90:;6sr}|9j50>=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=864V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f1?f290:=7>50z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=981/98>5109~yx{:038 03728;0qpsr;h37=?6=,;>n6<=l;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:994?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58211=Q9:?1>v*:50825>"2=90:=6sr}|9j501=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1=<5+542954=zutw0e<;7:18'61c=9:i0Z?;?:0yO604=9r\m=743?3_;89747<,=7?>;%764?763twvq6g>5`83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=8o4V016>7}#=<;1=<5+542954=zutw0e<;m:18'61c=9:i0D?:k;W064?7|D;?96n6X>3481!3293;<7);:0;34?x{zu2c:9n4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821f=Q9:?1>v*:50823>"2=90:;6sr}|9j56`=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;h374?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>419U563=:r.>9<4>7:&615<6?2wvqp5f15394?"5:3y'5g2=9=;0Z<=::3y'107=9>1/98>5169~yx{96=4+25g956e<^;?;6:b9'106=k2wvqp5f15194?"5:3y'5g2=9=90Z<=::3y'107=k2.>9=4l;|~y>o6<=0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>459U563=:r.>9<4l;%764?ej3:1=<4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628;0(8;?:038yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;32?!3283;:7psr}:k20<<72-8?i7?>7?tVg396~"6j=0:845Y12796~"2=80:=6*:51825>{zut1b=8:50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69502<^89>6?u+543954=#=<:1=<5r}|8m430290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;8;W301?4|,47<7?>;|~y>o6=00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>589U563=:r.>9<4>1:&615<692wvqp5f14c94?"58<51zTe5?4|,8h?6<;n;W301?4|,47>7?tVg396~"6j=0:9o5Y12796~"2=80:;6*:51823>{zut1b=8m50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950e<^89>6?u+543952=#=<:1=:5r}|8m45a290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30b>P6;<09w);:1;34?!3283;<7psr}:k205<72-8?i7?1vqps4i062>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>:7[?<5;0x 03628=0(8;?:058yx{z3`;?>7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;376>P6;<09w);:1;a8 0372j1vqps4i060>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>87[?<5;0x 0362j1/98>5c:~yx=n9=>1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51568R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd31j0;630D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50825>"2=90:=6sr}|9j51?=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=<5+542954=zutw0e<;;:18'61c=9:i0Z?;?:0yO604=9r\m=74333_;89747<,=7?>;%764?763twvq6g>5983>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=11]=>;52z&614<692.>9=4>1:~yx=n9<31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:514;8R4522;q/98?5109'106=981vqps4i07b>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?j7[?<5;0x 03628=0(8;?:058yx{z3`;>n7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6=k1]=>;52z&614<692.>9=4>1:~yx=n943d3_;89741<,=7?8;%764?703twvq6g>4183>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=9>4V016>7}#=<;1=:5+542952=zutw0e<:>:18'61c=9:i0D?:k;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:8?4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58207=Q9:?1>v*:50823>"2=90:;6sr}|9j515=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9=4V016>7}#=<;1o6*:518`?x{zu2c:894?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58201=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{2h7>51083>5}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,47<7?>;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>1:&615<692wvqp5f14694?"5:3y'5g2=9<>0Z<=::3y'107=981/98>5109~yx{:038 03728;0qpsr;h36n6<=l;W064?7|D;?9646X>3481!3293;:7);:0;32?x{zu2c:944?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821<=Q9:?1>v*:50825>"2=90:=6sr}|9j50g=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8o4V016>7}#=<;1=:5+542952=zutw0e<;m:18'61c=9:i0D?:k;W064?7|D;?96n6X>3481!3293;:7);:0;32?x{zu2c:9n4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=91/98>5169~yx{:058 03728=0qpsr;h374?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>419U563=:r.>9<4>7:&615<6?2wvqp5f15394?"58<51zTe5?4|,8h?6<:>;W301?4|,41<7?8;|~y>o6<:0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>429U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn979:18;>5<7s-8?i7?nc:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?l7313:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7m4$473>f=zutw0e<;;:18'61c=9:i0Z?;?:0yO604=9r\m=74333_;897f=#=<:1o6sr}|9j501=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1o6*:518`?x{zu2c:954?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821==Q9:?1>v*:508`?!3283i0qpsr;h36=?6=,;>n6<=l;W064?7|D;?9656X>3481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb5:3>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a02`=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd3010;6>4?:1y'61c=:=h0D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:078 03728?0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{3;7>56;294~"5>7?tV0;`>7}#9k>1il5Y12796~"2=80h7);:0;a8yx{z3`oi6=4+25g9ag=Q:<:1>vB=5382S7>k38p(:5yU66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3292w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4ja:&062<2=81v(8j>:e9~R4d42;q]ik4={%77b?ce3-99;7;:1:'1a7=901vqp5f22g94?"5{zu2c9>l4?:%07a?45i2\99=4={M066?7|^83h6?u+1c6967g<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;7l5+3359107{e<1;1<7=50;2x 72b2;>i7E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj=296=4>:183!43m38>96F=439l5db=83.98h4>ae98yg2?<3:187>50z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=9>1/98>5169~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?703-?><7?8;|~y>o6<00;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6951?<^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn96::186>5<7s-8?i796;I076>o6;m0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956b<^89>6?u+543952=#=<:1=:5r}|8m45b290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;34?!3283;<7psr}:k20<<72-8?i7?>7?tVg396~"6j=0:845Y12796~"2=80:;6*:51823>{zut1b=8:50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c6;7?6=;3:145c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`633<72;0;6=u+25g9`7=O:=80e<=l:18'61c=9:i07b?nd;29 72b28ko7[<:0;3xH73528q]j<4={%3a0?7fl2\:?84={%765?e<,50z&10`<6;h1C>9<4$07g>4gP6;<09w);:1;a8 0372j1vqps4idc94?"5;52z&614<7m4}|~?lce290/>9k5ec9U606=:rF99?4>{W3:g?4|,8h?6hl4V016>7}#=<;1i6*:518f?x{zD;?:69uY22d97~Pbn38p(8:i:d`8 6402{#=m;1=95rV31e>6}Qmo09w);;f;ga?!55?3?>=6sYf181!33n3;8o6*<268615=z,v*:4g8ff>"4:>0>9<5rVg296~"27}Qmo09w);;f;ga?!55?3?>=6s+5e395<=zut1b>>k50;&10`<5;l1]>8>52zN117<6s_;2o775b3_;8974><,7}#==l1>>k4$204>0363t.>h<4>8:~y>o5:h0;6)<;e;01e>P5=909wA<:2;3xR4?d2;q/=o:523c8R4522;q/98?5199'106=911vqpB=5082S43?38pZhh52z&60c<5:h1/??955438y!3c93;37psr;n3b`?6=,;>n6t$36f>72e3A8?>6g>3e83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1o6*:518`?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{51;294~"59k51`f8?xd2?h0;6>4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd2?k0;6>4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd2?j0;6>4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628=0(8;?:058yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<692.>9=4>1:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5169'106=9>1vqps4i06:>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c74a?6=<3:1N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543952=#=<:1=:5r}|8m45b290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,41<7?8;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e1<729q/>9k5789K614:058 03728=0qpsr;h30a?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>7:&615<6?2wvqp5f15;94?"5:3y'5g2=9=30Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb4:3>5<3290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0e<:6:18'61c=9:i0D?:k;W064?7|D;?963481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`63<<7210;6=u+25g95de<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+5439g>"2=90h7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80h7);:0;a8yx{z3`;>;7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;363>P6;<09w);:1;a8 0372j1vqps4i07;>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?37[?<5;0x 0362j1/98>5c:~yx=n9<31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:514;8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd2180;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543950=#=<:1=85r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn87l:185>5<7s-8?i7?5c:~yx=nmh0;6)<;e;gb?S4283;p@?;=:0yU5=7m4$473>f=zutw0ehl50;&10`v*:508f?!3283o0qpsC24390~P5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h512a8 6402{Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?><6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;gb?!55?3?>=6s+5e39`>{Q9k91>vXjf;0x 02a2lh0(><8:472?x"2l80:56sr}:k17`<72-8?i7<>7?tV0;`>7}#9k>1>>k4V016>7}#=<;1=55+54295==zutF99<4>{W073?4|^ll1>v*:4g817`=#;;=198?4}%7g5?7?3twv7d<=a;29 72b2;8j7[<:0;0xH73528q]=4m52z&2f1<5:h1]=>;52z&614<602.>9=4>8:~yI4293;pZ?:8:3yUac<5s-??j7<=a:&062<2=81v(8j>:0:8yx{5?4?:283>5}#:=o1>9l4H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb4;0>5<6290;w)<;e;061>N5<;1d=lj50;&10`<6im10qo;65;297?6=8r.98h489:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo;66;291?6=8r.98h489:J107=n9:n1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745c3_;89741<,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9j51?=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;h360?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>7:&615<6?2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e=0=1<7;50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>7:&615<6?2wvqp5f12g94?"58<51zTe5?4|,8h?6<=j;W301?4|,41>7?tVg396~"6j=0:845Y12796~"2=80:;6*:51823>{zut1b=8:50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69502<^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn877:185>5<7s-8?i796;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>1:&615<692wvqp5f12g94?"58<51zTe5?4|,8h?6<=j;W301?4|,41>7?tVg396~"6j=0:845Y12796~"2=80:;6*:51823>{zut1b=8:50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 03628=0(8;?:058yx{z3`;>;7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6=>1]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<692.>9=4>1:~yx=n9:o1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745b3_;89747<,{M066?7|^o;1>v*>b5820<=Q9:?1>v*:50825>"2=90:=6sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=:5+542952=zutw0e<;8:18'61c=9:i0D?:k;W064?7|D;?96;6X>3481!3293;<7);:0;34?x{zu2c:954?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9<20Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb4;b>5<0290;w)<;e;5:?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=981/98>5109~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?763-?><7?>;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>7:&615<6?2wvqp5f14694?"5:3y'5g2=9<>0Z<=::3y'107=9>1/98>5169~yx{o7[<:0;3xH73528q]j<4={%3a0?72?2\:?84={%765?703-?><7?8;|~y>o6=10;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6950><^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn87;:184>5<7s-8?i7?nc:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?l7313:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7m4$473>f=zutw0e<;;:18'61c=9:i0Z?;?:0yO604=9r\m=74333_;897f=#=<:1o6sr}|9j501=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1o6*:518`?x{zu2c:954?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821==Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn86n:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;>7);:0;36?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`697)?:d;3b?l74k3:1(?:j:01`?S4283;p@?;=:0yU53b9U563=:r.>9<4l;%764?e8>51zN117<6s_;2o7`g<^89>6?u+5439g>"2=90h7psr}:kff?6=,;>n6hl4V373>7}K:<81=vX>9b81!7e<3oi7[?<5;0x 0362l1/98>5e:~yI4293>pZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:509~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955428y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=mh1/??955438y!3c93n0q[?m3;0xR``=:r.>8k4jb:&062<2=81v(8j>:0;8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;9n7)==7;765>{#=m;1=55r}|9j67g=83.98h4=2`9U606=:rF99?4>{W3:g?4|,8h?6?4>8?51zT102<5s_om6?u+55d967g<,:8<68;>;|&6`4<602wvq6a>ae83>!43m3;jh65rb4:7>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c7;1?6=93:16<729q/>9k5789K614:058 03728=0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`6<=<7200;6=u+25g93<=O:=80e<=k:18'61c=9:i0D?:k;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:?h4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:o0Z<=::3y'107=981/98>5109~yx{26=4+25g956e<@;>o7[<:0;3xH73528q]j<4={%3a0?7312\:?84={%765?763-?><7?>;|~y>o6==0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>7:&615<6?2wvqp5f14594?"58<51zTe5?4|,8h?6<;8;W301?4|,41>7?tVg396~"6j=0:955Y12796~"2=80:;6*:51823>{zut1b=8750;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328?27[?<5;0x 03628=0(8;?:058yx{z3`;>m7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36e>P6;<09w);:1;34?!3283;<7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th>444?:883>5}#:=o1;45G2508m45c290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;32?!3283;:7psr}:k27`<72-8?i7?5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628=0(8;?:058yx{z3`;>87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;34?!3283;<7psr}:k212<72-8?i7?1vqps4i07;>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:8:T270<5s-?>=7?8;%764?703twvq6g>5883>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=874V016>7}#=<;1=:5+542952=zutw0e<;n:18'61c=9:i0Z?;?:0yO604=9r\m=743f3_;89741<,=7m4$473>f=zutw0qo;76;290?6=8r.98h4>ab9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4l;%764?e50z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=9;1/98>5139~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?7?3-?><7?7;|~y>o6<00;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6951?<^89>6?u+54395==#=<:1=55r}|8m433290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;3;?!3283;37psr}:k212<72-8?i7?5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj<2h6=49:183!43m3=27E<;2:k27a<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?7;%764?7?3twvq6g>4883>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=55+54295==zutw0e<;;:18'61c=9:i0D?:k;W064?7|D;?9686X>3481!3293;37);:0;3;?x{zu2c:9:4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9<=0Z<=::3y'107=911/98>5199~yx{:b9'106=k2wvqp5rb4:g>5<4290;w)<;e;3bg>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c7:a?6=:3:1N5<;1b=>m50;&10`<6;j10c4gc3_;897f=#=<:1o6sr}|9~f0gf29086=4?{%07a?43j2B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=k2.>9=4l;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>5:&615<6=2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e=h31<7850;2x 72b289j7E<;2:&21a<6i2c:?n4?:%07a?74k2\99=4>{M066?7|^83h6?u+1c6956e<^89>6?u+5439g>"2=90h7psr}:kfe?6=,;>n6ho4V373>4}K:<81=vX>9b81!7e<3oj7[?<5;0x 0362j1/98>5c:~yx=nmk0;6)<;e;ga?S42838p@?;=:0yU5=7k4$473>`=zutF99<4;{W00b?5|^ll1>v*:4g8ff>"4:>0>9<5rVg296~"27}#==l1=>m4$204>0373t.>h<4>4:U66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6ho4$204>0363t.>h<4k;|T2f6<5s_om6?u+55d9ag=#;;=198?4}%7g5?7>3twv7d<;52z&614<602.>9=4>8:~yI4293;pZ?:8:3yUac<5s-??j7<:0:8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;8j7)==7;765>{#=m;1=55r}|9l5db=83.98h4>ae98yg3>n3:1?7>50z&10`<59<4i01g>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi9l>50;394?6|,;>n6?;:;I076>i6im0;6)<;e;3b`>=zj>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj>7?tVg396~"6j=0:?i5Y12796~"2=80:=6*:51825>{zut1b=>k50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628=0(8;?:058yx{z3`;?57>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<6?2.>9=4>7:~yx=n9<>1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74333_;89741<,=7m4$473>f=zutw0qo;n4;291?6=8r.98h489:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5109'106=981vqps4i01f>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>4883>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=:5+542952=zutw0e<;;:18'61c=9:i0D?:k;W064?7|D;?9686X>3481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`6e0<72<0;6=u+25g93<=O:=80e<=k:18'61c=9:i0D?:k;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:?h4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:o0Z<=::3y'107=9>1/98>5169~yx{26=4+25g956e<@;>o7[<:0;3xH73528q]j<4={%3a0?7312\:?84={%765?703-?><7?8;|~y>o6==0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c69502<^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn8o9:185>5<7s-8?i796;I076>o6;m0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956b<^89>6?u+543954=#=<:1=<5r}|8m45b290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,41<7?8;|~y>o6==0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>7:&615<6?2wvqp5f14594?"5:3y'5g2=9<=0Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb4c4>5<1290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0e<:6:18'61c=9:i0Z?;?:0yO604=9r\m=742>3_;89741<,=7?8;%764?703twvq6g>5683>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=>1]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{26=4+25g956e<^;?;6:b9'106=k2wvqp5f14694?"5:3y'5g2=9<>0Z<=::3y'107=k2.>9=4l;|~y>o6=>0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>569U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>m8:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615a:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`44={Wge>7}#==l1io5+33591079<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?44m2.8>:4:509~ 0b62820qps4i30b>5<#:=o1>?o4V373>7}K:<81=vX>9b81!7e<389m6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1d=lj50;&10`<6im10qo=l8;297?6=8r.98h4=4c9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi?nl50;194?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<6?2.>9=4>7:~yx=n9:o1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745b3_;89741<,=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd4km0;6;4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0e<:6:18'61c=9:i0D?:k;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:994?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9<>0Z<=::3y'107=981/98>5109~yx{:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{54;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:k20<<72-8?i7?>7?tVg396~"6j=0:845Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c1a1?6=:3:1N5<;1b=>m50;&10`<6;j10c4gc3_;897f=#=<:1o6sr}|9~f6dd290=6=4?{%07a?74i2B98?5+14f95d=n9:i1<7*=4d827f=Q:<:1=vB=5382S7>k38p(:b9'106=k2wvqp5fe`83>!43m3oj7[<:0;3xH73528q]=4m52z&2f1>7?tV0;`>7}#9k>1io5Y12796~"2=80n7);:0;g8yx{K:<;18vX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=9:i0(><8:472?x"2l80:86sY22d97~Pbn38p(8:i:d`8 6402{#=m;1=95rV31e>6}Qmo09w);;f;ga?!55?3?>=6sYf181!33n3oj7)==7;765>{#=m;1h6sY1c196~Pbn38p(8:i:d`8 6402{zu2c9?h4?:%07a?44m2\99=4={M066?7|^83h6?u+1c6966c<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;79<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?45i2.8>:4:509~ 0b62820qps4o0cg>5<#:=o1=lj4;|`0f3<72:0;6=u+25g961d<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a7g1=83;1<7>t$36f>7323A8?>6a>ae83>!43m3;jh65rb2`:>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f6df29086=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<6?2.>9=4>7:~yx=n9:o1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745b3_;89741<,=7m4$473>f=zutw0qo=m8;297?6=8r.98h4>ab9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e7<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c7`e?6=>3:19k512a8R73728qG>8<51zT2=f<5s-;i87?=7m4$473>f=zutw0eho50;&10`{M066?7|^83h6?u+1c69ad=Q9:?1>v*:508`?!3283i0qpsr;hga>5<#:=o1io5Y24296~J5=;0:w[?6c;0x 4d32lh0Z<=::3y'107=m2.>9=4j;|~H7362=q]>>h53zTfb?4|,<>m6hl4$204>0363t\m<745d3-99;7;:1:'1a7=9=1vZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:519~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c:4:509~ 0b62m1vZvB=5382S7>k38p(:0:8 0372820qpsC24395~P5<>09w[ki:3y'11`=::o0(><8:472?x"2l80:46sr}:k16d<72-8?i7<=a:T115<5sE8>>7?tV0;`>7}#9k>1>?o4V016>7}#=<;1=55+54295==zutF99<4>{W073?4|^ll1>v*:4g816d=#;;=198?4}%7g5?7?3twv7b?nd;29 72b28ko76sm5b494?5=83:p(?:j:36a?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb4a4>5<6290;w)<;e;061>N5<;1d=lj50;&10`<6im10qo;l8;297?6=8r.98h489:J107=n9:n1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745c3_;89741<,=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd4jm0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{56;294~"5>7?tV0;`>7}#9k>1il5Y12796~"2=80h7);:0;a8yx{z3`oi6=4+25g9ag=Q:<:1>vB=5382S7>k38p(:5yU66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3292w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4ja:&062<2=81v(8j>:e9~R4d42;q]ik4={%77b?ce3-99;7;:1:'1a7=901vqp5f22g94?"5{zu2c9>l4?:%07a?45i2\99=4={M066?7|^83h6?u+1c6967g<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;7l5+3359107{e;ko1<7=50;2x 72b2;>i7E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj:hm6=4>:183!43m38>96F=439l5db=83.98h4>ae98yg5d93:1?7>50z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,41<7?8;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e6<729q/>9k5789K614:058 03728=0qpsr;h30a?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>7:&615<6?2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;j91<7=50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:?h4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:o0Z<=::3y'107=981/98>5109~yx{:b9'106=k2wvqp5rb2a7>5<1290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;h37=?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>7:&615<6?2wvqp5f14694?"58<51zTe5?4|,8h?6<;;;W301?4|,41>7?tVg396~"6j=0:9:5Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a7f6=83?1<7>t$36f>4gd3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<:6:18'61c=9:i0Z?;?:0yO604=9r\m=742>3_;897f=#=<:1o6sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`77g<72;0;6=u+25g9`7=O:=80e<=l:18'61c=9:i07b?nd;29 72b28ko7[<:0;3xH73528q]j<4={%3a0?7fl2\:?84={%765?e<,50z&10`<6;h1C>9<4$07g>4gP6;<09w);:1;a8 0372j1vqps4idc94?"5;52z&614<7m4}|~?lce290/>9k5ec9U606=:rF99?4>{W3:g?4|,8h?6hl4V016>7}#=<;1i6*:518f?x{zD;?:69uY22d97~Pbn38p(8:i:d`8 6402{#=m;1=95rV31e>6}Qmo09w);;f;ga?!55?3?>=6sYf181!33n3;8o6*<268615=z,v*:4g8ff>"4:>0>9<5rVg296~"27}Qmo09w);;f;ga?!55?3?>=6s+5e395<=zut1b>>k50;&10`<5;l1]>8>52zN117<6s_;2o775b3_;8974><,7}#==l1>>k4$204>0363t.>h<4>8:~y>o5:h0;6)<;e;01e>P5=909wA<:2;3xR4?d2;q/=o:523c8R4522;q/98?5199'106=911vqpB=5082S43?38pZhh52z&60c<5:h1/??955438y!3c93;37psr;n3b`?6=,;>n6t$36f>72e3A8?>6g>3e83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1o6*:518`?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{8h7>51;294~"59k51`f8?xd3;o0;6>4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd3<90;6>4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd3<80;6>4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;34?!3283;<7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a015=83>1<7>t$36f>2?<@;>97d?<7?8;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543952=#=<:1=:5r}|8m42>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:6;W301?4|,4150z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=981/98>5109~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?703-?><7?8;|~y>o6<00;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6951?<^89>6?u+543952=#=<:1=:5r}|8m433290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;;;W301?4|,4150z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,47>7?tVg396~"6j=0:?h5Y12796~"2=80:=6*:51825>{zut1b=9750;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628=0(8;?:058yx{z3`;>87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;34?!3283;<7psr}:k212<72-8?i7?1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj=9n6=47:183!43m3;jo6F=439j56b=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1o6*:518`?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:508`?!3283i0qpsr;h37=?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5f14594?"5:3y'5g2=9<=0Z<=::3y'107=k2.>9=4l;|~y>o6=10;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>599U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>8n:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;>7);:0;36?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`037<72?0;6=u+25g956g<@;>97)?:d;3b?l74k3:1(?:j:01`?S4283;p@?;=:0yU53b9U563=:r.>9<4l;%764?e8>51zN117<6s_;2o7`g<^89>6?u+5439g>"2=90h7psr}:kff?6=,;>n6hl4V373>7}K:<81=vX>9b81!7e<3oi7[?<5;0x 0362l1/98>5e:~yI4293>pZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:509~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955428y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=mh1/??955438y!3c93n0q[?m3;0xR``=:r.>8k4jb:&062<2=81v(8j>:0;8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;9n7)==7;765>{#=m;1=55r}|9j67g=83.98h4=2`9U606=:rF99?4>{W3:g?4|,8h?6?4>8?51zT102<5s_om6?u+55d967g<,:8<68;>;|&6`4<602wvq6a>ae83>!43m3;jh65rb24a>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd4>j0;6<4?:1y'61c=:n61<7>t$36f>2?<@;>97d?<7?8;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543952=#=<:1=:5r}|8m42>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:6;W301?4|,4150z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,41>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1b=9750;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628=0(8;?:058yx{z3`;>87>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6==1]=>;52z&614<6?2.>9=4>7:~yx=n9<=1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74303_;89741<,=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd4?90;6:4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0e<:6:18'61c=9:i0D?:k;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:994?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9<>0Z<=::3y'107=9>1/98>5169~yx{o7[<:0;3xH73528q]j<4={%3a0?72?2\:?84={%765?703-?><7?8;|~y>o6=10;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6950><^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>8k:187>5<7s-8?i7?nc:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?l7313:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7m4$473>f=zutw0c4gc3_;897f=#=<:1o6sr}|9~f03b29096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e=?31<7850;2x 72b289j7E<;2:&21a<6i2c:?n4?:%07a?74k2\99=4>{M066?7|^83h6?u+1c6956e<^89>6?u+5439g>"2=90h7psr}:kfe?6=,;>n6ho4V373>4}K:<81=vX>9b81!7e<3oj7[?<5;0x 0362j1/98>5c:~yx=nmk0;6)<;e;ga?S42838p@?;=:0yU5=7k4$473>`=zutF99<4;{W00b?5|^ll1>v*:4g8ff>"4:>0>9<5rVg296~"27}#==l1=>m4$204>0373t.>h<4>4:U66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6ho4$204>0363t.>h<4k;|T2f6<5s_om6?u+55d9ag=#;;=198?4}%7g5?7>3twv7d<;52z&614<602.>9=4>8:~yI4293;pZ?:8:3yUac<5s-??j7<:0:8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;8j7)==7;765>{#=m;1=55r}|9l5db=83.98h4>ae98yg32n3:1?7>50z&10`<59<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd2>90;6<4?:1y'61c=:n6t$36f>2?<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a135=83>1<7>t$36f>2?<@;>97d?>7?tVg396~"6j=0:?i5Y12796~"2=80:;6*:51823>{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543952=#=<:1=:5r}|8m42>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:6;W301?4|,4150z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,41>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn88::187>5<7s-8?i796;I076>o6;m0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956b<^89>6?u+543952=#=<:1=:5r}|8m45b290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,41<7?8;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e2<729q/>9k5789K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?763-?><7?>;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543954=#=<:1=<5r}|8m42>290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;32?!3283;:7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80:;6*:51823>{zut1b=8950;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328?<7[?<5;0x 03628=0(8;?:058yx{z3`;>47>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36<>P6;<09w);:1;34?!3283;<7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th>::4?:683>5}#:=o1;45G2508m45c290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;32?!3283;:7psr}:k27`<72-8?i7?5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628=0(8;?:058yx{z3`;>87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;34?!3283;<7psr}:k212<72-8?i7?1vqps4i07;>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?37[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c755?6=?3:145c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2c:844?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5820<=Q9:?1>v*:508`?!3283i0qpsr;h360?6=,;>n6<=l;W064?7|D;?9686X>3481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5f14:94?"5:3y'5g2=9<20Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e7<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c14g?6=>3:19k512a8R73728qG>8<51zT2=f<5s-;i87?=7m4$473>f=zutw0eho50;&10`{M066?7|^83h6?u+1c69ad=Q9:?1>v*:508`?!3283i0qpsr;hga>5<#:=o1io5Y24296~J5=;0:w[?6c;0x 4d32lh0Z<=::3y'107=m2.>9=4j;|~H7362=q]>>h53zTfb?4|,<>m6hl4$204>0363t\m<745d3-99;7;:1:'1a7=9=1vZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:519~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c:4:509~ 0b62m1vZvB=5382S7>k38p(:0:8 0372820qpsC24395~P5<>09w[ki:3y'11`=::o0(><8:472?x"2l80:46sr}:k16d<72-8?i7<=a:T115<5sE8>>7?tV0;`>7}#9k>1>?o4V016>7}#=<;1=55+54295==zutF99<4>{W073?4|^ll1>v*:4g816d=#;;=198?4}%7g5?7?3twv7b?nd;29 72b28ko76sm36794?5=83:p(?:j:36a?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=k2.>9=4l;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4l;%764?e3:1=7>50z&10`<5=<1C>9<4o0cg>5<#:=o1=lj4;|`03=<72:0;6=u+25g93<=O:=80e<=k:18'61c=9:i0Z?;?:0yO604=9r\m=745c3_;89741<,=7?8;%764?703twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd4?00;6>4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f61f29086=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=:5+542952=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89741<,=7m4$473>f=zutw0qo=87;290?6=8r.98h4>ab9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4l;%764?e7>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj:2<6=49:183!43m3;8m6F=439'50b=9h1b=>m50;&10`<6;j1]>8>51zN117<6s_;2o745d3_;897f=#=<:1o6sr}|9jad<72-8?i7kn;W064?7|D;?963481!3293i0(8;?:b9~yx{P5=909wA<:2;3xR4?d2;q/=o:5ec9U563=:r.>9<4j;%764?c8?54zT17c<4s_om6?u+55d9ag=#;;=198?4}Wd3>7}#==l1=>m4$204>0363t.>h<4>4:U66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3282w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7kn;%113?3292w/9i?5d:U5g5=:r\nj7`d<,:8<68;>;|&6`4<612wvq6g=3d83>!43m388i6X=5181I42:3;pZ<7l:3y'5g2=::o0Z<=::3y'107=911/98>5199~yxJ5=80:w[<;7;0xR``=:r.>8k4=3d9'771==<;0q);k1;3;?x{z3`89m7>5$36f>74f3_8><74}Q90i1>v*>b5816d=Q9:?1>v*:5082<>"2=90:46sr}M065?7|^;><6?uYeg81!33n389m6*<268614=z,9k51`f8?xd4?l0;6>4?:1y'61c=:=h0D?:=;h30`?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>9i:182>5<7s-8?i7<:5:J107=h9hn1<7*=4d82ea=53;294~"53b9K61b<^;?;6:058 03728=0qpsr;h30a?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>7:&615<6?2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;181<7=50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>7:&615<6?2wvqp5f12g94?"58<51zTe5?4|,8h?6<=j;W301?4|,4150z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,41<7?8;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e1<729q/>9k5789K614:058 03728=0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:844?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5820<=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi?5;50;694?6|,;>n6:74H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;h37=?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`0<5<72?0;6=u+25g95de<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+5439g>"2=90h7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80h7);:0;a8yx{z3`;>;7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;363>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj=986=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi8>o50;494?6|,;>n6<=n;I076>"6=m0:m6g>3b83>!43m3;8o6X=5182I42:3;pZ<7l:3y'5g2=9:i0Z<=::3y'107=k2.>9=4l;|~y>obi3:1(?:j:dc8R73728qG>8<51zT2=f<5s-;i87kn;W301?4|,{zut1bio4?:%07a?ce3_8><74}Q90i1>v*>b58ff>P6;<09w);:1;g8 0372l1vqpB=5087S44n39pZhh52z&60c:4:509~Rc6=:r.>8k4>3b9'771==<;0q);k1;37?xP5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h512a8 6402{Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:dc8 6402n6?=j;W064?4|D;?96{zuE8>=7?tV364>7}Qmo09w);;f;00a>"4:>0>9<5r$4f2>4><7?7;|~H73628q]>9952zTfb?4|,<>m6?887>53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th??84?:083>5}#:=o1>8;4H361?j7fl3:1(?:j:0cg?>{e<:=1<7=50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi8>650;194?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb341>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a630=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5>l0;6>4?:1y'61c=:=h0D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:078 03728?0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{56;294~"5>7?tV0;`>7}#9k>1il5Y12796~"2=80h7);:0;a8yx{z3`oi6=4+25g9ag=Q:<:1>vB=5382S7>k38p(:5yU66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3292w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4ja:&062<2=81v(8j>:e9~R4d42;q]ik4={%77b?ce3-99;7;:1:'1a7=901vqp5f22g94?"5{zu2c9>l4?:%07a?45i2\99=4={M066?7|^83h6?u+1c6967g<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;7l5+3359107{e:?91<7=50;2x 72b2;>i7E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj;:183!43m38>96F=439l5db=83.98h4>ae98yg41=3:147>50z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,41<7?8;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>7:&615<6?2wvqp5f14694?"5:3y'5g2=9<>0Z<=::3y'107=9>1/98>5169~yx{o7[<:0;3xH73528q]j<4={%3a0?72?2\:?84={%765?703-?><7?8;|~y>o6=10;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>599U563=:r.>9<4>7:&615<6?2wvqp5f14;94?"5:3y'5g2=9<30Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb344>5<1290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50826>"2=90:>6sr}|9j56c=83.98h4>3b9K61b<^;?;6:0:8 0372820qpsr;h37=?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>8:&615<602wvqp5f14694?"58<51zTe5?4|,8h?6<;;;W301?4|,4><7?7;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e3<729q/>9k5789K614:008 0372880qpsr;h30a?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>8:&615<602wvqp5f15;94?"58<51zTe5?4|,8h?6<:6;W301?4|,4>>7?tVg396~"6j=0:995Y12796~"2=80:46*:5182<>{zut1b=8950;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69501<^89>6?u+54395==#=<:1=55r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?86:185>5<7s-8?i796;I076>o6;m0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956b<^89>6?u+54395==#=<:1=55r}|8m45b290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;3;?!3283;37psr}:k20<<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:4:T270<5s-?>=7?7;%764?7?3twvq6g>5683>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1=55+54295==zutw0c4gc3_;897f=#=<:1o6sr}|9~f70f290=6=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=55+54295==zutw0e<=j:18'61c=9:i0D?:k;W064?7|D;?963481!3293;37);:0;3;?x{zu2c:844?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9=30Z<=::3y'107=911/98>5199~yx{o7[<:0;3xH73528q]j<4={%3a0?72<2\:?84={%765?7?3-?><7?7;|~y>o6=>0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>569U563=:r.>9<4>8:&615<602wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e:?h1<7;50;2x 72b28kh7E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4i06:>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 0362j1/98>5c:~yx=n9<>1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51468R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5>o0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543950=#=<:1=85r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?99:185>5<7s-8?i7?5c:~yx=nmh0;6)<;e;gb?S4283;p@?;=:0yU5=7m4$473>f=zutw0ehl50;&10`v*:508f?!3283o0qpsC24390~P5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h512a8 6402{Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?><6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;gb?!55?3?>=6s+5e39`>{Q9k91>vXjf;0x 02a2lh0(><8:472?x"2l80:56sr}:k17`<72-8?i7<>7?tV0;`>7}#9k>1>>k4V016>7}#=<;1=55+54295==zutF99<4>{W073?4|^ll1>v*:4g817`=#;;=198?4}%7g5?7?3twv7d<=a;29 72b2;8j7[<:0;0xH73528q]=4m52z&2f1<5:h1]=>;52z&614<602.>9=4>8:~yI4293;pZ?:8:3yUac<5s-??j7<=a:&062<2=81v(8j>:0:8yx{5}#:=o1>9l4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi>:?50;394?6|,;>n6?;:;I076>i6im0;6)<;e;3b`>=zj;=86=48:183!43m3=27E<;2:k27a<72-8?i7?1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628=0(8;?:058yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;34?!3283;<7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80:;6*:51823>{zut1b=8950;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69501<^89>6?u+543952=#=<:1=:5r}|8m43?290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;7;W301?4|,4150z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,41<7?8;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>7:&615<6?2wvqp5f14694?"5:3y'5g2=9<>0Z<=::3y'107=9>1/98>5169~yx{o7[<:0;3xH73528q]j<4={%3a0?72?2\:?84={%765?703-?><7?8;|~y>o6=10;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6950><^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?9=:180>5<7s-8?i7?nc:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo=78;296?6=8r.98h4k2:J107=n9:i1<7*=4d827f=:b9'106=k2wvqp5rb2;2>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:96*:51821>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a7<6=83<1<7>t$36f>45f3A8?>6*>5e82e>o6;j0;6)<;e;30g>P5=90:wA<:2;3xR4?d2;q/=o:512a8R4522;q/98?5c:&615f=#=<:1o6sr}|9jag<72-8?i7km;W064?4|D;?963481!3293o0(8;?:d9~yxJ5=80?w[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955438y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=9:i0(><8:473?x"2l80:86sY22d97~Pbn38p(8:i:d`8 6402<8:472?x"2l80o7pX>b281Sca2;q/99h5ec9'771==<;0q);k1;3:?x{z3`88i7>5$36f>75b3_8><74}Q90i1>v*>b5817`=Q9:?1>v*:5082<>"2=90:46sr}M065?7|^;><6?uYeg81!33n388i6*<268614=z,9k523c8R7372;qG>8<51zT2=f<5s-;i87<=a:T270<5s-?>=7?7;%764?7?3twv@?;>:0yU611=:r\nj774f3-99;7;:1:'1a7=911vqp5`1`f94?"545c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`097b?nd;29 72b28ko76sm39a94?2=83:p(?:j:6;8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;34?!3283;<7psr}:k27`<72-8?i7?1vqps4i06:>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c1;`?6==3:1N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543952=#=<:1=:5r}|8m45b290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,41<7?8;|~y>o6==0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>7:&615<6?2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;1o1<7;50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9j51?=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=:5+542952=zutw0e<;;:18'61c=9:i0D?:k;W064?7|D;?9686X>3481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`097d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th85?4?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo=6c;297?6=8r.98h4=4c9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=9<1/98>5149~yx{:b9'106=k2wvqp5rb2;a>5<1290;w)<;e;30e>N5<;1/=8j51`9j56e=83.98h4>3b9U606=9rF99?4>{W3:g?4|,8h?6<=l;W301?4|,{zut1bil4?:%07a?cf3_8><7?tL371>4}Q90i1>v*>b58fe>P6;<09w);:1;a8 0372j1vqps4id`94?"5;52z&614<7k4}|O607=7}#==l1io5+3359107;|&6`4<6<2w]>>h53zTfb?4|,<>m6hl4$204>0363t\m<745d3-99;7;:0:'1a7=9=1vZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?cf3-99;7;:1:'1a7=l2w]=o=52zTfb?4|,<>m6hl4$204>0363t.>h<4>9:~y>o5;l0;6)<;e;00a>P5=909wA<:2;3xR4?d2;q/=o:522g8R4522;q/98?5199'106=911vqpB=5082S43?38pZhh52z&60c<5;l1/??955438y!3c93;37psr;h01e?6=,;>n6?l5Y12796~"2=80:46*:5182<>{zuE8>=7?tV364>7}Qmo09w);;f;01e>"4:>0>9<5r$4f2>4>6<729q/>9k525`8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;a8 0372j1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&61579:187>5<7s-8?i796;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>7:&615<6?2wvqp5f12g94?"58<51zTe5?4|,8h?6<=j;W301?4|,41<7?8;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e3<729q/>9k5789K614:058 03728=0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:844?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5820<=Q9:?1>v*:50823>"2=90:;6sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=:5+542952=zutw0e<;8:18'61c=9:i0Z?;?:0yO604=9r\m=74303_;89741<,=7m4$473>f=zutw0qo=68;292?6=8r.98h489:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5169'106=9>1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628=0(8;?:058yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;34?!3283;<7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80:;6*:51823>{zut1b=8950;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328?<7[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c1:=?6=>3:1N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543952=#=<:1=:5r}|8m45b290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,41>7?tVg396~"6j=0:845Y12796~"2=80:;6*:51823>{zut1b=8:50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 03628=0(8;?:058yx{z3`;>;7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;363>P6;<09w);:1;34?!3283;<7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th8584?:483>5}#:=o1=lm4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9j51?=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1o6*:518`?x{zu2c:994?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58211=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{i7>52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn<86:185>5<7s-8?i7?5c:~yx=nmh0;6)<;e;gb?S4283;p@?;=:0yU5=7m4$473>f=zutw0ehl50;&10`v*:508f?!3283o0qpsC24390~P5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h512a8 6402{Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?><6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;gb?!55?3?>=6s+5e39`>{Q9k91>vXjf;0x 02a2lh0(><8:472?x"2l80:56sr}:k17`<72-8?i7<>7?tV0;`>7}#9k>1>>k4V016>7}#=<;1=55+54295==zutF99<4>{W073?4|^ll1>v*:4g817`=#;;=198?4}%7g5?7?3twv7d<=a;29 72b2;8j7[<:0;0xH73528q]=4m52z&2f1<5:h1]=>;52z&614<602.>9=4>8:~yI4293;pZ?:8:3yUac<5s-??j7<=a:&062<2=81v(8j>:0:8yx{5}#:=o1>9l4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi=;>50;394?6|,;>n6?;:;I076>i6im0;6)<;e;3b`>=zj8<96=4<:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj8<86=4<:183!43m3=27E<;2:k27a<72-8?i7?1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c350?6=;3:1N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628=0(8;?:058yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;34?!3283;<7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th::84?:283>5}#:=o1;45G2508m45c290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;34?!3283;<7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a530=83>1<7>t$36f>2?<@;>97d?<7?8;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>7:&615<6?2wvqp5f15;94?"58<51zTe5?4|,8h?6<:6;W301?4|,4750z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=9>1/98>5169~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?703-?><7?8;|~y>o6<00;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6951?<^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn<8>:184>5<7s-8?i7?nc:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?l7313:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7m4$473>f=zutw0e<;;:18'61c=9:i0Z?;?:0yO604=9r\m=74333_;897f=#=<:1o6sr}|9j501=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1o6*:518`?x{zu2c:954?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821==Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>o7:185>5<7s-8?i7?5c:~yx=nmh0;6)<;e;gb?S4283;p@?;=:0yU5=7m4$473>f=zutw0ehl50;&10`v*:508f?!3283o0qpsC24390~P5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h512a8 6402{Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?><6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;gb?!55?3?>=6s+5e39`>{Q9k91>vXjf;0x 02a2lh0(><8:472?x"2l80:56sr}:k17`<72-8?i7<>7?tV0;`>7}#9k>1>>k4V016>7}#=<;1=55+54295==zutF99<4>{W073?4|^ll1>v*:4g817`=#;;=198?4}%7g5?7?3twv7d<=a;29 72b2;8j7[<:0;0xH73528q]=4m52z&2f1<5:h1]=>;52z&614<602.>9=4>8:~yI4293;pZ?:8:3yUac<5s-??j7<=a:&062<2=81v(8j>:0:8yx{5}#:=o1>9l4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi?l;50;394?6|,;>n6?;:;I076>i6im0;6)<;e;3b`>=zj:k=6=4<:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80:=6*:51825>{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543954=#=<:1=<5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>oi:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615a:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`44={Wge>7}#==l1io5+33591079<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?44m2.8>:4:509~ 0b62820qps4i30b>5<#:=o1>?o4V373>7}K:<81=vX>9b81!7e<389m6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1d=lj50;&10`<6im10qo=m0;297?6=8r.98h4=4c9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi?o<50;194?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d32e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`0b7<72;0;6=u+25g9`7=O:=80e<=l:18'61c=9:i07b?nd;29 72b28ko7[<:0;3xH73528q]j<4={%3a0?7fl2\:?84={%765?e<,50z&10`<6;h1C>9<4$07g>4gP6;<09w);:1;a8 0372j1vqps4idc94?"5;52z&614<7m4}|~?lce290/>9k5ec9U606=:rF99?4>{W3:g?4|,8h?6hl4V016>7}#=<;1i6*:518f?x{zD;?:69uY22d97~Pbn38p(8:i:d`8 6402{#=m;1=95rV31e>6}Qmo09w);;f;ga?!55?3?>=6sYf181!33n3;8o6*<268615=z,v*:4g8ff>"4:>0>9<5rVg296~"27}Qmo09w);;f;ga?!55?3?>=6s+5e395<=zut1b>>k50;&10`<5;l1]>8>52zN117<6s_;2o775b3_;8974><,7}#==l1>>k4$204>0363t.>h<4>8:~y>o5:h0;6)<;e;01e>P5=909wA<:2;3xR4?d2;q/=o:523c8R4522;q/98?5199'106=911vqpB=5082S43?38pZhh52z&60c<5:h1/??955438y!3c93;37psr;n3b`?6=,;>n6t$36f>72e3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0c4gc3_;897f=#=<:1o6sr}|9~f6`7290:6=4?{%07a?42=2B98?5`1`f94?"5N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543952=#=<:1=:5r}|8m45b290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,41<4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362880(8;?:008yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;31?!3283;97psr}:k20<<72-8?i7?>7?tVg396~"6j=0:845Y12796~"2=80:>6*:51826>{zut1b=8:50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69502<^89>6?u+543957=#=<:1=?5r}|8m430290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;8;W301?4|,44<7?=;|~y>o6=00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>589U563=:r.>9<4>2:&615<6:2wvqp5f14c94?"5:3y'5g2=95139~yx{:008 0372880qpsr;h36g?6=,;>n6<=l;W064?7|D;?96o6X>3481!3293;97);:0;31?x{zu2c:?k4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827c=Q9:?1>v*:50826>"2=90:>6sr}|9j516=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9>4V016>7}#=<;1=?5+542957=zutw0e<:>:18'61c=9:i0Z?;?:0yO604=9r\m=74263_;89744<,=7?=;%764?753twvq6g>4283>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<:1]=>;52z&614<6:2.>9=4>2:~yx=n9=>1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51568R4522;q/98?5139'106=9;1vqps4i066>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?;5:T270<5s-?>=7?7;%764?7?3twvq6g>4783>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;52z&614<602.>9=4>8:~yx=n9==1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74203_;8974><,=7m4$473>f=zutw0e<:n:18'61c=9:i0Z?;?:0yO604=9r\m=742f3_;897f=#=<:1o6sr}|9j51d=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9l4V016>7}#=<;1o6*:518`?x{zu2c:8n4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5820f=Q9:?1>v*:508`?!3283i0qpsr;h37`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{n6=4+25g956e<^;?;6:b9'106=k2wvqp5f15d94?"5:3y'5g2=9=l0Z<=::3y'107=k2.>9=4l;|~y>o6=90;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>519U563=:r.>9<4l;%764?e9k512a8R73728qG>8<51zTe5?4|,8h?6<;=;W301?4|,{zut1b=8=50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69505<^89>6?u+5439g>"2=90h7psr}:k210<72-8?i7?>7?tVg396~"6j=0:985Y12796~"2=80h7);:0;a8yx{z3`;>:7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;362>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj:l?6=4=1;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=?5+542957=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89744<,=7?=;%764?753twvq6g>5583>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6==1]=>;52z&614<6:2.>9=4>2:~yx=n9<=1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51458R4522;q/98?5139'106=9;1vqps4i07;>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?37[?<5;0x 0362880(8;?:008yx{z3`;>57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36=>P6;<09w);:1;31?!3283;97psr}:k21d<72-8?i7?>7?tVg396~"6j=0:9l5Y12796~"2=80:>6*:51826>{zut1b=8l50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950d<^89>6?u+543957=#=<:1=?5r}|8m43d290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;l;W301?4|,44<7?=;|~y>o6<90;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>419U563=:r.>9<4>2:&615<6:2wvqp5f15394?"5:3y'5g2=9=;0Z<=::3y'107=9;1/98>5139~yx{96=4+25g956e<^;?;6:008 0372880qpsr;h377?6=,;>n6<=l;W064?7|D;?963481!3293;97);:0;31?x{zu2c:894?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58201=Q9:?1>v*:50826>"2=90:>6sr}|9j513=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9;4V016>7}#=<;1=55+54295==zutw0e<:9:18'61c=9:i0Z?;?:0yO604=9r\m=74213_;8974><,{M066?7|^o;1>v*>b58202=Q9:?1>v*:5082<>"2=90:46sr}|9j51>=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=964V016>7}#=<;1o6*:518`?x{zu2c:8l4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5820d=Q9:?1>v*:508`?!3283i0qpsr;h37f?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{h6=4+25g956e<^;?;6:b9'106=k2wvqp5f15f94?"5:3y'5g2=9=n0Z<=::3y'107=k2.>9=4l;|~y>o6P5=90:wA<:2;3xRc7=:r.:n94>4d9U563=:r.>9<4l;%764?e9k512a8R73728qG>8<51zTe5?4|,8h?6<;?;W301?4|,{zut1b=8?50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69507<^89>6?u+5439g>"2=90h7psr}:k217<72-8?i7?>7?tVg396~"6j=0:9?5Y12796~"2=80h7);:0;a8yx{z3`;>?7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;367>P6;<09w);:1;a8 0372j1vqps4i076>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?>7[?<5;0x 0362j1/98>5c:~yx=n9<<1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51448R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd4n<0;6>4?:1y'61c=9hi0D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e<831<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th?>94?:783>5}#:=o1=>o4H361?!72l3;j7d?;52z&614<7m4}|~?lcf290/>9k5e`9U606=9rF99?4>{W3:g?4|,8h?6ho4V016>7}#=<;1o6*:518`?x{zu2cnn7>5$36f>`d<^;?;6?uC24095~P61j09w)?m4;ga?S74=38p(8;>:d9'106=m2wvqA<:1;6xR75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4>3b9'771==<:0q);k1;37?xP5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h5e`9'771==<;0q);k1;f8yS7e;38pZhh52z&60c:4:509~ 0b62830qps4i31f>5<#:=o1>>k4V373>7}K:<81=vX>9b81!7e<388i6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1b>?o50;&10`<5:h1]>8>52zN117<6s_;2o774f3_;8974><,7}#==l1>?o4$204>0363t.>h<4>8:~y>i6im0;6)<;e;3b`>=zj=;j6=4<:183!43m38?n6F=439j56b=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1o6*:518`?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{:n7>51;294~"59k51`f8?xd39m0;6>4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd39l0;630D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:?h4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:o0Z<=::3y'107=981/98>5109~yx{26=4+25g956e<@;>o7[<:0;3xH73528q]j<4={%3a0?7312\:?84={%765?763-?><7?>;|~y>o6==0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>1:&615<692wvqp5f14594?"5:3y'5g2=9<=0Z<=::3y'107=981/98>5109~yx{:038 03728;0qpsr;h36=?6=,;>n6<=l;W064?7|D;?9656X>3481!3293;:7);:0;32?x{zu2c:9l4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821d=Q9:?1>v*:50825>"2=90:=6sr}|9j50d=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8l4V016>7}#=<;1=<5+542954=zutw0e<;l:18'61c=9:i0D?:k;W064?7|D;?96o6X>3481!3293;:7);:0;32?x{zu2c:?k4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:l0Z<=::3y'107=981/98>5109~yx{;6=4+25g956e<@;>o7[<:0;3xH73528q]j<4={%3a0?7382\:?84={%765?703-?><7?8;|~y>o6<80;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>409U563=:r.>9<4>7:&615<6?2wvqp5f15094?"5:3y'5g2=9=80Z<=::3y'107=9>1/98>5169~yx{86=4+25g956e<^;?;6:058 03728=0qpsr;h370?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb53e>5<693:1N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543954=#=<:1=<5r}|8m45b290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;32?!3283;:7psr}:k20<<72-8?i7?5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 03628;0(8;?:038yx{z3`;>;7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;363>P6;<09w);:1;32?!3283;:7psr}:k21=<72-8?i7?>7?tVg396~"6j=0:955Y12796~"2=80:=6*:51825>{zut1b=8750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950?<^89>6?u+543954=#=<:1=<5r}|8m43f290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;n;W301?4|,47<7?>;|~y>o6=j0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6950e<^89>6?u+543954=#=<:1=<5r}|8m45a290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30b>P6;<09w);:1;32?!3283;:7psr}:k205<72-8?i7?1vqps4i062>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>:7[?<5;0x 03628=0(8;?:058yx{z3`;?>7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;376>P6;<09w);:1;34?!3283;<7psr}:k206<72-8?i7?>7?tVg396~"6j=0:8>5Y12796~"2=80:;6*:51823>{zut1b=9:50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69512<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th?>=4?:0394?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=<5+542954=zutw0e<:6:18'61c=9:i0D?:k;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:994?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58211=Q9:?1>v*:50825>"2=90:=6sr}|9j501=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1=<5+542954=zutw0e<;7:18'61c=9:i0Z?;?:0yO604=9r\m=743?3_;89747<,=7?>;%764?763twvq6g>5`83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=h1]=>;52z&614<692.>9=4>1:~yx=n95<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:c:T270<5s-?>=7?>;%764?763twvq6g>3g83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>h4V016>7}#=<;1=:5+542952=zutw0e<:?:18'61c=9:i0Z?;?:0yO604=9r\m=74273_;89741<,=7?8;%764?703twvq6g>4383>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<;1]=>;52z&614<6?2.>9=4>7:~yx=n9=91<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51518R4522;q/98?5169'106=9>1vqps4i067>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>?7[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50825>"2=90:=6sr}|9j56c=83.98h4>3b9K61b<^;?;6:038 03728;0qpsr;h37=?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>1:&615<692wvqp5f14694?"5:3y'5g2=9<>0Z<=::3y'107=981/98>5109~yx{:038 03728;0qpsr;h36n6<=l;W064?7|D;?9646X>3481!3293;:7);:0;32?x{zu2c:944?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821<=Q9:?1>v*:50825>"2=90:=6sr}|9j50g=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8o4V016>7}#=<;1=<5+542954=zutw0e<;m:18'61c=9:i0Z?;?:0yO604=9r\m=743e3_;89747<,{M066?7|^o;1>v*>b5821f=Q9:?1>v*:50825>"2=90:=6sr}|9j56`=83.98h4>3b9K61b<^;?;6:038 03728;0qpsr;h374?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>419U563=:r.>9<4>7:&615<6?2wvqp5f15394?"5:3y'5g2=9=;0Z<=::3y'107=9>1/98>5169~yx{96=4+25g956e<^;?;6:058 03728=0qpsr;h377?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:894?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58201=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{9>7>51083>5}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,47>7?tVg396~"6j=0:?h5Y12796~"2=80:=6*:51825>{zut1b=9750;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628;0(8;?:038yx{z3`;>87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;32?!3283;:7psr}:k212<72-8?i7?>7?tVg396~"6j=0:9:5Y12796~"2=80:=6*:51825>{zut1b=8650;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950><^89>6?u+543954=#=<:1=<5r}|8m43>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;6;W301?4|,47<7?>;|~y>o6=k0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>5c9U563=:r.>9<4>1:&615<692wvqp5f14a94?"58<51zTe5?4|,8h?6<;l;W301?4|,47>7?tVg396~"6j=0:?k5Y12796~"2=80:=6*:51825>{zut1b=9>50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>;7[?<5;0x 03628=0(8;?:058yx{z3`;?=7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;375>P6;<09w);:1;34?!3283;<7psr}:k207<72-8?i7?>7?tVg396~"6j=0:8?5Y12796~"2=80:;6*:51823>{zut1b=9=50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69515<^89>6?u+543952=#=<:1=:5r}|8m423290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:;;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a04e=83=1<7>t$36f>4gd3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<:6:18'61c=9:i0Z?;?:0yO604=9r\m=742>3_;897f=#=<:1o6sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1o6*:518`?x{zu2c:9:4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58212=Q9:?1>v*:508`?!3283i0qpsr;h36n6<=l;W064?7|D;?9646X>3481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb2c:>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a7dc=83<1<7>t$36f>45f3A8?>6*>5e82e>o6;j0;6)<;e;30g>P5=90:wA<:2;3xR4?d2;q/=o:512a8R4522;q/98?5c:&615f=#=<:1o6sr}|9jag<72-8?i7km;W064?4|D;?963481!3293o0(8;?:d9~yxJ5=80?w[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955438y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=9:i0(><8:473?x"2l80:86sY22d97~Pbn38p(8:i:d`8 6402<8:472?x"2l80o7pX>b281Sca2;q/99h5ec9'771==<;0q);k1;3:?x{z3`88i7>5$36f>75b3_8><74}Q90i1>v*>b5817`=Q9:?1>v*:5082<>"2=90:46sr}M065?7|^;><6?uYeg81!33n388i6*<268614=z,9k523c8R7372;qG>8<51zT2=f<5s-;i87<=a:T270<5s-?>=7?7;%764?7?3twv@?;>:0yU611=:r\nj774f3-99;7;:1:'1a7=911vqp5`1`f94?"545c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`0eg<7280;6=u+25g9603<@;>97b?nd;29 72b28ko76sm3`a94?5=83:p(?:j:6;8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;34?!3283;<7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a104=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd2=?0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{h7>56;294~"5>7?tV0;`>7}#9k>1il5Y12796~"2=80h7);:0;a8yx{z3`oi6=4+25g9ag=Q:<:1>vB=5382S7>k38p(:5yU66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3292w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4ja:&062<2=81v(8j>:e9~R4d42;q]ik4={%77b?ce3-99;7;:1:'1a7=901vqp5f22g94?"5{zu2c9>l4?:%07a?45i2\99=4={M066?7|^83h6?u+1c6967g<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;7l5+3359107{e=<91<7=50;2x 72b2;>i7E<;2:k27a<72-8?i7?3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo;:4;295?6=8r.98h4=549K614984?:283>5}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,47>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a101=8321<7>t$36f>2?<@;>97d?>7?tVg396~"6j=0:?i5Y12796~"2=80:>6*:51826>{zut1b=>k50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362880(8;?:008yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;3;?!3283;37psr}:k211<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:7:T270<5s-?>=7?7;%764?7?3twvq6g>5983>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=11]=>;52z&614<602.>9=4>8:~yx=n9<31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=743>3_;8974><,=7m4$473>f=zutw0qo;:8;295<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362880(8;?:008yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;3;?!3283;37psr}:k211<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:7:T270<5s-?>=7?7;%764?7?3twvq6g>5983>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=11]=>;52z&614<602.>9=4>8:~yx=n9<31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=743>3_;8974><,=7m4$473>f=zutw0qo;:9;295<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362880(8;?:008yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;3;?!3283;37psr}:k211<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:7:T270<5s-?>=7?7;%764?7?3twvq6g>5983>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=11]=>;52z&614<602.>9=4>8:~yx=n9<31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=743>3_;8974><,=7m4$473>f=zutw0qo;:a;295<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362820(8;?:0:8yx{z3`;?57>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<602.>9=4>8:~yx=n9<>1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74333_;8974><,{M066?7|^o;1>v*>b58212=Q9:?1>v*:5082<>"2=90:46sr}|9j50>=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=864V016>7}#=<;1=55+54295==zutw0e<;6:18'61c=9:i0D?:k;W064?7|D;?9656X>3481!3293;37);:0;3;?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`61g<72<0;6=u+25g95de<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+5439g>"2=90h7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c7a=?6=:3:1N5<;1b=>m50;&10`<6;j10c4gc3_;897f=#=<:1o6sr}|9~f0db290=6=4?{%07a?74i2B98?5+14f95d=n9:i1<7*=4d827f=Q:<:1=vB=5382S7>k38p(:b9'106=k2wvqp5fe`83>!43m3oj7[<:0;3xH73528q]=4m52z&2f1>7?tV0;`>7}#9k>1io5Y12796~"2=80n7);:0;g8yx{K:<;18vX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=9:i0(><8:472?x"2l80:86sY22d97~Pbn38p(8:i:d`8 6402{#=m;1=95rV31e>6}Qmo09w);;f;ga?!55?3?>=6sYf181!33n3oj7)==7;765>{#=m;1h6sY1c196~Pbn38p(8:i:d`8 6402{zu2c9?h4?:%07a?44m2\99=4={M066?7|^83h6?u+1c6966c<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;79<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?45i2.8>:4:509~ 0b62820qps4o0cg>5<#:=o1=lj4;|`6fd<72:0;6=u+25g961d<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a1gd=83;1<7>t$36f>7323A8?>6a>ae83>!43m3;jh65rb4``>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=9>1/98>5169~yx{:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn8m;:185>5<7s-8?i7?5c:~yx=nmh0;6)<;e;gb?S4283;p@?;=:0yU5=7m4$473>f=zutw0ehl50;&10`v*:508f?!3283o0qpsC24390~P5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h512a8 6402{Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?><6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;gb?!55?3?>=6s+5e39`>{Q9k91>vXjf;0x 02a2lh0(><8:472?x"2l80:56sr}:k17`<72-8?i7<>7?tV0;`>7}#9k>1>>k4V016>7}#=<;1=55+54295==zutF99<4>{W073?4|^ll1>v*:4g817`=#;;=198?4}%7g5?7?3twv7d<=a;29 72b2;8j7[<:0;0xH73528q]=4m52z&2f1<5:h1]=>;52z&614<602.>9=4>8:~yI4293;pZ?:8:3yUac<5s-??j7<=a:&062<2=81v(8j>:0:8yx{o=4?:283>5}#:=o1>9l4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi9n?50;394?6|,;>n6?;:;I076>i6im0;6)<;e;3b`>=zj>7?tVg396~"6j=0:?i5Y12796~"2=80:;6*:51823>{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?;9:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615a:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`44={Wge>7}#==l1io5+33591079<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?44m2.8>:4:509~ 0b62820qps4i30b>5<#:=o1>?o4V373>7}K:<81=vX>9b81!7e<389m6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1d=lj50;&10`<6im10qo<:7;297?6=8r.98h4=4c9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi>8750;194?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<692.>9=4>1:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d32e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`055<72?0;6=u+25g956g<@;>97)?:d;3b?l74k3:1(?:j:01`?S4283;p@?;=:0yU53b9U563=:r.>9<4l;%764?e8>51zN117<6s_;2o7`g<^89>6?u+5439g>"2=90h7psr}:kff?6=,;>n6hl4V373>7}K:<81=vX>9b81!7e<3oi7[?<5;0x 0362l1/98>5e:~yI4293>pZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:509~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955428y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=mh1/??955438y!3c93n0q[?m3;0xR``=:r.>8k4jb:&062<2=81v(8j>:0;8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;9n7)==7;765>{#=m;1=55r}|9j67g=83.98h4=2`9U606=:rF99?4>{W3:g?4|,8h?6?4>8?51zT102<5s_om6?u+55d967g<,:8<68;>;|&6`4<602wvq6a>ae83>!43m3;jh65rb22`>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c13`?6=93:16<729q/>9k5789K614:038 03728;0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;:7);:0;32?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`010<72;0;6=u+25g9`7=O:=80e<=l:18'61c=9:i07b?nd;29 72b28ko7[<:0;3xH73528q]j<4={%3a0?7fl2\:?84={%765?e<,50z&10`<6;h1C>9<4$07g>4gP6;<09w);:1;a8 0372j1vqps4idc94?"5;52z&614<7m4}|~?lce290/>9k5ec9U606=:rF99?4>{W3:g?4|,8h?6hl4V016>7}#=<;1i6*:518f?x{zD;?:69uY22d97~Pbn38p(8:i:d`8 6402{#=m;1=95rV31e>6}Qmo09w);;f;ga?!55?3?>=6sYf181!33n3;8o6*<268615=z,v*:4g8ff>"4:>0>9<5rVg296~"27}Qmo09w);;f;ga?!55?3?>=6s+5e395<=zut1b>>k50;&10`<5;l1]>8>52zN117<6s_;2o775b3_;8974><,7}#==l1>>k4$204>0363t.>h<4>8:~y>o5:h0;6)<;e;01e>P5=909wA<:2;3xR4?d2;q/=o:523c8R4522;q/98?5199'106=911vqpB=5082S43?38pZhh52z&60c<5:h1/??955438y!3c93;37psr;n3b`?6=,;>n6t$36f>72e3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0c4gc3_;897f=#=<:1o6sr}|9~f630290:6=4?{%07a?42=2B98?5`1`f94?"5N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628;0(8;?:038yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<692.>9=4>1:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d32e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`025<72?0;6=u+25g956g<@;>97)?:d;3b?l74k3:1(?:j:01`?S4283;p@?;=:0yU53b9U563=:r.>9<4l;%764?e8>51zN117<6s_;2o7`g<^89>6?u+5439g>"2=90h7psr}:kff?6=,;>n6hl4V373>7}K:<81=vX>9b81!7e<3oi7[?<5;0x 0362l1/98>5e:~yI4293>pZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:509~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955428y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=mh1/??955438y!3c93n0q[?m3;0xR``=:r.>8k4jb:&062<2=81v(8j>:0;8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;9n7)==7;765>{#=m;1=55r}|9j67g=83.98h4=2`9U606=:rF99?4>{W3:g?4|,8h?6?4>8?51zT102<5s_om6?u+55d967g<,:8<68;>;|&6`4<602wvq6a>ae83>!43m3;jh65rb27`>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c16`?6=93:16<729q/>9k5789K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?763-?><7?>;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543954=#=<:1=<5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>7k:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615a:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`44={Wge>7}#==l1io5+33591079<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?44m2.8>:4:509~ 0b62820qps4i30b>5<#:=o1>?o4V373>7}K:<81=vX>9b81!7e<389m6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1d=lj50;&10`<6im10qo=6e;297?6=8r.98h4=4c9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi?l>50;594?6|,;>n6:74H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;h37=?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>7:&615<6?2wvqp5f14694?"58<51zTe5?4|,8h?6<;;;W301?4|,41>7?tVg396~"6j=0:9:5Y12796~"2=80:;6*:51823>{zut1b=8650;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950><^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>j?:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615a:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`44={Wge>7}#==l1io5+33591079<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?44m2.8>:4:509~ 0b62820qps4i30b>5<#:=o1>?o4V373>7}K:<81=vX>9b81!7e<389m6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1d=lj50;&10`<6im10qo=k1;297?6=8r.98h4=4c9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi?i=50;194?6|,;>n6:74H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50825>"2=90:=6sr}|9j56c=83.98h4>3b9K61b<^;?;6:038 03728;0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>jm:185>5<7s-8?i7?5c:~yx=nmh0;6)<;e;gb?S4283;p@?;=:0yU5=7m4$473>f=zutw0ehl50;&10`v*:508f?!3283o0qpsC24390~P5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h512a8 6402{Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?><6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;gb?!55?3?>=6s+5e39`>{Q9k91>vXjf;0x 02a2lh0(><8:472?x"2l80:56sr}:k17`<72-8?i7<>7?tV0;`>7}#9k>1>>k4V016>7}#=<;1=55+54295==zutF99<4>{W073?4|^ll1>v*:4g817`=#;;=198?4}%7g5?7?3twv7d<=a;29 72b2;8j7[<:0;0xH73528q]=4m52z&2f1<5:h1]=>;52z&614<602.>9=4>8:~yI4293;pZ?:8:3yUac<5s-??j7<=a:&062<2=81v(8j>:0:8yx{5}#:=o1>9l4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi?i650;394?6|,;>n6?;:;I076>i6im0;6)<;e;3b`>=zj:n26=4<:183!43m3=27E<;2:k27a<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd1=3:1>7>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj?i1<7850;2x 72b289j7E<;2:&21a<6i2c:?n4?:%07a?74k2\99=4>{M066?7|^83h6?u+1c6956e<^89>6?u+5439g>"2=90h7psr}:kfe?6=,;>n6ho4V373>4}K:<81=vX>9b81!7e<3oj7[?<5;0x 0362j1/98>5c:~yx=nmk0;6)<;e;ga?S42838p@?;=:0yU5=7k4$473>`=zutF99<4;{W00b?5|^ll1>v*:4g8ff>"4:>0>9<5rVg296~"27}#==l1=>m4$204>0373t.>h<4>4:U66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6ho4$204>0363t.>h<4k;|T2f6<5s_om6?u+55d9ag=#;;=198?4}%7g5?7>3twv7d<;52z&614<602.>9=4>8:~yI4293;pZ?:8:3yUac<5s-??j7<:0:8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;8j7)==7;765>{#=m;1=55r}|9l5db=83.98h4>ae98yg0129086=4?{%07a?43j2B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th=;7>51;294~"59k51`f8?xd113:1?7>50z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=9>1/98>5169~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?703-?><7?8;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e45c3_;89741<,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9j51?=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{3b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1o6*:518`?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi;<4?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo98:185>5<7s-8?i7?5c:~yx=nmh0;6)<;e;gb?S4283;p@?;=:0yU5=7m4$473>f=zutw0ehl50;&10`v*:508f?!3283o0qpsC24390~P5;o08w[ki:3y'11`=mk1/??955438yS`72;q/99h512a8 6402{Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?><6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;gb?!55?3?>=6s+5e39`>{Q9k91>vXjf;0x 02a2lh0(><8:472?x"2l80:56sr}:k17`<72-8?i7<>7?tV0;`>7}#9k>1>>k4V016>7}#=<;1=55+54295==zutF99<4>{W073?4|^ll1>v*:4g817`=#;;=198?4}%7g5?7?3twv7d<=a;29 72b2;8j7[<:0;0xH73528q]=4m52z&2f1<5:h1]=>;52z&614<602.>9=4>8:~yI4293;pZ?:8:3yUac<5s-??j7<=a:&062<2=81v(8j>:0:8yx{53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th=j7>51;294~"59k51`f8?xd083:1?7>50z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=9>1/98>5169~yx{:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{1;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=?5+542957=zutw0e<=j:18'61c=9:i0D?:k;W064?7|D;?963481!3293;97);:0;31?x{zu2c:844?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9=30Z<=::3y'107=9;1/98>5139~yx{:008 0372880qpsr;h363?6=,;>n6<=l;W064?7|D;?96;6X>3481!3293;97);:0;31?x{zu2c:954?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821==Q9:?1>v*:50826>"2=90:>6sr}|9j50?=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=874V016>7}#=<;1=?5+542957=zutw0e<;n:18'61c=9:i0Z?;?:0yO604=9r\m=743f3_;89744<,=7?=;%764?753twvq6g>5b83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=8m4V016>7}#=<;1=?5+542957=zutw0e<=i:18'61c=9:i0D?:k;W064?7|D;?963481!3293;37);:0;3;?x{zu2c:8=4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58205=Q9:?1>v*:5082<>"2=90:46sr}|9j517=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9?4V016>7}#=<;1=55+54295==zutw0e<:=:18'61c=9:i0Z?;?:0yO604=9r\m=74253_;8974><,=7?7;%764?7?3twvq6g>4583>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<=1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo9<:1825?6=8r.98h489:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5139'106=9;1vqps4i01f>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?=;%764?753twvq6g>4883>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=?5+542957=zutw0e<;;:18'61c=9:i0Z?;?:0yO604=9r\m=74333_;89744<,=7?=;%764?753twvq6g>5983>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=11]=>;52z&614<6:2.>9=4>2:~yx=n9<31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:514;8R4522;q/98?5139'106=9;1vqps4i07b>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?j7[?<5;0x 0362880(8;?:008yx{z3`;>n7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36f>P6;<09w);:1;31?!3283;97psr}:k21f<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?7;%764?7?3twvq6g>4183>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<91]=>;52z&614<602.>9=4>8:~yx=n9=;1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51538R4522;q/98?5199'106=911vqps4i061>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>97[?<5;0x 0362820(8;?:0:8yx{z3`;??7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;377>P6;<09w);:1;3;?!3283;37psr}:k201<72-8?i7?>7?tVg396~"6j=0:895Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c57>5<693:1N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543957=#=<:1=?5r}|8m45b290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;31?!3283;97psr}:k20<<72-8?i7?5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 0362880(8;?:008yx{z3`;>;7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;363>P6;<09w);:1;31?!3283;97psr}:k21=<72-8?i7?>7?tVg396~"6j=0:955Y12796~"2=80:>6*:51826>{zut1b=8750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950?<^89>6?u+543957=#=<:1=?5r}|8m43f290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;n;W301?4|,44<7?=;|~y>o6=j0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6950e<^89>6?u+543957=#=<:1=?5r}|8m45a290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30b>P6;<09w);:1;3;?!3283;37psr}:k205<72-8?i7?>7?tVg396~"6j=0:8=5Y12796~"2=80:46*:5182<>{zut1b=9?50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69517<^89>6?u+54395==#=<:1=55r}|8m425290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:=;W301?4|,4><7?7;|~y>o6<=0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>459U563=:r.>9<4l;%764?e:3y'5g2=9:n0Z<=::3y'107=k2.>9=4l;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn<97:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615a:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`44={Wge>7}#==l1io5+33591079<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?44m2.8>:4:509~ 0b62820qps4i30b>5<#:=o1>?o4V373>7}K:<81=vX>9b81!7e<389m6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1d=lj50;&10`<6im10qo?89;297?6=8r.98h4=4c9K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?e<,9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a52g=83;1<7>t$36f>7323A8?>6a>ae83>!43m3;jh65rb05`>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb05g>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb05f>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=9>1/98>5169~yx{:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{53;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=:5+542952=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89741<,=7m4$473>f=zutw0qo?70;290?6=8r.98h489:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5169'106=9>1vqps4i01f>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>4883>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;32?!3283;:7psr}:k27`<72-8?i7?1vqps4i06:>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7?8;%764?703twvq6g>5583>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6==1]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<692.>9=4>1:~yx=n9:o1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745b3_;89747<,{M066?7|^o;1>v*>b5820<=Q9:?1>v*:50823>"2=90:;6sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=:5+542952=zutw0e<;8:18'61c=9:i0D?:k;W064?7|D;?96;6X>3481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`23g<7210;6=u+25g95de<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+5439g>"2=90h7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80h7);:0;a8yx{z3`;>;7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;363>P6;<09w);:1;a8 0372j1vqps4i07;>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?37[?<5;0x 0362j1/98>5c:~yx=n9<31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:514;8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5=j0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{56;294~"5>7?tV0;`>7}#9k>1il5Y12796~"2=80h7);:0;a8yx{z3`oi6=4+25g9ag=Q:<:1>vB=5382S7>k38p(:5yU66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3292w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4ja:&062<2=81v(8j>:e9~R4d42;q]ik4={%77b?ce3-99;7;:1:'1a7=901vqp5f22g94?"5{zu2c9>l4?:%07a?45i2\99=4={M066?7|^83h6?u+1c6967g<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;7l5+3359107{e:i7E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj;?n6=4>:183!43m38>96F=439l5db=83.98h4>ae98yg42n3:1?7>50z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=9>1/98>5169~yx{:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>?8:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615a:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`44={Wge>7}#==l1io5+33591079<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?44m2.8>:4:509~ 0b62820qps4i30b>5<#:=o1>?o4V373>7}K:<81=vX>9b81!7e<389m6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1d=lj50;&10`<6im10qo=>2;297?6=8r.98h4=4c9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi?<;50;494?6|,;>n6:74H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50825>"2=90:=6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=<5+542954=zutw0e<:6:18'61c=9:i0D?:k;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:994?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9<>0Z<=::3y'107=981/98>5109~yx{o7[<:0;3xH73528q]j<4={%3a0?72?2\:?84={%765?763-?><7?>;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e3<729q/>9k5789K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?763-?><7?>;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>1:&615<692wvqp5f15;94?"58<51zTe5?4|,8h?6<:6;W301?4|,47>7?tVg396~"6j=0:995Y12796~"2=80:=6*:51825>{zut1b=8950;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69501<^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>?;:180>5<7s-8?i7?nc:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo=>8;295<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362880(8;?:008yx{z3`;?57>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<6:2.>9=4>2:~yx=n9<>1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74333_;8974><,{M066?7|^o;1>v*>b58212=Q9:?1>v*:5082<>"2=90:46sr}|9j50>=83.98h4>3b9K61b<^;?;6:0:8 0372820qpsr;h36=?6=,;>n6<=l;W064?7|D;?9656X>3481!3293;37);:0;3;?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`05<<7210;6=u+25g93<=O:=80e<=k:18'61c=9:i0Z?;?:0yO604=9r\m=745c3_;89744<,=7?=;%764?753twvq6g>4883>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=55+54295==zutw0e<;;:18'61c=9:i0Z?;?:0yO604=9r\m=74333_;8974><,{M066?7|^o;1>v*>b58212=Q9:?1>v*:5082<>"2=90:46sr}|9j50>=83.98h4>3b9K61b<^;?;6:0:8 0372820qpsr;h36=?6=,;>n6<=l;W064?7|D;?9656X>3481!3293;37);:0;3;?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`05d<7210;6=u+25g93<=O:=80e<=k:18'61c=9:i0Z?;?:0yO604=9r\m=745c3_;89744<,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:5082<>"2=90:46sr}|9j51?=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=55+54295==zutw0e<;;:18'61c=9:i0D?:k;W064?7|D;?9686X>3481!3293;37);:0;3;?x{zu2c:9:4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9<=0Z<=::3y'107=911/98>5199~yx{:0:8 0372820qpsr;h36=?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>589U563=:r.>9<4>8:&615<602wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;8h1<7:50;2x 72b28kh7E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4i06:>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d32e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`06a<72?0;6=u+25g956g<@;>97)?:d;3b?l74k3:1(?:j:01`?S4283;p@?;=:0yU53b9U563=:r.>9<4l;%764?e8>51zN117<6s_;2o7`g<^89>6?u+5439g>"2=90h7psr}:kff?6=,;>n6hl4V373>7}K:<81=vX>9b81!7e<3oi7[?<5;0x 0362l1/98>5e:~yI4293>pZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:509~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955428y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=mh1/??955438y!3c93n0q[?m3;0xR``=:r.>8k4jb:&062<2=81v(8j>:0;8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;9n7)==7;765>{#=m;1=55r}|9j67g=83.98h4=2`9U606=:rF99?4>{W3:g?4|,8h?6?4>8?51zT102<5s_om6?u+55d967g<,:8<68;>;|&6`4<602wvq6a>ae83>!43m3;jh65rb20:>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c11e?6=93:16<729q/>9k5789K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?763-?><7?>;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543954=#=<:1=<5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn>8>:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615a:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`44={Wge>7}#==l1io5+33591079<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?44m2.8>:4:509~ 0b62820qps4i30b>5<#:=o1>?o4V373>7}K:<81=vX>9b81!7e<389m6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1d=lj50;&10`<6im10qo=92;297?6=8r.98h4=4c9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi?;;50;194?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f60129086=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<6?2.>9=4>7:~yx=n9:o1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745b3_;89741<,=7m4$473>f=zutw0qo=97;292?6=8r.98h489:J107=n9:n1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745c3_;89741<,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9j51?=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;h360?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>7:&615<6?2wvqp5f14594?"58<51zTe5?4|,8h?6<;8;W301?4|,4150z&10`<6ij1C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&6154883>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo=kc;296?6=8r.98h4k2:J107=n9:i1<7*=4d827f=:b9'106=k2wvqp5rb2g2>5<1290;w)<;e;30e>N5<;1/=8j51`9j56e=83.98h4>3b9U606=9rF99?4>{W3:g?4|,8h?6<=l;W301?4|,{zut1bil4?:%07a?cf3_8><7?tL371>4}Q90i1>v*>b58fe>P6;<09w);:1;a8 0372j1vqps4id`94?"5;52z&614<7k4}|O607=7}#==l1io5+3359107;|&6`4<6<2w]>>h53zTfb?4|,<>m6hl4$204>0363t\m<745d3-99;7;:0:'1a7=9=1vZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?cf3-99;7;:1:'1a7=l2w]=o=52zTfb?4|,<>m6hl4$204>0363t.>h<4>9:~y>o5;l0;6)<;e;00a>P5=909wA<:2;3xR4?d2;q/=o:522g8R4522;q/98?5199'106=911vqpB=5082S43?38pZhh52z&60c<5;l1/??955438y!3c93;37psr;h01e?6=,;>n6?l5Y12796~"2=80:46*:5182<>{zuE8>=7?tV364>7}Qmo09w);;f;01e>"4:>0>9<5r$4f2>4>6<729q/>9k525`8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;a8 0372j1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615ji:180>5<7s-8?i796;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>7:&615<6?2wvqp5f12g94?"58<51zTe5?4|,8h?6<=j;W301?4|,417>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj:lo6=49:183!43m3;8m6F=439'50b=9h1b=>m50;&10`<6;j1]>8>51zN117<6s_;2o745d3_;897f=#=<:1o6sr}|9jad<72-8?i7kn;W064?7|D;?963481!3293i0(8;?:b9~yx{P5=909wA<:2;3xR4?d2;q/=o:5ec9U563=:r.>9<4j;%764?c8?54zT17c<4s_om6?u+55d9ag=#;;=198?4}Wd3>7}#==l1=>m4$204>0363t.>h<4>4:U66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3282w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7kn;%113?3292w/9i?5d:U5g5=:r\nj7`d<,:8<68;>;|&6`4<612wvq6g=3d83>!43m388i6X=5181I42:3;pZ<7l:3y'5g2=::o0Z<=::3y'107=911/98>5199~yxJ5=80:w[<;7;0xR``=:r.>8k4=3d9'771==<;0q);k1;3;?x{z3`89m7>5$36f>74f3_8><74}Q90i1>v*>b5816d=Q9:?1>v*:5082<>"2=90:46sr}M065?7|^;><6?uYeg81!33n389m6*<268614=z,9k51`f8?xd4n00;6>4?:1y'61c=:=h0D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e;ok1<7?50;2x 72b2;?>7E<;2:m2ea<72-8?i7?nd:9~f6`e29086=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=<5+542954=zutw0e<=j:18'61c=9:i0D?:k;W064?7|D;?963481!3293;:7);:0;32?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`640<72;0;6=u+25g9`7=O:=80e<=l:18'61c=9:i07b?nd;29 72b28ko7[<:0;3xH73528q]j<4={%3a0?7fl2\:?84={%765?e<,50z&10`<6;h1C>9<4$07g>4gP6;<09w);:1;a8 0372j1vqps4idc94?"5;52z&614<7m4}|~?lce290/>9k5ec9U606=:rF99?4>{W3:g?4|,8h?6hl4V016>7}#=<;1i6*:518f?x{zD;?:69uY22d97~Pbn38p(8:i:d`8 6402{#=m;1=95rV31e>6}Qmo09w);;f;ga?!55?3?>=6sYf181!33n3;8o6*<268615=z,v*:4g8ff>"4:>0>9<5rVg296~"27}Qmo09w);;f;ga?!55?3?>=6s+5e395<=zut1b>>k50;&10`<5;l1]>8>52zN117<6s_;2o775b3_;8974><,7}#==l1>>k4$204>0363t.>h<4>8:~y>o5:h0;6)<;e;01e>P5=909wA<:2;3xR4?d2;q/=o:523c8R4522;q/98?5199'106=911vqpB=5082S43?38pZhh52z&60c<5:h1/??955438y!3c93;37psr;n3b`?6=,;>n6t$36f>72e3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0c4gc3_;897f=#=<:1o6sr}|9~f060290:6=4?{%07a?42=2B98?5`1`f94?"5N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543952=#=<:1=:5r}|8m45b290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;34?!3283;<7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th>:l4?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo;85;292?6=8r.98h4>3`9K614<,8?o65<#:=o1=>m4V373>4}K:<81=vX>9b81!7e<3;8o6X>3481!3293i0(8;?:b9~yx{P5=90:wA<:2;3xR4?d2;q/=o:5e`9U563=:r.>9<4l;%764?e8>52zN117<6s_;2o7`d<^89>6?u+5439a>"2=90n7psrL372>1}Q::l1?vXjf;0x 02a2lh0(><8:472?xPa838p(8:i:01`?!55?3?>=6s+5e3951=z^;9m6>uYeg81!33n3oi7)==7;765>{Qn909w);;f;30g>"4:>0>9=5r$4f2>42v*:4g8fe>"4:>0>9<5r$4f2>a=z^8h86?uYeg81!33n3oi7)==7;765>{#=m;1=45r}|9j66c=83.98h4=3d9U606=:rF99?4>{W3:g?4|,8h?6?=j;W301?4|,4>8?51zT102<5s_om6?u+55d966c<,:8<68;>;|&6`4<602wvq6g=2`83>!43m389m6X=5181I42:3;pZ<7l:3y'5g2=:;k0Z<=::3y'107=911/98>5199~yxJ5=80:w[<;7;0xR``=:r.>8k4=2`9'771==<;0q);k1;3;?x{z3f;jh7>5$36f>4gc32wi9;l50;194?6|,;>n6?:m;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn88l:182>5<7s-8?i7<:5:J107=h9hn1<7*=4d82ea=53;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1o6*:518`?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{55;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=:5+542952=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89741<,=7?8;%764?703twvq6g>5583>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f017290<6=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<692.>9=4>1:~yx=n9:o1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745b3_;89741<,{M066?7|^o;1>v*>b5820<=Q9:?1>v*:50823>"2=90:;6sr}|9j502=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;h363?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>569U563=:r.>9<4>7:&615<6?2wvqp5f14:94?"58<51zTe5?4|,8h?6<;7;W301?4|,4150z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,41>7?tVg396~"6j=0:?h5Y12796~"2=80:;6*:51823>{zut1b=9750;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 03628=0(8;?:058yx{z3`;>87>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6==1]=>;52z&614<6?2.>9=4>7:~yx=n9<=1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74303_;89741<,{M066?7|^o;1>v*>b5821==Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi9:<50;594?6|,;>n6:74H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;h37=?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>7:&615<6?2wvqp5f14694?"58<51zTe5?4|,8h?6<;;;W301?4|,41>7?tVg396~"6j=0:9:5Y12796~"2=80:;6*:51823>{zut1b=8650;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950><^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn89<:18:>5<7s-8?i796;I076>o6;m0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956b<^89>6?u+543954=#=<:1=<5r}|8m45b290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;32?!3283;:7psr}:k20<<72-8?i7?>7?tVg396~"6j=0:845Y12796~"2=80:;6*:51823>{zut1b=8:50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 03628=0(8;?:058yx{z3`;>;7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6=>1]=>;52z&614<6?2.>9=4>7:~yx=n9<21<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=743?3_;89741<,{M066?7|^o;1>v*>b5821<=Q9:?1>v*:50823>"2=90:;6sr}|9j50g=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{57;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:k20<<72-8?i7?>7?tVg396~"6j=0:845Y12796~"2=80h7);:0;a8yx{z3`;>87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;a8 0372j1vqps4i074>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?<7[?<5;0x 0362j1/98>5c:~yx=n9<21<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:514:8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd2j:0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{56;294~"5>7?tV0;`>7}#9k>1il5Y12796~"2=80h7);:0;a8yx{z3`oi6=4+25g9ag=Q:<:1>vB=5382S7>k38p(:5yU66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3292w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7?:068yS44n39pZhh52z&60c:4:509~Rc6=:r.>8k4ja:&062<2=81v(8j>:e9~R4d42;q]ik4={%77b?ce3-99;7;:1:'1a7=901vqp5f22g94?"5{zu2c9>l4?:%07a?45i2\99=4={M066?7|^83h6?u+1c6967g<^89>6?u+54395==#=<:1=55r}|N114<6s_8?;7l5+3359107{e=k>1<7=50;2x 72b2;>i7E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj6=4>:183!43m38>96F=439l5db=83.98h4>ae98yg3e>3:1?7>50z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=9>1/98>5169~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?703-?><7?8;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e7<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c7g4?6=>3:19k512a8R73728qG>8<51zT2=f<5s-;i87?=7m4$473>f=zutw0eho50;&10`{M066?7|^83h6?u+1c69ad=Q9:?1>v*:508`?!3283i0qpsr;hga>5<#:=o1io5Y24296~J5=;0:w[?6c;0x 4d32lh0Z<=::3y'107=m2.>9=4j;|~H7362=q]>>h53zTfb?4|,<>m6hl4$204>0363t\m<745d3-99;7;:1:'1a7=9=1vZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:519~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c:4:509~ 0b62m1vZvB=5382S7>k38p(:0:8 0372820qpsC24395~P5<>09w[ki:3y'11`=::o0(><8:472?x"2l80:46sr}:k16d<72-8?i7<=a:T115<5sE8>>7?tV0;`>7}#9k>1>?o4V016>7}#=<;1=55+54295==zutF99<4>{W073?4|^ll1>v*:4g816d=#;;=198?4}%7g5?7?3twv7b?nd;29 72b28ko76sm5ba94?5=83:p(?:j:36a?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb4ag>5<6290;w)<;e;061>N5<;1d=lj50;&10`<6im10qo;le;297?6=8r.98h489:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5169'106=9>1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c3`a?6=:3:1N5<;1b=>m50;&10`<6;j10c4gc3_;897f=#=<:1o6sr}|9~f4b329096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=991/98>5119~yx{53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th:h=4?:083>5}#:=o1>8;4H361?j7fl3:1(?:j:0cg?>{e9m81<7=50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:?h4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:o0Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb0f0>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=<5+542954=zutw0c4gc3_;897f=#=<:1o6sr}|9~f4b629086=4?{%07a?7fk2B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=k2.>9=4l;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4l;%764?e7>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj8ni6=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1==5+542955=zutw0qo?k6;297?6=8r.98h4=4c9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?ed683>4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi=i750;194?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f4bf29086=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<6?2.>9=4>7:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5109'106=981vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj8n36=4<:183!43m3;jo6F=439j56b=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1o6*:518`?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d32e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:50824>"2=90:<6sr}|9~f4bc29086=4?{%07a?43j2B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=k2.>9=4l;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4l;%764?e50z&10`<5=<1C>9<4o0cg>5<#:=o1=lj4;|`2a5<72:0;6=u+25g93<=O:=80e<=k:18'61c=9:i0Z?;?:0yO604=9r\m=745c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`2a4<72:0;6=u+25g93<=O:=80e<=k:18'61c=9:i0Z?;?:0yO604=9r\m=745c3_;89747<,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi=ih50;194?6|,;>n6o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn5<7s-8?i796;I076>o6;m0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956b<^89>6?u+543957=#=<:1=?5r}|8m45b290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;31?!3283;97psr}:k20<<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:4:T270<5s-?>=7?7;%764?7?3twvq6g>5683>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=>1]=>;52z&614<602.>9=4>8:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<6:2.>9=4>2:~yx=n9:o1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745b3_;89744<,=7?=;%764?753twvq6g>5583>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=55+54295==zutw0e<;8:18'61c=9:i0Z?;?:0yO604=9r\m=74303_;8974><,=7m4$473>f=zutw0qo?j5;293?6=8r.98h489:J107=n9:n1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745c3_;89744<,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50826>"2=90:>6sr}|9j51?=83.98h4>3b9K61b<^;?;6:008 0372880qpsr;h360?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>2:&615<6:2wvqp5f14594?"58<51zTe5?4|,8h?6<;8;W301?4|,4><7?7;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?ee783>2<729q/>9k5789K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?753-?><7?=;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543957=#=<:1=?5r}|8m42>290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;31?!3283;97psr}:k211<72-8?i7?5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?<7[?<5;0x 0362820(8;?:0:8yx{z3`;>47>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36<>P6;<09w);:1;3;?!3283;37psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th:i:4?:483>5}#:=o1=lm4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9j51?=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1o6*:518`?x{zu2c:994?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58211=Q9:?1>v*:508`?!3283i0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d32e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:50824>"2=90:<6sr}|9~f4cf29086=4?{%07a?43j2B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=k2.>9=4l;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4l;%764?e50z&10`<5=<1C>9<4o0cg>5<#:=o1=lj4;|`2aa<72:0;6=u+25g93<=O:=80e<=k:18'61c=9:i0Z?;?:0yO604=9r\m=745c3_;89747<,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi=hk50;194?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?8;%764?703twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<692.>9=4>1:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb0d3>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50826>"2=90:>6sr}|9j56c=83.98h4>3b9K61b<^;?;6:0:8 0372820qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{53;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=55+54295==zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89744<,=7m4$473>f=zutw0qo?i2;297?6=8r.98h4>ab9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?ef583>7<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c3ee?6=:3:1N5<;1b=>m50;&10`<6;j10c4gc3_;897f=#=<:1o6sr}|9~f4`d29096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=991/98>5119~yx{53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th:j;4?:083>5}#:=o1>8;4H361?j7fl3:1(?:j:0cg?>{e9o21<7=50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:?h4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:o0Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb0d:>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=<5+542954=zutw0c4gc3_;897f=#=<:1o6sr}|9~f4`029086=4?{%07a?7fk2B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=k2.>9=4l;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4l;%764?e50z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,44>7?tVg396~"6j=0:?h5Y12796~"2=80:>6*:51826>{zut1b=9750;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 0362880(8;?:008yx{z3`;>87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;3;?!3283;37psr}:k212<72-8?i7?5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj8lo6=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi>=?50;094?6|,;>n6i<4H361?l74k3:1(?:j:01`?>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e7<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;33?!3283;;7psr}:a5cc=8391<7>t$36f>72e3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0c4gc3_;897f=#=<:1o6sr}|9~f4`a290:6=4?{%07a?42=2B98?5`1`f94?"5N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c036?6=>3:1N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362880(8;?:008yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6:2.>9=4>2:~yx=n9=31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=742>3_;89744<,{M066?7|^o;1>v*>b58211=Q9:?1>v*:5082<>"2=90:46sr}|9j501=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1=55+54295==zutw0c4gc3_;897f=#=<:1o6sr}|9~f764290=6=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=?5+542957=zutw0e<=j:18'61c=9:i0D?:k;W064?7|D;?963481!3293;97);:0;31?x{zu2c:844?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9=30Z<=::3y'107=9;1/98>5139~yx{o7[<:0;3xH73528q]j<4={%3a0?72<2\:?84={%765?7?3-?><7?7;|~y>o6=>0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>569U563=:r.>9<4>8:&615<602wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e:9>1<7850;2x 72b2>30D?:=;h30`?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>2:&615<6:2wvqp5f12g94?"58<51zTe5?4|,8h?6<=j;W301?4|,44>7?tVg396~"6j=0:845Y12796~"2=80:>6*:51826>{zut1b=8:50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 0362820(8;?:0:8yx{z3`;>;7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;363>P6;<09w);:1;3;?!3283;37psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th9<84?:783>5}#:=o1;45G2508m45c290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;31?!3283;97psr}:k27`<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7?=;%764?753twvq6g>5583>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=55+54295==zutw0e<;8:18'61c=9:i0Z?;?:0yO604=9r\m=74303_;8974><,=7m4$473>f=zutw0qo45c3_;89744<,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50826>"2=90:>6sr}|9j51?=83.98h4>3b9K61b<^;?;6:008 0372880qpsr;h360?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>8:&615<602wvqp5f14594?"5:3y'5g2=9<=0Z<=::3y'107=911/98>5199~yx{:b9'106=k2wvqp5rb324>5<1290;w)<;e;3bg>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;a8 0372j1vqps4i077>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 0362j1/98>5c:~yx=n9<=1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51458R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd5800;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{52;294~"56F=439j56e=83.98h4>3b98k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn??>:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5119'106=991vqps4}c03e?6=;3:145c3_;897f=#=<:1o6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`14g<7280;6=u+25g9603<@;>97b?nd;29 72b28ko76sm21f94?5=83:p(?:j:6;8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;32?!3283;:7psr}:k27`<72-8?i7?1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj;:n6=4<:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80:;6*:51823>{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543954=#=<:1=<5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?>l:180>5<7s-8?i7?nc:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo<>0;297?6=8r.98h489:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5199'106=911vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362880(8;?:008yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c026?6=:3:1N5<;1b=>m50;&10`<6;j10c4gc3_;897f=#=<:1o6sr}|9~f77?29096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=991/98>5119~yx{53;294~"59k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th9=94?:083>5}#:=o1>8;4H361?j7fl3:1(?:j:0cg?>{e:8<1<7=50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi><950;194?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f77229086=4?{%07a?7fk2B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=k2.>9=4l;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4l;%764?e7>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj;896=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1==5+542955=zutw0qo<>a;297?6=8r.98h4=4c9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e4<729q/>9k52478L7253f;jh7>5$36f>4gc32wi>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<692.>9=4>1:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;32?!3283;:7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:=6*:51825>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a64`=8391<7>t$36f>2?<@;>97d?<7?>;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn?5<7s-8?i796;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>1:&615<692wvqp5f12g94?"58<51zTe5?4|,8h?6<=j;W301?4|,4150z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=981/98>5109~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?763-?><7?>;|~y>o6<00;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6951?<^89>6?u+543954=#=<:1=<5r}|8m433290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;;;W301?4|,41<7?8;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e3<729q/>9k51`a8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;a8 0372j1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362j1/98>5c:~yx=n9=31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515;8R4522;q/98?5c:&6155583>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6==1]=>;52z&614<7m4}|~?l72?3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?:7:T270<5s-?>=7m4$473>f=zutw0c4gc3_;897f=#=<:1o6sr}|9~f74429096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e:;31<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80:<6*:51824>{zut1vn?<;:180>5<7s-8?i7<;b:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo<=5;295?6=8r.98h4=549K614:4?:283>5}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,47<7?8;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e6<729q/>9k5789K614:038 03728;0qpsr;h30a?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>7:&615<6?2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e:;<1<7=50;2x 72b28kh7E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj==>6=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi8:750;094?6|,;>n6i<4H361?l74k3:1(?:j:01`?>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4>0:&615<682wvqp5rb555>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd3?>0;6<4?:1y'61c=:n6=8391<7>t$36f>2?<@;>97d?<7?8;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543954=#=<:1=<5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn9ln:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d32e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:50824>"2=90:<6sr}|9~f1de29086=4?{%07a?43j2B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th?nn4?:083>5}#:=o1>8;4H361?j7fl3:1(?:j:0cg?>{e30D?:=;h30`?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>7:&615<6?2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb5f6>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a0a?=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd3lo0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293;;7);:0;33?x{zu2wi8i850;194?6|,;>n6?:m;I076>o6;m0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c6g3?6=93:16<729q/>9k5789K614:038 03728;0qpsr;h30a?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>1:&615<692wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e30D?:=;h30`?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>2:&615<6:2wvqp5f12g94?"58<51zTe5?4|,8h?6<=j;W301?4|,44>7?tVg396~"6j=0:845Y12796~"2=80:>6*:51826>{zut1b=8:50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 0362820(8;?:0:8yx{z3`;>;7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;363>P6;<09w);:1;3;?!3283;37psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th?ho4?:783>5}#:=o1;45G2508m45c290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;31?!3283;97psr}:k27`<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7?=;%764?753twvq6g>5583>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=55+54295==zutw0e<;8:18'61c=9:i0Z?;?:0yO604=9r\m=74303_;8974><,=7m4$473>f=zutw0qo:kc;292?6=8r.98h489:J107=n9:n1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745c3_;89744<,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50826>"2=90:>6sr}|9j51?=83.98h4>3b9K61b<^;?;6:008 0372880qpsr;h360?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>8:&615<602wvqp5f14594?"5:3y'5g2=9<=0Z<=::3y'107=911/98>5199~yx{:b9'106=k2wvqp5rb5fg>5<1290;w)<;e;5:?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=9;1/98>5139~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?753-?><7?=;|~y>o6<00;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6951?<^89>6?u+543957=#=<:1=?5r}|8m433290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;3;?!3283;37psr}:k212<72-8?i7?>7?tVg396~"6j=0:9:5Y12796~"2=80:46*:5182<>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a0ac=83?1<7>t$36f>4gd3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<:6:18'61c=9:i0Z?;?:0yO604=9r\m=742>3_;897f=#=<:1o6sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`65=<72;0;6=u+25g9`7=O:=80e<=l:18'61c=9:i07b?nd;29 72b28ko7[<:0;3xH73528q]j<4={%3a0?7fl2\:?84={%765?e<,7>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj<8:6=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1==5+542955=zutw0qo;>9;297?6=8r.98h4=4c9K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?e<,9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a14g=83;1<7>t$36f>7323A8?>6a>ae83>!43m3;jh65rb43a>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=981/98>5109~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?763-?><7?>;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e47=83:p(?:j:6;8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;31?!3283;97psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:>6*:51826>{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+543957=#=<:1=?5r}|8m433290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;;;W301?4|,44<7?=;|~y>o6=10;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>599U563=:r.>9<4>2:&615<6:2wvqp5f14;94?"5:3y'5g2=9<30Z<=::3y'107=9;1/98>5139~yx{o7[<:0;3xH73528q]j<4={%3a0?72i2\:?84={%765?753-?><7?=;|~y>o6=k0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6950d<^89>6?u+54395==#=<:1=55r}|8m43d290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;l;W301?4|,4>>7?tVg396~"6j=0:?k5Y12796~"2=80:46*:5182<>{zut1b=9>50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>;7[?<5;0x 0362820(8;?:0:8yx{z3`;?=7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;375>P6;<09w);:1;a8 0372j1vqps4i061>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>97[?<5;0x 0362j1/98>5c:~yx=n9=91<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51518R4522;q/98?5c:&6154583>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<=1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo;>e;2954<729q/>9k5789K614:008 0372880qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;97);:0;31?x{zu2c:844?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5820<=Q9:?1>v*:50826>"2=90:>6sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=?5+542957=zutw0e<;8:18'61c=9:i0Z?;?:0yO604=9r\m=74303_;89744<,=7?=;%764?753twvq6g>5883>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=01]=>;52z&614<6:2.>9=4>2:~yx=n95<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:b:T270<5s-?>=7?=;%764?753twvq6g>5b83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=8m4V016>7}#=<;1=55+54295==zutw0e<=i:18'61c=9:i0Z?;?:0yO604=9r\m=745a3_;8974><,{M066?7|^o;1>v*>b58205=Q9:?1>v*:5082<>"2=90:46sr}|9j517=83.98h4>3b9K61b<^;?;6:0:8 0372820qpsr;h376?6=,;>n6<=l;W064?7|D;?966X>3481!3293i0(8;?:b9~yx{86=4+25g956e<^;?;6:b9'106=k2wvqp5f15694?"5:3y'5g2=9=>0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e47=83:p(?:j:6;8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;31?!3283;97psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:>6*:51826>{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+543957=#=<:1=?5r}|8m433290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;;;W301?4|,44<7?=;|~y>o6=10;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>599U563=:r.>9<4>2:&615<6:2wvqp5f14;94?"5:3y'5g2=9<30Z<=::3y'107=9;1/98>5139~yx{:0:8 0372820qpsr;h36f?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>5c9U563=:r.>9<4>2:&615<6:2wvqp5f14a94?"58<51zTe5?4|,8h?6<;l;W301?4|,4><7?7;|~y>o6<90;6)<;e;30g>N58>51zN117<6s_l:6?u+1c69516<^89>6?u+54395==#=<:1=55r}|8m426290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;375>P6;<09w);:1;3;?!3283;37psr}:k207<72-8?i7?>7?tVg396~"6j=0:8?5Y12796~"2=80h7);:0;a8yx{z3`;??7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;377>P6;<09w);:1;a8 0372j1vqps4i067>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>?7[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{26=4+25g956e<^;?;6:b9'106=k2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e==>1<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th>854?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7??;%764?773twvq6sm55794?5=83:p(?:j:36a?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=k2.>9=4l;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4l;%764?e3:1=7>50z&10`<5=<1C>9<4o0cg>5<#:=o1=lj4;|`602<72:0;6=u+25g93<=O:=80e<=k:18'61c=9:i0D?:k;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50823>"2=90:;6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi89o50;094?6|,;>n6i<4H361?l74k3:1(?:j:01`?>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e7<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;33?!3283;;7psr}:a01d=8391<7>t$36f>72e3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<7m4}|~?l74m3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0c4gc3_;897f=#=<:1o6sr}|9~f12d290:6=4?{%07a?42=2B98?5`1`f94?"5N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628=0(8;?:058yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6?2.>9=4>7:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d32e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`7=5<72?0;6=u+25g956g<@;>97)?:d;3b?l74k3:1(?:j:01`?S4283;p@?;=:0yU53b9U563=:r.>9<4l;%764?e8>51zN117<6s_;2o7`g<^89>6?u+5439g>"2=90h7psr}:kff?6=,;>n6hl4V373>7}K:<81=vX>9b81!7e<3oi7[?<5;0x 0362l1/98>5e:~yI4293>pZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:509~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955428y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=mh1/??955438y!3c93n0q[?m3;0xR``=:r.>8k4jb:&062<2=81v(8j>:0;8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;9n7)==7;765>{#=m;1=55r}|9j67g=83.98h4=2`9U606=:rF99?4>{W3:g?4|,8h?6?4>8?51zT102<5s_om6?u+55d967g<,:8<68;>;|&6`4<602wvq6a>ae83>!43m3;jh65rb5:`>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c6;`?6=93:16<729q/>9k5789K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?703-?><7?8;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543952=#=<:1=:5r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn9o9:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d32e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`7ea<72?0;6=u+25g956g<@;>97)?:d;3b?l74k3:1(?:j:01`?S4283;p@?;=:0yU53b9U563=:r.>9<4l;%764?e8>51zN117<6s_;2o7`g<^89>6?u+5439g>"2=90h7psr}:kff?6=,;>n6hl4V373>7}K:<81=vX>9b81!7e<3oi7[?<5;0x 0362l1/98>5e:~yI4293>pZ?=i:2yUac<5s-??j7km;%113?3292w]j=4={%77b?74k2.8>:4:509~ 0b628>0q[<8k4jb:&062<2=81vZk>52z&60c<6;j1/??955428y!3c93;?7pX=3g80Sca2;q/99h5ec9'771==<;0q[h?:3y'11`=mh1/??955438y!3c93n0q[?m3;0xR``=:r.>8k4jb:&062<2=81v(8j>:0;8yx{P6;<09w);:1;3;?!3283;37psrL372>4}Q:==1>vXjf;0x 02a2;9n7)==7;765>{#=m;1=55r}|9j67g=83.98h4=2`9U606=:rF99?4>{W3:g?4|,8h?6?4>8?51zT102<5s_om6?u+55d967g<,:8<68;>;|&6`4<602wvq6a>ae83>!43m3;jh65rb5c4>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c6b6<729q/>9k5789K614:058 03728=0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`7eg<72?0;6=u+25g93<=O:=80e<=k:18'61c=9:i0Z?;?:0yO604=9r\m=745c3_;8974><,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:5082<>"2=90:46sr}|9j51?=83.98h4>3b9K61b<^;?;6:0:8 0372820qpsr;h360?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>8:&615<602wvqp5f14594?"58<51zTe5?4|,8h?6<;8;W301?4|,4>3:1>7>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj=lh6=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi9=<50;094?6|,;>n6i<4H361?l74k3:1(?:j:01`?>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4>0:&615<682wvqp5rb5d4>5<4290;w)<;e;07f>N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362j1/98>5c:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5c:&615ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd3n10;6<4?:1y'61c=:n6t$36f>2?<@;>97d?<7?8;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>7:&615<6?2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj=lo6=48:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80:>6*:51826>{zut1b=>k50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362820(8;?:0:8yx{z3`;?57>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<602.>9=4>8:~yx=n9<>1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74333_;8974><,{M066?7|^o;1>v*>b58212=Q9:?1>v*:5082<>"2=90:46sr}|9j50>=83.98h4>3b9K61b<^;?;6:0:8 0372820qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{mi7>57;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=?5+542957=zutw0e<=j:18'61c=9:i0D?:k;W064?7|D;?963481!3293;37);:0;3;?x{zu2c:844?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9=30Z<=::3y'107=911/98>5199~yx{o7[<:0;3xH73528q]j<4={%3a0?72<2\:?84={%765?7?3-?><7?7;|~y>o6=>0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c69501<^89>6?u+54395==#=<:1=55r}|8m43?290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;7;W301?4|,4>50z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=9;1/98>5139~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?7?3-?><7?7;|~y>o6<00;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6951?<^89>6?u+54395==#=<:1=55r}|8m433290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;3;?!3283;37psr}:k212<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:8:T270<5s-?>=7?7;%764?7?3twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd2890;6:4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362880(8;?:008yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<602.>9=4>8:~yx=n9=31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=742>3_;8974><,{M066?7|^o;1>v*>b58211=Q9:?1>v*:5082<>"2=90:46sr}|9j501=83.98h4>3b9K61b<^;?;6:0:8 0372820qpsr;h36n6<=l;W064?7|D;?9646X>3481!3293;37);:0;3;?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`644<72<0;6=u+25g95de<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+5439g>"2=90h7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c3b5?6=:3:1N5<;1b=>m50;&10`<6;j10c4gc3_;897f=#=<:1o6sr}|9~f4g529096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e9h91<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th:m:4?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7??;%764?773twvq6sm1`694?5=83:p(?:j:36a?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb0c6>5<6290;w)<;e;061>N5<;1d=lj50;&10`<6im10qo?n6;295?6=8r.98h4=549K6145}#:=o1;45G2508m45c290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;d8 0372o1vqps4i01f>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7h4$473>c=zutw0c4gc3_;897f=#=<:1o6sr}|9~fg2=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xde03:1>7>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zjko1<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80:<6*:51824>{zut1vno;50;194?6|,;>n6?:m;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vno850;394?6|,;>n6?;:;I076>i6im0;6)<;e;3b`>=zjk=1<7=50;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50825>"2=90:=6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2win44?:583>5}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,4>>7?tVg396~"6j=0:?h5Y12796~"2=80:46*:5182<>{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+54395==#=<:1=55r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vnoo50;694?6|,;>n6:74H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:5082<>"2=90:46sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=55+54295==zutw0e<:6:18'61c=9:i0Z?;?:0yO604=9r\m=742>3_;8974><,=7m4$473>f=zutw0qolm:186>5<7s-8?i796;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>8:&615<602wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=911/98>5199~yx{26=4+25g956e<^;?;6:0:8 0372820qpsr;h360?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>8:&615<602wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{ejj0;6:4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362880(8;?:008yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<602.>9=4>8:~yx=n9=31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=742>3_;8974><,{M066?7|^o;1>v*>b58211=Q9:?1>v*:5082<>"2=90:46sr}|9j501=83.98h4>3b9K61b<^;?;6:0:8 0372820qpsr;h36n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>599U563=:r.>9<4>8:&615<602wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{ejm0;684?:1y'61c=9hi0D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5f15;94?"5:3y'5g2=9=30Z<=::3y'107=k2.>9=4l;|~y>o6==0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4l;%764?e6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{ek?0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293;;7);:0;33?x{zu2wio>4?:283>5}#:=o1>9l4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wio94?:083>5}#:=o1>8;4H361?j7fl3:1(?:j:0cg?>{ek<0;6>4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 03628;0(8;?:038yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;32?!3283;:7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th?9<4?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo97:181>5<7s-8?i7j=;I076>o6;j0;6)<;e;30g>=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615b:k27f<72-8?i7?>7?tV0;`>7}#9k>1=>m4V016>7}#=<;1o6*:518`?x{zu2cnm7>5$36f>`g<^;?;6:b9'106=k2wvqp5fec83>!43m3oi7[<:0;0xH73528q]=4m52z&2f1v*:4g827f=#;;=198?4}%7g5?733t\9?k4<{Wge>7}#==l1io5+3359107>h53zTfb?4|,<>m6hl4$204>0363t\m<7`g<,:8<68;>;|&6`44={Wge>7}#==l1io5+33591079<4>8:&615<602wvqA<:1;3xR7202;q]ik4={%77b?44m2.8>:4:509~ 0b62820qps4i30b>5<#:=o1>?o4V373>7}K:<81=vX>9b81!7e<389m6X>3481!3293;37);:0;3;?x{zD;?:6=6s+5e395==zut1d=lj50;&10`<6im10qo::2;297?6=8r.98h4=4c9K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?e<,9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a005=83;1<7>t$36f>7323A8?>6a>ae83>!43m3;jh65rb576>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50823>"2=90:;6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=:5+542952=zutw0c4gc3_;897f=#=<:1o6sr}|9~f13129086=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=:5+542952=zutw0e<=j:18'61c=9:i0D?:k;W064?7|D;?963481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`711<72:0;6=u+25g95de<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a03d=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xdc93:1>7>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj==96=49:183!43m3;8m6F=439'50b=9k1b=>m50;&10`<6;j1]>8>51zN117<6s_;2o745d3_;897f=#=<:1o6sr}|9jad<72-8?i7kn;W064?7|D;?963481!3293i0(8;?:b9~yx{P5=909wA<:2;3xR4?d2;q/=o:5ec9U563=:r.>9<4j;%764?c8?54zT17c<4s_om6?u+55d9ag=#;;=198?4}Wd3>7}#==l1=>m4$204>0363t.>h<4>4:U66`=;r\nj7`d<,:8<68;>;|Te4?4|,<>m6<=l;%113?3282w/9i?5159~R75a2:q]ik4={%77b?ce3-99;7;:1:Ub5<5s-??j7kn;%113?3292w/9i?5d:U5g5=:r\nj7`d<,:8<68;>;|&6`4<612wvq6g=3d83>!43m388i6X=5181I42:3;pZ<7l:3y'5g2=::o0Z<=::3y'107=911/98>5199~yxJ5=80:w[<;7;0xR``=:r.>8k4=3d9'771==<;0q);k1;3;?x{z3`89m7>5$36f>74f3_8><74}Q90i1>v*>b5816d=Q9:?1>v*:5082<>"2=90:46sr}M065?7|^;><6?uYeg81!33n389m6*<268614=z,9k51`f8?xd3>j0;6>4?:1y'61c=:=h0D?:=;h30`?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn98k:182>5<7s-8?i7<:5:J107=h9hn1<7*=4d82ea==j7>54;294~"53b9K61b<^;?;6:058 03728=0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2c:844?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9=30Z<=::3y'107=9>1/98>5169~yx{:b9'106=k2wvqp5rb553>5<3290;w)<;e;5:?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=9>1/98>5169~yx{:058 03728=0qpsr;h37=?6=,;>n6<=l;W064?7|D;?963481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`72`<72:0;6=u+25g95de<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a0g6=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd3j>0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293;;7);:0;33?x{zu2wi8o?50;194?6|,;>n6?:m;I076>o6;m0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c6a6?6=93:11<729q/>9k5789K614:038 03728;0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293;:7);:0;32?x{zu2c:844?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9=30Z<=::3y'107=981/98>5109~yx{:b9'106=k2wvqp5rb5`6>5<2290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50825>"2=90:=6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=<5+542954=zutw0e<:6:18'61c=9:i0Z?;?:0yO604=9r\m=742>3_;89747<,{M066?7|^o;1>v*>b58211=Q9:?1>v*:50825>"2=90:=6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi8o850;794?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?>;%764?763twvq6g>3d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<692.>9=4>1:~yx=n9=31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515;8R4522;q/98?5109'106=981vqps4i077>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:4:T270<5s-?>=7?>;%764?763twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd3j:0;694?:1y'61c=9hi0D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5f15;94?"5:3y'5g2=9=30Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e7<729q/>9k5d39K6145$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c6f2?6=:3:1N5<;1b=>m50;&10`<6;j10c4gc3_;897f=#=<:1o6sr}|9~f1c?29096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=991/98>5119~yx{n?7>53;294~"59k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;a8 0372j1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155<7s-8?i796;I076>o6;m0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956b<^89>6?u+543954=#=<:1=<5r}|8m45b290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;32?!3283;:7psr}:k20<<72-8?i7?5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328??7[?<5;0x 03628=0(8;?:058yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c6f3?6=>3:1N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362880(8;?:008yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6:2.>9=4>2:~yx=n9=31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=742>3_;89744<,=7?7;%764?7?3twvq6g>5683>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=>1]=>;52z&614<602.>9=4>8:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d32e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`7ac<72;0;6=u+25g9`7=O:=80e<=l:18'61c=9:i07b?nd;29 72b28ko7[<:0;3xH73528q]j<4={%3a0?7fl2\:?84={%765?e<,7>50z&10`5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 03628:0(8;?:028yx{z3th?in4?:283>5}#:=o1>9l4H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb5gg>5<6290;w)<;e;061>N5<;1d=lj50;&10`<6im10qo:je;291?6=8r.98h489:J107=n9:n1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745c3_;89747<,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50825>"2=90:=6sr}|9j51?=83.98h4>3b9K61b<^;?;6:038 03728;0qpsr;h360?6=,;>n6<=l;W064?7|D;?9686X>3481!3293;<7);:0;34?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`7b5<72:0;6=u+25g93<=O:=80e<=k:18'61c=9:i0Z?;?:0yO604=9r\m=745c3_;8974><,{M066?7|^o;1>v*>b5827`=Q9:?1>v*:5082<>"2=90:46sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi8k?50;494?6|,;>n6:74H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7?=;%764?753twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=55+54295==zutw0e<:6:18'61c=9:i0Z?;?:0yO604=9r\m=742>3_;8974><,=7?7;%764?7?3twvq6g>5683>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=>1]=>;52z&614<602.>9=4>8:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb42g>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a145=8381<7>t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd29<0;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293;;7);:0;33?x{zu2wi9=k50;194?6|,;>n6?:m;I076>o6;m0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c73b?6=93:10<729q/>9k5789K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?763-?><7?>;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>1:&615<692wvqp5f15;94?"58<51zTe5?4|,8h?6<:6;W301?4|,47>7?tVg396~"6j=0:995Y12796~"2=80:=6*:51825>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a144=83?1<7>t$36f>2?<@;>97d?>7?tVg396~"6j=0:?i5Y12796~"2=80:=6*:51825>{zut1b=>k50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628;0(8;?:038yx{z3`;?57>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<692.>9=4>1:~yx=n9<>1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74333_;89741<,=7m4$473>f=zutw0qo;>0;297?6=8r.98h4>ab9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e6<729q/>9k5789K614:0:8 0372820qpsr;h30a?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>2:&615<6:2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{em80;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{6=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1==5+542955=zutw0qok=:180>5<7s-8?i7<;b:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qok<:182>5<7s-8?i7<:5:J107=h9hn1<7*=4d82ea=:183!43m3;jn6F=439l5db=83.98h4>ae98ygbd29096=4?{%07a?b53A8?>6g>3b83>!43m3;8o65`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{em90;6?4?:1y'61c=l;1C>9<4i01`>5<#:=o1=>m4;n3b`?6=,;>n63481!3293;;7);:0;33?x{zu2wihi4?:283>5}#:=o1>9l4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9l5db=83.98h4>ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wihh4?:083>5}#:=o1>8;4H361?j7fl3:1(?:j:0cg?>{elo0;6<4?:1y'61c=9hh0D?:=;n3b`?6=,;>n6N5<;1b=>m50;&10`<6;j10c4gc3_;897f=#=<:1o6sr}|9~f6<72;0;6=u+25g9`7=O:=80e<=l:18'61c=9:i07b?nd;29 72b28ko7[<:0;3xH73528q]j<4={%3a0?7fl2\:?84={%765?e<,t$36f>a4<@;>97d?ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<682.>9=4>0:~yx=zj90;6>4?:1y'61c=:=h0D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e93:1=7>50z&10`<5=<1C>9<4o0cg>5<#:=o1=lj4;|`1>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50825>"2=90:=6sr}|9j56c=83.98h4>3b9K61b<^;?;6:058 03728=0qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{1<7850;2x 72b2>30D?:=;h30`?6=,;>n6<=l;W064?7|D;?963481!3293;97);:0;31?x{zu2c:?h4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827`=Q9:?1>v*:50826>"2=90:>6sr}|9j51?=83.98h4>3b9K61b<^;?;6:0:8 0372820qpsr;h360?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>8:&615<602wvqp5f14594?"5:3y'5g2=9<=0Z<=::3y'107=911/98>5199~yx{:b9'106=k2wvqp5rb483>2<729q/>9k5789K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?753-?><7?=;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543957=#=<:1=?5r}|8m42>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:6;W301?4|,4>>7?tVg396~"6j=0:995Y12796~"2=80:46*:5182<>{zut1b=8950;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328?<7[?<5;0x 0362820(8;?:0:8yx{z3`;>47>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36<>P6;<09w);:1;3;?!3283;37psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th=6=48:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80:>6*:51826>{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543957=#=<:1=?5r}|8m42>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:6;W301?4|,4>>7?tVg396~"6j=0:995Y12796~"2=80:46*:5182<>{zut1b=8950;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328?<7[?<5;0x 0362820(8;?:0:8yx{z3`;>47>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36<>P6;<09w);:1;3;?!3283;37psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th<6=48:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80:>6*:51826>{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+54395==#=<:1=55r}|8m42>290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;3;?!3283;37psr}:k211<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:7:T270<5s-?>=7?7;%764?7?3twvq6g>5983>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=11]=>;52z&614<602.>9=4>8:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615ab9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vnk:50;094?6|,;>n6i<4H361?l74k3:1(?:j:01`?>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 03628<0(8;?:048yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}cd5>5<693:1N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543957=#=<:1=?5r}|8m45b290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,44<7?=;|~y>o6==0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>2:&615<6:2wvqp5f14594?"5:3y'5g2=9<=0Z<=::3y'107=9;1/98>5139~yx{:008 0372880qpsr;h36=?6=,;>n6<=l;W064?7|D;?9656X>3481!3293;97);:0;31?x{zu2c:9l4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=95139~yx{o7[<:0;3xH73528q]j<4={%3a0?72j2\:?84={%765?7?3-?><7?7;|~y>o6=j0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>5b9U563=:r.>9<4>8:&615<602wvqp5f12d94?"58<51zTe5?4|,8h?6<=i;W301?4|,4>>7?tVg396~"6j=0:8=5Y12796~"2=80:46*:5182<>{zut1b=9?50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69517<^89>6?u+54395==#=<:1=55r}|8m425290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;376>P6;<09w);:1;3;?!3283;37psr}:k206<72-8?i7?>7?tVg396~"6j=0:8>5Y12796~"2=80h7);:0;a8yx{z3`;?87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;370>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zjo=1<7?>:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80:>6*:51826>{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543957=#=<:1=?5r}|8m42>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:6;W301?4|,44<7?=;|~y>o6=>0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>569U563=:r.>9<4>2:&615<6:2wvqp5f14:94?"5:3y'5g2=9<20Z<=::3y'107=9;1/98>5139~yx{:008 0372880qpsr;h36e?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>5`9U563=:r.>9<4>2:&615<6:2wvqp5f14`94?"58<51zTe5?4|,8h?6<;m;W301?4|,4><7?7;|~y>o6;o0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956`<^89>6?u+54395==#=<:1=55r}|8m427290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;374>P6;<09w);:1;3;?!3283;37psr}:k204<72-8?i7?>7?tVg396~"6j=0:8<5Y12796~"2=80:46*:5182<>{zut1b=9<50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>97[?<5;0x 0362820(8;?:0:8yx{z3`;??7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;377>P6;<09w);:1;a8 0372j1vqps4i067>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>?7[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&61547=83:p(?:j:6;8L7253`;8h7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;31?!3283;97psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80:>6*:51826>{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+543957=#=<:1=?5r}|8m433290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;;;W301?4|,44<7?=;|~y>o6=10;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>599U563=:r.>9<4>2:&615<6:2wvqp5f14;94?"5:3y'5g2=9<30Z<=::3y'107=9;1/98>5139~yx{:0:8 0372820qpsr;h36f?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>5c9U563=:r.>9<4>2:&615<6:2wvqp5f14a94?"58<51zTe5?4|,8h?6<;l;W301?4|,4><7?7;|~y>o6<90;6)<;e;30g>N58>51zN117<6s_l:6?u+1c69516<^89>6?u+54395==#=<:1=55r}|8m426290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;375>P6;<09w);:1;3;?!3283;37psr}:k207<72-8?i7?>7?tVg396~"6j=0:8?5Y12796~"2=80:46*:5182<>{zut1b=9=50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>87[?<5;0x 0362820(8;?:0:8yx{z3`;?87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;370>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zjo31<7?>:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80:>6*:51826>{zut1b=>k50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956c<^89>6?u+543957=#=<:1=?5r}|8m42>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:6;W301?4|,44<7?=;|~y>o6=>0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>569U563=:r.>9<4>2:&615<6:2wvqp5f14:94?"5:3y'5g2=9<20Z<=::3y'107=9;1/98>5139~yx{:008 0372880qpsr;h36e?6=,;>n6<=l;W064?7|D;?96m6X>3481!3293;37);:0;3;?x{zu2c:9o4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=95139~yx{o7[<:0;3xH73528q]j<4={%3a0?72k2\:?84={%765?7?3-?><7?7;|~y>o6;o0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3g9U563=:r.>9<4>8:&615<602wvqp5f15294?"58<51zTe5?4|,8h?6<:?;W301?4|,4>>7?tVg396~"6j=0:8<5Y12796~"2=80:46*:5182<>{zut1b=9<50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69514<^89>6?u+54395==#=<:1=55r}|8m424290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;377>P6;<09w);:1;3;?!3283;37psr}:k201<72-8?i7?>7?tVg396~"6j=0:895Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}cdb>5<593:1N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+543957=#=<:1=?5r}|8m45b290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,44<7?=;|~y>o6==0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>2:&615<6:2wvqp5f14594?"5:3y'5g2=9<=0Z<=::3y'107=9;1/98>5139~yx{:008 0372880qpsr;h36=?6=,;>n6<=l;W064?7|D;?9656X>3481!3293;97);:0;31?x{zu2c:9l4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821d=Q9:?1>v*:50826>"2=90:>6sr}|9j50d=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8l4V016>7}#=<;1=?5+542957=zutw0e<;l:18'61c=9:i0Z?;?:0yO604=9r\m=743d3_;89744<,=7?=;%764?753twvq6g>4183>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<91]=>;52z&614<6:2.>9=4>2:~yx=n9=;1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51538R4522;q/98?5139'106=9;1vqps4i061>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>97[?<5;0x 0362880(8;?:008yx{z3`;??7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;377>P6;<09w);:1;31?!3283;97psr}:k201<72-8?i7?>7?tVg396~"6j=0:895Y12796~"2=80:>6*:51826>{zut1b=9;50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69513<^89>6?u+54395==#=<:1=55r}|8m421290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;372>P6;<09w);:1;3;?!3283;37psr}:k202<72-8?i7?>7?tVg396~"6j=0:8:5Y12796~"2=80h7);:0;a8yx{z3`;?47>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37<>P6;<09w);:1;a8 0372j1vqps4i06b>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>j7[?<5;0x 0362j1/98>5c:~yx=n9=h1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515`8R4522;q/98?5c:&6154b83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;52z&614<7m4}|~?l73l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?;d:T270<5s-?>=7m4$473>f=zutw0e<:j:18'61c=9:i0Z?;?:0yO604=9r\m=742b3_;897f=#=<:1o6sr}|9j51`=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9h4V016>7}#=<;1o6*:518`?x{zu2c:9=4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58215=Q9:?1>v*:508`?!3283i0qpsr;h365?6=,;>n6<=l;W064?7|D;?96=6X>3481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5f14194?"5:3y'5g2=9<90Z<=::3y'107=k2.>9=4l;|~y>o6=<0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>549U563=:r.>9<4l;%764?e2\:?84={%765?e<,9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vnkl50;594?6|,;>n6o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4l;%764?e290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:6;W301?4|,{zut1b=8:50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69502<^89>6?u+5439g>"2=90h7psr}:k212<72-8?i7?>7?tVg396~"6j=0:9:5Y12796~"2=80h7);:0;a8yx{z3`;>47>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36<>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zjoi1<7<50;2x 72b2m80D?:=;h30g?6=,;>n6<=l;:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3thmh7>53;294~"53b9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=;5+542953=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;89740<,=7m4$473>f=zutw0qohj:180>5<7s-8?i796;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>2:&615<6:2wvqp5f12g94?"58<51zTe5?4|,8h?6<=j;W301?4|,4>6=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<6:2.>9=4>2:~yx=n9:o1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745b3_;8974><,{M066?7|^o;1>v*>b5820<=Q9:?1>v*:5082<>"2=90:46sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=55+54295==zutw0c4gc3_;897f=#=<:1o6sr}|9~f467290=6=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<6:2.>9=4>2:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5199'106=911vqps4i06:>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7?7;%764?7?3twvq6g>5583>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=55+54295==zutw0e<;8:18'61c=9:i0Z?;?:0yO604=9r\m=74303_;8974><,=7m4$473>f=zutw0qo??1;292?6=8r.98h489:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5139'106=9;1vqps4i01f>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362880(8;?:008yx{z3`;?57>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<602.>9=4>8:~yx=n9<>1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74333_;8974><,=7?7;%764?7?3twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd68;0;6;4?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362880(8;?:008yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<602.>9=4>8:~yx=n9=31<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=742>3_;8974><,{M066?7|^o;1>v*>b58211=Q9:?1>v*:5082<>"2=90:46sr}|9j501=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1=55+54295==zutw0c4gc3_;897f=#=<:1o6sr}|9~f464290<6=4?{%07a?7fk2B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=k2.>9=4l;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4l;%764?e9k512a8R73728qG>8<51zTe5?4|,8h?6<;;;W301?4|,{zut1b=8950;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69501<^89>6?u+5439g>"2=90h7psr}:k21=<72-8?i7?>7?tVg396~"6j=0:955Y12796~"2=80h7);:0;a8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c330?6=:3:1N5<;1b=>m50;&10`<6;j10c4gc3_;897f=#=<:1o6sr}|9~f46229036=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<6:2.>9=4>2:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5139'106=9;1vqps4i06:>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7?7;%764?7?3twvq6g>5583>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6==1]=>;52z&614<602.>9=4>8:~yx=n9<=1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=74303_;8974><,{M066?7|^o;1>v*>b5821==Q9:?1>v*:5082<>"2=90:46sr}|9j50?=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=874V016>7}#=<;1=55+54295==zutw0c4gc3_;897f=#=<:1o6sr}|9~f461290:=7>50z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=9;1/98>5139~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?753-?><7?=;|~y>o6<00;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6951?<^89>6?u+543957=#=<:1=?5r}|8m433290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;;;W301?4|,44<7?=;|~y>o6=10;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>599U563=:r.>9<4>2:&615<6:2wvqp5f14;94?"5:3y'5g2=9<30Z<=::3y'107=9;1/98>5139~yx{:008 0372880qpsr;h36f?6=,;>n6<=l;W064?7|D;?96n6X>3481!3293;97);:0;31?x{zu2c:9n4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=95139~yx{o7[<:0;3xH73528q]j<4={%3a0?74n2\:?84={%765?753-?><7?=;|~y>o6<90;6)<;e;30g>N58>51zN117<6s_l:6?u+1c69516<^89>6?u+54395==#=<:1=55r}|8m426290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:>;W301?4|,4><7?7;|~y>o6<:0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>429U563=:r.>9<4l;%764?e9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn<>8:1825?6=8r.98h489:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5139'106=9;1vqps4i01f>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?=;%764?753twvq6g>4883>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=?5+542957=zutw0e<;;:18'61c=9:i0Z?;?:0yO604=9r\m=74333_;89744<,=7?=;%764?753twvq6g>5983>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=11]=>;52z&614<6:2.>9=4>2:~yx=n9<31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:514;8R4522;q/98?5139'106=9;1vqps4i07b>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?j7[?<5;0x 0362880(8;?:008yx{z3`;>n7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36f>P6;<09w);:1;31?!3283;97psr}:k21f<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?7;%764?7?3twvq6g>4183>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<91]=>;52z&614<602.>9=4>8:~yx=n9=;1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51538R4522;q/98?5199'106=911vqps4i061>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>97[?<5;0x 0362820(8;?:0:8yx{z3`;??7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;377>P6;<09w);:1;a8 0372j1vqps4i067>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>?7[?<5;0x 0362j1/98>5c:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50826>"2=90:>6sr}|9j56c=83.98h4>3b9K61b<^;?;6:008 0372880qpsr;h37=?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>2:&615<6:2wvqp5f14694?"5:3y'5g2=9<>0Z<=::3y'107=9;1/98>5139~yx{:008 0372880qpsr;h36n6<=l;W064?7|D;?9646X>3481!3293;97);:0;31?x{zu2c:944?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5821<=Q9:?1>v*:50826>"2=90:>6sr}|9j50g=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8o4V016>7}#=<;1=?5+542957=zutw0e<;m:18'61c=9:i0Z?;?:0yO604=9r\m=743e3_;89744<,{M066?7|^o;1>v*>b5821f=Q9:?1>v*:50826>"2=90:>6sr}|9j56`=83.98h4>3b9K61b<^;?;6:008 0372880qpsr;h374?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>419U563=:r.>9<4>8:&615<602wvqp5f15394?"5:3y'5g2=9=;0Z<=::3y'107=911/98>5199~yx{96=4+25g956e<^;?;6:0:8 0372820qpsr;h377?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{?6=4+25g956e<^;?;6:b9'106=k2wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e9931<7?>:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80:>6*:51826>{zut1b=>k50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362880(8;?:008yx{z3`;?57>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6<01]=>;52z&614<6:2.>9=4>2:~yx=n9<>1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51468R4522;q/98?5139'106=9;1vqps4i074>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?<7[?<5;0x 0362880(8;?:008yx{z3`;>47>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36<>P6;<09w);:1;31?!3283;97psr}:k21<<72-8?i7?>7?tVg396~"6j=0:945Y12796~"2=80:>6*:51826>{zut1b=8o50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950g<^89>6?u+543957=#=<:1=?5r}|8m43e290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;m;W301?4|,44>7?tVg396~"6j=0:9n5Y12796~"2=80:>6*:51826>{zut1b=>h50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289m7[?<5;0x 0362820(8;?:0:8yx{z3`;?<7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;374>P6;<09w);:1;3;?!3283;37psr}:k204<72-8?i7?>7?tVg396~"6j=0:8<5Y12796~"2=80:46*:5182<>{zut1b=9<50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69514<^89>6?u+54395==#=<:1=55r}|8m424290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:<;W301?4|,{zut1b=9:50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69512<^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th:5}#:=o1=lm4H361?l74l3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?=7m4$473>f=zutw0e<=j:18'61c=9:i0Z?;?:0yO604=9r\m=745b3_;897f=#=<:1o6sr}|9j51?=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1o6*:518`?x{zu2c:994?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58211=Q9:?1>v*:508`?!3283i0qpsr;h363?6=,;>n6<=l;W064?7|D;?96;6X>3481!3293i0(8;?:b9~yx{:b9'106=k2wvqp5rb02a>5<5290;w)<;e;f1?M43:2c:?n4?:%07a?74k21d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a55e=83?1<7>t$36f>2?<@;>97d?<7?=;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>2:&615<6:2wvqp5f15;94?"58<51zTe5?4|,8h?6<:6;W301?4|,4>>7?tVg396~"6j=0:995Y12796~"2=80:46*:5182<>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a55b=83<1<7>t$36f>2?<@;>97d?<7?=;|~y>o6;l0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>2:&615<6:2wvqp5f15;94?"5:3y'5g2=9=30Z<=::3y'107=911/98>5199~yx{o7[<:0;3xH73528q]j<4={%3a0?72<2\:?84={%765?7?3-?><7?7;|~y>o6=>0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c69501<^89>6?u+54395==#=<:1=55r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn<>j:185>5<7s-8?i796;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>2:&615<6:2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=9;1/98>5139~yx{26=4+25g956e<^;?;6:0:8 0372820qpsr;h360?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>559U563=:r.>9<4>8:&615<602wvqp5f14594?"58<51zTe5?4|,8h?6<;8;W301?4|,4>50z&10`<012B98?5f12f94?"5:3y'5g2=9:n0Z<=::3y'107=9;1/98>5139~yx{:0:8 0372820qpsr;h37=?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>8:&615<602wvqp5f14694?"58<51zTe5?4|,8h?6<;;;W301?4|,4>>7?tVg396~"6j=0:9:5Y12796~"2=80:46*:5182<>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a546=83;:6=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<6:2.>9=4>2:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5139'106=9;1vqps4i06:>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 0362880(8;?:008yx{z3`;>87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;31?!3283;97psr}:k212<72-8?i7?>7?tVg396~"6j=0:9:5Y12796~"2=80:>6*:51826>{zut1b=8650;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950><^89>6?u+543957=#=<:1=?5r}|8m43>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;6;W301?4|,44>7?tVg396~"6j=0:9l5Y12796~"2=80:>6*:51826>{zut1b=8l50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328?i7[?<5;0x 0362820(8;?:0:8yx{z3`;>o7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;36g>P6;<09w);:1;3;?!3283;37psr}:k27c<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?;0:T270<5s-?>=7?7;%764?7?3twvq6g>4083>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6<81]=>;52z&614<7m4}|~?l73:3:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?;2:T270<5s-?>=7m4$473>f=zutw0e<:<:18'61c=9:i0Z?;?:0yO604=9r\m=74243_;897f=#=<:1o6sr}|9j512=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=9:4V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`254<72?0;6=u+25g95de<@;>97d?9k512a8R73728qG>8<51zTe5?4|,8h?6<=j;W301?4|,{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+5439g>"2=90h7psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80h7);:0;a8yx{z3`;>;7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;363>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj8;96=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi=<=50;32>5<7s-8?i796;I076>o6;m0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>3e9U563=:r.>9<4>2:&615<6:2wvqp5f12g94?"58<51zTe5?4|,8h?6<=j;W301?4|,44>7?tVg396~"6j=0:845Y12796~"2=80:>6*:51826>{zut1b=8:50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69502<^89>6?u+543957=#=<:1=?5r}|8m430290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;8;W301?4|,44<7?=;|~y>o6=00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>589U563=:r.>9<4>2:&615<6:2wvqp5f14c94?"5:3y'5g2=95139~yx{:008 0372880qpsr;h36g?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>5b9U563=:r.>9<4>2:&615<6:2wvqp5f12d94?"58<51zTe5?4|,8h?6<=i;W301?4|,4><7?7;|~y>o6<80;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>409U563=:r.>9<4>8:&615<602wvqp5f15094?"5:3y'5g2=9=80Z<=::3y'107=911/98>5199~yx{86=4+25g956e<^;?;6:0:8 0372820qpsr;h370?6=,;>n6<=l;W064?7|D;?963481!3293;37);:0;3;?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`251<728;1<7>t$36f>2?<@;>97d?<7?=;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543957=#=<:1=?5r}|8m42>290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;31?!3283;97psr}:k211<72-8?i7?>7?tVg396~"6j=0:995Y12796~"2=80:>6*:51826>{zut1b=8950;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69501<^89>6?u+543957=#=<:1=?5r}|8m43?290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;7;W301?4|,44<7?=;|~y>o6=h0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>5`9U563=:r.>9<4>2:&615<6:2wvqp5f14`94?"5:3y'5g2=95139~yx{o7[<:0;3xH73528q]j<4={%3a0?72k2\:?84={%765?753-?><7?=;|~y>o6;o0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956`<^89>6?u+54395==#=<:1=55r}|8m427290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:?;W301?4|,4><7?7;|~y>o6<;0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>439U563=:r.>9<4>8:&615<602wvqp5f15194?"5:3y'5g2=9=90Z<=::3y'107=911/98>5199~yx{?6=4+25g956e<^;?;6:0:8 0372820qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{51083>5}#:=o1;45G2508m45c290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<=k;W301?4|,44>7?tVg396~"6j=0:?h5Y12796~"2=80:>6*:51826>{zut1b=9750;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 0362880(8;?:008yx{z3`;>87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;31?!3283;97psr}:k212<72-8?i7?>7?tVg396~"6j=0:9:5Y12796~"2=80:>6*:51826>{zut1b=8650;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950><^89>6?u+543957=#=<:1=?5r}|8m43>290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;6;W301?4|,44<7?=;|~y>o6=k0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>5c9U563=:r.>9<4>2:&615<6:2wvqp5f14a94?"58<51zTe5?4|,8h?6<;l;W301?4|,44>7?tVg396~"6j=0:?k5Y12796~"2=80:46*:5182<>{zut1b=9>50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c69516<^89>6?u+54395==#=<:1=55r}|8m426290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<:>;W301?4|,4><7?7;|~y>o6<:0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>429U563=:r.>9<4>8:&615<602wvqp5f15694?"5:3y'5g2=9=>0Z<=::3y'107=911/98>5199~yx{:b9'106=k2wvqp5rb035>5<3290;w)<;e;3bg>N5<;1b=>j50;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6956b<^89>6?u+5439g>"2=90h7psr}:k27`<72-8?i7?>7?tVg396~"6j=0:?h5Y12796~"2=80h7);:0;a8yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;a8 0372j1vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj8;<6=4=:183!43m3n97E<;2:k27f<72-8?i7?ae9U606=9rF99?4>{Wd2>7}#9k>1=lj4V016>7}#=<;1o6*:518`?x{zu2wi=<650;494?6|,;>n6:74H361?l74l3:1(?:j:01`?M43l2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50826>"2=90:>6sr}|9j56c=83.98h4>3b9K61b<^;?;6:008 0372880qpsr;h37=?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>2:&615<6:2wvqp5f14694?"58<51zTe5?4|,8h?6<;;;W301?4|,4><7?7;|~y>i6im0;6)<;e;3b`>P5=90:wA<:2;3xRc7=:r.:n94>ae9U563=:r.>9<4l;%764?e1883>3<729q/>9k5789K614o7[<:0;3xH73528q]j<4={%3a0?74l2\:?84={%765?753-?><7?=;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+543957=#=<:1=?5r}|8m42>290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;31?!3283;97psr}:k211<72-8?i7?5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328?<7[?<5;0x 0362820(8;?:0:8yx{z3f;jh7>5$36f>4gc3_8><7?tL371>4}Qn809w)?m4;3b`>P6;<09w);:1;a8 0372j1vqps4}c32e?6=>3:1N5<;1b=>j50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362880(8;?:008yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<6:2.>9=4>2:~yx=n9=31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515;8R4522;q/98?5139'106=9;1vqps4i077>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:4:T270<5s-?>=7?7;%764?7?3twvq6g>5683>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=>1]=>;52z&614<602.>9=4>8:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<6:2.>9=4>2:~yx=n9:o1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745b3_;89744<,{M066?7|^o;1>v*>b5820<=Q9:?1>v*:50826>"2=90:>6sr}|9j502=83.98h4>3b9K61b<^;?;6:008 0372880qpsr;h363?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>569U563=:r.>9<4>8:&615<602wvqp5f14:94?"5:3y'5g2=9<20Z<=::3y'107=911/98>5199~yx{:b9'106=k2wvqp5rb03`>5<0290;w)<;e;5:?M43:2c:?i4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9:n0Z<=::3y'107=9;1/98>5139~yx{o7[<:0;3xH73528q]j<4={%3a0?74m2\:?84={%765?753-?><7?=;|~y>o6<00;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6951?<^89>6?u+543957=#=<:1=?5r}|8m433290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;3;?!3283;37psr}:k212<72-8?i7?>7?tVg396~"6j=0:9:5Y12796~"2=80:46*:5182<>{zut1b=8650;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950><^89>6?u+54395==#=<:1=55r}|8k4gc290/>9k51`f8R73728qG>8<51zTe5?4|,8h?6{zut1vn5<7s-8?i7?nc:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5c:&6153d83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<7m4}|~?l7313:1(?:j:01`?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7m4$473>f=zutw0e<;;:18'61c=9:i0Z?;?:0yO604=9r\m=74333_;897f=#=<:1o6sr}|9j501=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=894V016>7}#=<;1o6*:518`?x{zu2e:mi4?:%07a?7fl2\99=4>{M066?7|^o;1>v*>b582ea=Q9:?1>v*:508`?!3283i0qpsr;|`25`<72;0;6=u+25g9`7=O:=80e<=l:18'61c=9:i07b?nd;29 72b28ko7[<:0;3xH73528q]j<4={%3a0?7fl2\:?84={%765?e<,50z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,44>7?tVg396~"6j=0:?h5Y12796~"2=80:>6*:51826>{zut1b=9750;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 0362880(8;?:008yx{z3`;>87>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;360>P6;<09w);:1;3;?!3283;37psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th:>=4?:483>5}#:=o1;45G2508m45c290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;31?!3283;97psr}:k27`<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7?=;%764?753twvq6g>5583>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6==1]=>;52z&614<602.>9=4>8:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&6155$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<6:2.>9=4>2:~yx=n9:o1<7*=4d827f=O:=n0Z?;?:0yO604=9r\m=745b3_;89744<,{M066?7|^o;1>v*>b5820<=Q9:?1>v*:50826>"2=90:>6sr}|9j502=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=8:4V016>7}#=<;1=55+54295==zutw0c4gc3_;897f=#=<:1o6sr}|9~f445290>6=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>j4V016>7}#=<;1=?5+542957=zutw0e<=j:18'61c=9:i0D?:k;W064?7|D;?963481!3293;97);:0;31?x{zu2c:844?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9=30Z<=::3y'107=9;1/98>5139~yx{:0:8 0372820qpsr;n3b`?6=,;>n63481!3293i0(8;?:b9~yx{56;294~"53b9K61b<^;?;6:008 0372880qpsr;h30a?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>3d9U563=:r.>9<4>2:&615<6:2wvqp5f15;94?"58<51zTe5?4|,8h?6<:6;W301?4|,44<7?7;|~y>o6=>0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>569U563=:r.>9<4>8:&615<602wvqp5`1`f94?"5:3y'5g2=9hn0Z<=::3y'107=k2.>9=4l;|~y>{e9;>1<7850;2x 72b28kh7E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80h7);:0;a8yx{z3`;8i7>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;30a>P6;<09w);:1;a8 0372j1vqps4i06:>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d328>27[?<5;0x 0362j1/98>5c:~yx=n9<>1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:51468R4522;q/98?5c:&6155683>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6=>1]=>;52z&614<7m4}|~?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo?=5;296?6=8r.98h4k2:J107=n9:i1<7*=4d827f=:b9'106=k2wvqp5rb005>5<4290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50822>"2=90::6sr}|9j56c=83.98h4>3b9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=;5+542953=zutw0c4gc3_;897f=#=<:1o6sr}|9~f44029086=4?{%07a?1>3A8?>6g>3e83>!43m3;8o6X=5182I42:3;pZk?52z&2f1<6;m1]=>;52z&614<602.>9=4>8:~yx=n9:o1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512g8R4522;q/98?5199'106=911vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj8836=4;:183!43m3=27E<;2:k27a<72-8?i7?>7?tVg396~"6j=0:?i5Y12796~"2=80:46*:5182<>{zut1b=>k50;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d3289n7[?<5;0x 0362820(8;?:0:8yx{z3`;?57>5$36f>45d3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;3;?!3283;37psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th:>44?:583>5}#:=o1;45G2508m45c290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;30`>P6;<09w);:1;3;?!3283;37psr}:k27`<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?;9:T270<5s-?>=7?7;%764?7?3twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd6:h0;694?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4V373>4}K:<81=vXi1;0x 4d3289o7[?<5;0x 0362880(8;?:008yx{z3`;8i7>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6;l1]=>;52z&614<602.>9=4>8:~yx=n9=31<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:515;8R4522;q/98?5199'106=911vqps4o0cg>5<#:=o1=lj4V373>4}K:<81=vXi1;0x 4d328ko7[?<5;0x 0362j1/98>5c:~yx=zj88i6=4::183!43m3=27E<;2:k27a<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?=;%764?753twvq6g>4883>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=55+54295==zutw0e<;;:18'61c=9:i0Z?;?:0yO604=9r\m=74333_;8974><,=7m4$473>f=zutw0qo?=c;293?6=8r.98h4>ab9K614:b9'106=k2wvqp5f12g94?"5:3y'5g2=9:o0Z<=::3y'107=k2.>9=4l;|~y>o6<00;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4l;%764?e9k512a8R73728qG>8<51zTe5?4|,8h?6<;8;W301?4|,{zut1b=8650;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6950><^89>6?u+5439g>"2=90h7psr}:m2ea<72-8?i7?nd:T115<6sE8>>7?tVg396~"6j=0:mi5Y12796~"2=80h7);:0;a8yx{z3th:>i4?:383>5}#:=o1h?5G2508m45d290/>9k512a8?j7fl3:1(?:j:0cg?S4283;p@?;=:0yUb4<5s-;i87?nd:T270<5s-?>=7m4$473>f=zutw0qo?=e;292?6=8r.98h489:J107=n9:n1<7*=4d827f=Q:<:1=vB=5382S`62;q/=o:512f8R4522;q/98?5139'106=9;1vqps4i01f>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?7;%764?7?3twvq6g>4883>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=974V016>7}#=<;1=55+54295==zutw0e<;;:18'61c=9:i0D?:k;W064?7|D;?9686X>3481!3293;37);:0;3;?x{zu2c:9:4?:%07a?74k2B98i5Y24295~J5=;0:w[h>:3y'5g2=9<=0Z<=::3y'107=911/98>5199~yx{:b9'106=k2wvqp5rb00e>5<1290;w)<;e;5:?M43:2c:?i4?:%07a?74k2\99=4>{M066?7|^o;1>v*>b5827a=Q9:?1>v*:50826>"2=90:>6sr}|9j56c=83.98h4>3b9K61b<^;?;6:0:8 0372820qpsr;h37=?6=,;>n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>489U563=:r.>9<4>8:&615<602wvqp5f14694?"58<51zTe5?4|,8h?6<;;;W301?4|,4>>7?tVg396~"6j=0:9:5Y12796~"2=80:46*:5182<>{zut1d=lj50;&10`<6im1]>8>51zN117<6s_l:6?u+1c695db<^89>6?u+5439g>"2=90h7psr}:a566=83<1<7>t$36f>2?<@;>97d?<7?=;|~y>o6;l0;6)<;e;30g>N58>51zN117<6s_l:6?u+1c6956c<^89>6?u+54395==#=<:1=55r}|8m42>290/>9k512a8L72c3_8><7?tL371>4}Qn809w)?m4;37=>P6;<09w);:1;3;?!3283;37psr}:k211<72-8?i7?5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?:7:T270<5s-?>=7?7;%764?7?3twvq6a>ae83>!43m3;jh6X=5182I42:3;pZk?52z&2f1<6im1]=>;52z&614<7m4}|~?xd6;80;654?:1y'61c=?01C>9<4i01g>5<#:=o1=>m4H36g?S4283;p@?;=:0yUb4<5s-;i87?=7?=;%764?753twvq6g>3d83>!43m3;8o6F=4e9U606=9rF99?4>{Wd2>7}#9k>1=>k4V016>7}#=<;1=?5+542957=zutw0e<:6:18'61c=9:i0D?:k;W064?7|D;?963481!3293;97);:0;31?x{zu2c:994?:%07a?74k2\99=4>{M066?7|^o;1>v*>b58211=Q9:?1>v*:5082<>"2=90:46sr}|9j501=83.98h4>3b9K61b<^;?;6:0:8 0372820qpsr;h36n6<=l;I07`>P5=90:wA<:2;3xRc7=:r.:n94>599U563=:r.>9<4>8:&615<602wvqp5f14;94?"58<51zTe5?4|,8h?6<;6;W301?4|,4>50z&10`<012B98?5f12f94?"58<51zTe5?4|,8h?6<=k;W301?4|,44>7?tVg396~"6j=0:?h5Y12796~"2=80:>6*:51826>{zut1b=9750;&10`<6;j1]>8>51zN117<6s_l:6?u+1c6951?<^89>6?u+54395==#=<:1=55r}|8m433290/>9k512a8R73728qG>8<51zTe5?4|,8h?6<;;;W301?4|,4>>7?tVg396~"6j=0:9:5Y12796~"2=80:46*:5182<>{zut1b=8650;&10`<6;j1C>9j4V373>4}K:<81=vXi1;0x 4d328?37[?<5;0x 0362820(8;?:0:8yx{z3`;>57>5$36f>45d3A8?h6X=5182I42:3;pZk?52z&2f1<6=01]=>;52z&614<602.>9=4>8:~yx=h9hn1<7*=4d82ea=Q:<:1=vB=5382S`62;q/=o:51`f8R4522;q/98?5c:&615{M066?7|^o;1>v*>b5827a=Q9:?1>v*:508`?!3283i0qpsr;h30a?6=,;>n6<=l;W064?7|D;?963481!3293i0(8;?:b9~yx{26=4+25g956e<^;?;6:b9'106=k2wvqp5f14694?"5:3y'5g2=9<>0Z<=::3y'107=k2.>9=4l;|~y>o6=>0;6)<;e;30g>P5=90:wA<:2;3xRc7=:r.:n94>569U563=:r.>9<4l;%764?e8512f89650289o70=i3;30`>;4n=0:?i52233956b<5ok1=>j4}rc5>5<4s4k<6k4=214>45b349m?7?<8512f89cg=9:o0q~6::1808>128kn7S6:;45d3tyjj7>58z?bb?7fl278?84>489>760=9=301>=8:06:?85a;3;?563j4=gc951?4gb3W2870oj:01`?xufm3:1=ou2ad82ea=:91l1=>j4=216>4333498:7?:4:?072<6==168=o512f8916e289o70:?c;30`>;31h0:?i5248a956b<5:l86<;;;<1e0?72<27?=h4>3e9>04`=9:n019;6n10:?i5250g956b<5o<1=>j4=g:956b<5ok1=8:4=025>45c34;;;7?;63<378212=:;:=1=894=52g>45c34>;i7?;3:80:?i52430956b<5>91=>j4=66956b<58o>6<=j;<3f`?74l27>=i4>3e9>14`=9:n01k9512f89c?=9:n01ko51458946?289o70??9;30`>;69=0:?i52107956b<58;i6<=j;|q4b?6=;r73<7?ne:\4b>;fk3;8o6s|ab83>=}:ij0:mi52327950><5:9=6<;7;<103?720278j>4>599>7c2=9<201:01g?8`f28?37p}8d;297~;0m3;ji6P8d:?bf?74k2wxmo4?:9y>eg<6im16?>;514;8965128?270=<7;36=>;4n:0:94523g6950?<58n26<=k;43>3ty53z?4g?7fm2T{tih0;65u2a`82ea=:;:?1=8o4=215>43f3498;7?:a:?0b6<6=h16?k:514c894b5289o70hn:07b?xu>03:1?v369;3ba>X>027h47?;60j0:?i5219f956b<582n6<=k;<3;b?731278io4>3e9>765=9:n01>=::07a?854>3;>n63<36821g=:;=81=>j4=260>45c349?i7?;38m0:?h5241g956c<5<326<=k;<7:e?74l27>454>3e9>1=?=9:n018o<:01g?823=3;8h63:67827a=:=?=1=>j4=344>45c349m?7?:b:?0b1<6=k168;3:80:?h52430956c<545b34=86<=j;<57>45b34;3>7?;6m=0:?i521d7951?<58o=6<=k;<3ef?74l2793e9>655=9:n01?>;:01g?847=3;8h63=07827a=::8n1=>j4=302>45b34>om7?;3nl0:?i524c6956b<5=o<6<=k;<725?74l27>=?4>3e9>1?74l27mm7?:b:?eb?74l27:<=4>3e9>550=9:o01<>8:01f?87703;8i63>08827`=:9891=>k4=037>45b34;:97?b;37=>;69j0:?i5210d956b<588;6<=k;<315?74l27:>?4>3e9>575=9:n01<30827a=:9:81=>j4}r;6>5<4s43=60:mi5243g956b<5=8m6<=k;<3;g?74m27:4i4>3d9>5=c=9:o01<6i:077?85bj3;8i63<32827`=:;:?1=8m4=215>43d3498;7?:c:?001<6;m16?9;512f89637289o70=:1;30`>;4=;0:?i5241c951?<5=:i6<:6;<63g?73127?489>05c=9=301976:01g?83>13;8i63:9`827`=:=121=>k4=4::>45b34?j87?;4n:0:9n523g6950e<5=;n6<:6;<62b?73127?>=4>489>077=9=3019<=:06:?832?3;8i63:59827a=:=<31=>j4=47b>45c34=96<:6;<50>42>34=?6<:6;<3;6?74m278=84>3d9>740=9:o01>?7:01g?85613;8h63<1`827a=:=>91=>k4=0g0>45b34;n87?=<512g89764289n70;58<0:?h52214956c<5;;n6<=k;<015?73127?hl4>3d9>0ad=9:o019jl:01f?82cl3;8i63;fg827a=:=9:1=>j4=5`6>45c34>i:7?45c34=1=>j4=gc950e<58::6<=k;<336?74l27:<84>3e9>550=9=301<>8:06:?87703;?563>08820<=:99i1=>j4=02g>45c34;;i7?270?>5;37=>;6910:?h5210;956c<58;j6<=j;<32f?72<27:=k4>3d9>576=9:o01<<>:01f?875:3;8i63>2c827`=:9;l1=>j4=012>45b34;8>7?ad9]=6=:k80:?n5rsb394?5cs4i:63g9>760=9:l01>=8:01e?85283;8i63;0`8211=:<9h1=8:4=52`>43334>;h7?:4:?74`<6==1684o512g891?e289n70:6c;30a>;31m0:?h523g1956`<5:l?6<=i;<62a?72<27?=k4>559>076=9<>019<>:077?825:3;>863:59827`=:?;0:9952728211=:?=0:9952307951?<5:;=6<:6;<123d9>5cd=9:o01?>=:06:?82ci3;?563:1e827`=:=8o1=>k4=43e>45b34i>6<=k;45b34>i97?3d9>b2<6;l16j54>3d9>b<<6;l16jl4>3g9>557=9:o01<>::01f?877>3;>863>068211=:9921=8:4=02:>43334;;o7?512g8947428??70?>4;360>;69<0:995210a956c<58886<=j;|q:5?6=;r72>7?ne:\:5>;d83;8o6s|c183>6e|5j:1=lj4=50f>45b34;3h7?;9:?070<6<916?>851528965028>;70=;4;30a>;4=80:?h5241c9501<5=:i6<;8;<63g?72?27?569>05c=9<=01976:01f?82>i3;?563;9c820<=:<0i1=974=5;g>42>349m?7?;0:?0b1<6<9168;3:80:9:524309501<543034=86<;8;<57>430349:97?:4:?053<6==16?<7512g894c128>270?ib;37=>;58:0:8452235956b<5=ni6<:6;<72`?73127>=h4>489>14`=9=3019l9:01f?83693;>863:13820<=:<3;8i63i6;37=>;a?3;?563i8;37=>;a13;?563ia;374>;68?0:9:521159501<58:36<;8;<33=?72?27:

3d9>546=9=301;63>148212=:98i1=974=000>42>3ty3h7>53z?;a?7fm2T3h63m3;30g>{tj:0;6?jt=c195db<5:9>6<:>;<102?739278?:4>409>05g=9<2019>m:07;?827k3;>463;0e821==:<9o1=864=5;b>43334>2n7?:4:?7=f<6==1684j5146896`428>:70=i4;375>;39l0:955240d950><5=8;6<;7;<615?72027?>?4>599>37<6=116;>4>599>31<6=116>=:515;8974?289o70:kc;37=>;29m0:995250g9502<5<;m6<;;;<`4>45c34h<6<=j;43334l<6<;;;43334l26<;;;42634;;:7?:8:?242<6=116==6514:8946>28?370?>0;360>;69:0:9552106950><58;>6<;7;<32b?7312wx4o4?:2y>4gc349897?;2:?073<6<;16?>951508916f28?270:?b;36=>;38j0:945241f950?<5=:n6<;6;<6:e?72?27?5o4>569>06343>34>9<7?:9:?764<6=0168?<514;8924=9<301:=514;8922=9<301j4=303>45c34?:h7?:7:?65`<6=>169=9<=01k7514589cg=9=801<>9:07:?877?3;>563>09821<=:9931=874=033>43034;:?7?:9:?251<6=016=<;514;8947?28>270?=0;37=>{t000;6>u28`82e`=Y0016n<4>3b9~wg7=838iw0l>:0cg?854=3;??63<378206=:;:=1=9=4=52b>43f34>;n7?:a:?74f<6=h168=j514c8916b28?j70:6a;36<>;31k0:955248a950><5=3o6<;7;<1e7?73;278j94>429>04c=9m63;20821d=:<;81=8o4=60950g<5>91=8o4=66950g<5;:>6<:6;<023?74l27>=i4>599>14c=9<2018?i:07;?8`128?370h8:07;?8`?28?370h6:07;?8`f28>870??6;36e>;68>0:9l5211:950g<58:26<;n;<324?72027:=>4>5`9>542=920820<=z{1=1<7=t=9:95dc512a8yvd72909nv3m0;3b`>;4;<0:89523249512<5:9<6<:;;<63e?72j27?5c9>05e=9k:07a?827m3;>n63;9`821<=:<0h1=874=5;`>43>34>2h7?:9:?0b6<6<=16?k:51568917b28?i70:>f;36f>;3:90:9o52433950d<5=896<;m;<51>43e34=86<;m;<57>43e348;:7?;9:?14a<6;m168ij515;8907c28?270;>e;36=>;29o0:9452f7821<=:n>0:9452f9821<=:n00:9452f`8201=:99<1=8l4=024>43e34;;47?:b:?24<<6=k16=<>514;8947428?i70?>4;36f>;69<0:9o52130951?4gb3Wn?70j9:01`?8b0289h7p}k6;29b~;c>3;jh63:3g8ff>;4ko0nn63;4k?0nn63<738ff>;4?j0nn63<868ff>;4190nn63<9c8ff>;6>00nn63;4i;0nn639c;ga?85113oi7p}k7;293~;c?3;jh63;668ff>;3l80nn63:308ff>;4j=0nn63;3im0nn6s|55a94?5|5<>o6;25<5kr7>8h4>ae9>5=d=9:o01<9;:01f?827i3;>o63;0c821f=:<9i1=8m4=52g>43d34>;i7?:c:?7=d<6=h1684l514c891?d28?i70:6d;36f>;39l0:9n5240d950e<5=8;6<;l;<615?72k27?>?4>5b9>37<6=j16;>4>5b9>31<6=j16=h:515;891b?289o70;>b;30`>;29m0:9l5250g950d<5<;m6<;m;<6a0?74m27?n84>489>0g0=9=3019k::01g?82bm3;8h63i6;36e>;a?3;>m63i8;36f>;a13;>n63>07821f=:99=1=8m4=02;>43d34;;57?:c:?255<6=h16=<=514a8947328?h70?>5;36g>;69h0:845rs015>5<3s4;8;7?ne:\273=:9:21=>m4=01:>45d3ty:?54?:53x945?28ko70:<1;ga?844k3oi70<8e;ga?84bl3oi703oi70<7e;ga?84>m3oi70>3oi70=?a;ga?85bl3oi70;m2;ga?870?3oi70?6b;ga?84493oi708;ga?82>n3oi70:77;ga?83?:3oi70;6c;ga?83?n3oi70;n9;ga?83di3oi70:;7;ga?83113oi70:32lh01?8>:d`8967c2lh01>k>:d`896`c2lh018>n:d`890122lh018l7:d`890b72lh019;7:d`891152lh0q~?<9;297~;6;00:mi5233f9ag=:<0:1io5rs57:>5<4s4>>m7?ne:\71<=:<m4}r66f?6=;:q688l51`f8914e289o70:=c;30a>;3:l0:845243d956c<5:896<=k;<117?74l278i:4>3e9>764=9:n01>:>:01g?827i3;8j63;0c827c=:<9n1=>h4=52f>45a34??28>270;7b;30`>;2i?0:?i52456956b<5<<=6<:6;<62a?74n27?=k4>3g9>077=9:l019<=:01e?832=3;8h63>80827a=:;8?1=894=23;>42>3499n7?512f891b?289n70;>b;30a>;2<>0:?i52bb827a=:1=974=5`6>43334>i:7?:4:?7a0<6;l168h9515;891cb289n70:i1;30`>;5289o70hj:01g?877>3;8j63>09827c=:9;k1=>j4=013>45c34;8=7?;9:p155=83>p1155=9hn0q~?n9;291~;6i00:mi523309502<5:886<;;;<1g7?74l278h>4>3d9~w1e52908w0:l3;3ba>X3k;168n:512a8yv2d<3:19v3;c582ea=:<981=>j4=521>45b34>n97?;9:?651<6;l1v8<=:180835;3;ji6P:239>172=9:i0q~;=4;291~;2:=0:mi5218:956c<5=;=6<=k;<622?74m27?ih4>489~w`0=83>p1h951`g8Z`0<5l21=>m4=d;956e4gc349hh7?:4:?0g6<6;l16=;8515;8yvc>290>w0k6:0cg?85f03oi70=:a;ga?85183oi70=k5;ga?xua:3:1?v3i3;3ba>Xa:27:?94>3b9~w453290>w0?<4;3b`>;4i?0:?i523`4956c<5;?26<=k;<06=?74m2wx8oh50;1x91e728kn7S:mf:?7g4<6;j1v9m>:18082d93;jh63<0d827a=:;9o1=>k4}r64e?6=;r7?;o4>ad9]02g<5==h6<=l;|q73f<72=q68:m51`f8963?289o70=:8;30a>;3?10:?h5rs5c2>5<4s4>j>7?ne:\7e4=:m4}r6b7?6==r7?m>4>ae9>70c=9:n01>;j:01f?85aj3;8h6326=4<{<77e?7fm2T>845255`956e53z?60g<6im16?i7512f896b>289n7p}7f;297~;>83;ji6P7f:?ab?74k2wxnk4?:3y>fc<6im16=i=512g8yv?f2908w07m:0cf?[?f34i26<=l;|q`=?6=:r7h57?nd:?2`d<6;l1v4m50;1x94gc348:<7?ad9]=`=:kk0:?n5rsb`94?4|5jh1=lj4=0gf>45b3tyj<7>53z?b5?7fm2Tj<63lc;30g>{tkj0;6?u2cb82ea=:9o31=>k4}rc1>5<4s4k86f0827`=z{h>1<7=t=`795dc01nk512a8yveb2909w0mj:0cg?847m3;8i6s|52194?5|5<9?6;2;:0:mi5rs414>5<5s4?8?7?{t<4gb3W>>o63;5b82ea=z{=?n6=4={<66g?74k27?9h4>ae9~w13a2909w0::c;gf?822n3;jh6s|4b794?5|5=i=6;3k<0:mi5rs5a4>5<5s4>h97?{t=;?1<7=t=405>4gb3W?9963:2482ea=z{<8<6=4={<711?74k27>>:4>ae9~w04?2909w0;=5;gf?83503;jh6s|48394?5|5=396;3180:mi5rs5;0>5<5s4>2=7?02b=9hn0q~:70;296~;3?m0:?n5249295db53z?2f3<6il1U=o;4=0`6>4gc3ty:oh4?:3y>5g3=9:i01u21cf95dc{t9m?1<745d34;o97?nd:p5gc=839p1ae9~w4bd2909w0?me;30g>;6lj0:mi5rs0a3>5<4s4;h=7?ne:\2g5=:9j:1=lj4}r3f=?6=:r7:o=4>3b9>5`?=9hn0q~?l2;297~;6k:0:mh5Q1b0894e528ko7p}>f583>7}:9j81=>m4=0d7>4gc3ty:o94?:2y>5f3=9ho0R{t9j<1<7=t=0a4>4gb3W;h:63>c782ea=z{;:26=4={<3`2?74k279<44>ae9~w4e?2908w0?l9;3ba>X6k116=n651`f8yv46:3:1>v3>c9827f=::881=lj4}r3`e?6=;r7:oo4>ad9]5fg<58ij628ko7p}>cb83>6}:9jn1=lk4^0a`?87dk3;jh6s|23194?4|58ih6<=l;<017?7fl2wx8:=50;1x911328kn7S:83:?736<6im1v99::181820;3;8o63;7482ea=z{=h36=4<{<6a=?7fm2T?n5524c:95dbim7>52z?7f=<6;j168oo51`f8yv2c;3:1?v3;d582e`=Y6=838p18?9:01`?83603;jh6s|55094?5|5<>86;2<;0:mi5rs467>5<5s4??>7?01>=9hn0q~:;a;296~;3<10:?n5245c95db357>53z?74gc3ty?4o4?:3y>0=?=9:i0196m:0cg?xu3i=0;6>u24`795dc{t45d34>j:7?nd:p0c2=839p19h::0cf?[2a<27?j94>ae9~w1`12909w0:i4;30g>;3n?0:mi5rs0c2>5<5s4?;?7?{t9kk1<7=t=0`a>4gb3W;im63>b`82ea=z{k>1<745d34h?67}:<=l1=>m4=572>4gc3ty?:44?:2y>03g=9ho0R986;<65=?7fl2wx8;l50;0x910>289h70:9b;3b`>{t4gb3W>ji63;ad82ea=z{=h;6=4={<6ba?74k27?n=4>ae9~w1c72908w0:j1;3ba>X3m9168h>51`f8yv2b:3:1>v3;e1827f=:ad9]0`?<5=o266}:=9i1=lk4^42a?837j3;jh6s|51f94?4|5<:i6<=l;<73`?7fl2wxhl4?:2y>`g<6il1Uhl52d`82ea=z{l;1<75<4s4n26{t=:o1<7:t=414>45d34?897?h51`f8yv34=3:18v3:3482ea=:=:i1=>j4=41g>45c349j<7?=838p18=9:01`?83403;jh6s|55294?4|5<936<=l;<774?7fl2wx9>750;0x9026289o70;<9;3b`>{t==;1<74gc34?8j7?w0?;2;o09?h5252d967g<5=<<6?=j;<653?45i27?h<4=3d9>0a7=:;k018=>:31f?8349389m63;30817`=:<:;1>?o4=31`>75b3488o7<=a:?13`<5;l16>:k523c897cc2;9n70;5n109?h522g:967g<5::86?=j;<137?45i278>84=3d9>773=:;k01?69:31f?84?>389m63=8d817`=::1o1>?o4=3;f>75b3482i7<=a:?1e3<5;l16>l8523c897gb2;9n70;5j?09?h522c4967g<5;hn6?=j;<0aa?45i279o;4=3d9>6f0=:;k01?mj:31f?84dm389m63=d7817`=::m<1>?o4=3ff>75b348oi7<=a:?2=4<5;l16=4?523c897?12;9n70<66;01e>;48h09?h5231c967g<5:oo6?=j;<1f`?45i27>n?4=3d9>1g4=:;k01<98:31f?870?389m63>9c817`=:90h1>?o4=312>75b3488=7<=a:?1a3<5;l16>h8523c8965f2;9n70=;4<>09?h52355967g<5:??6?=j;<160?45i27?<94=3d9>052=:;k019??:31f?8268389m63;19817`=:<821>?o4=5;e>75b34>2j7<=a:?7<2<5;l16859523c890>52;9n70;72;01e>;21j09?h5258a967g<5<2m6?=j;<7;b?45i27>m44=3d9>1d?=:;k01>mi:31f?85dn389m63?o4=4ab>75b34?hm7<=a:?0g3<5;l16?n8523c891202;9n70:;7;01e>;4?;09?h52360967g<5<<26?=j;<75=?45i278;n4=3d9>72e=:;k01>68:31f?85??389m63;3`817`=:<:k1>?o4=34g>75b348=h7<=a:?133<5;l16>:8523c896?72;9n70=60;01e>;41k09?h5238`967g<58<26?=j;<35=?45i278m54=3d9>7d>=:;k01>l;:31f?85e<389m63?o4=507>75b34>987<=a:?0e`<5;l16?lk523c8903c2;9n70;:d;01e>;2jl09?h525cg967g<560d=:;k01>??:31f?8568389m63<5`817`=:;?o4=243>75b349=<7<=a:?0e7<5;l16?l<523c896b22;9n70=k5;01e>;4lk09?h523e`967g<5?i1>>k4=7a967g<5>=1>>k4=65967g<582?6?=j;<3;0?45i279:<4=3d9>637=:;k01>?k:31f?856l389m63<2e817`=:;;n1>?o4=24:>75b349=57<=a:?0a4<5;l16?h?523c896`c2;9n70=id;01e>;28h09?h5251c967g<5<=>6?=j;<741?45i27>n54=3d9>1g>=:;k018j?:31f?83c8389m63;91817`=:<0:1>?o4=5cg>75b34>jh7<=a:?71=<5;l16886523c891152;9n70:82;01e>{t:=>1<7jk{<070?7fl27>?k4ja:?7223g9>6=2=9=:01?6;:062?84?<3;?>63=858206=::1>1=9:4=3:`>4273483o7?;1:?15m5151897>d28>?70;5lj0:?k522ea9516<5;nh6<:>;<0gg?73:279hn4>429>6ae=9=>01<7>:dc897?328>:70<64;376>;51=0:8>522869512<5:oo6ho4=4`1>`g<5;o?6<:=;<0f0?73;279i94>459>76g=mh16?>;51548965228><70=<5;37<>;4;<0:8l52327951d<5:9>6<:l;<101?73l278?84>4d9>763=9=l01>=::073?854=3;>=63<348217=:;:?1=8=4=216>432349897?:6:?073<6<>16?>8515:8965128>j70=<6;37f>;4;?0:8n52324951b<5:9=6<:j;<102?73n278?;4>519>760=9<;01>=9:071?854>3;>?63<378210=:;:<1=884=214>4203498;7?;8:?072<69515`8965028>h70=<7;37`>;4;>0:8h52325951`<5:9<6<;?;<103?729278?:4>539>761=9<901>=8:076?854?3;>:63<468fe>;4==0nm63;118fe>;31o0nm63;9`8207=:<0k1=9=4=5;b>42334>2n7?;2:?7=g<6<:1684l5156891?d28>870:6c;370>;31m0:8>5248f9512<5=2<6ho4=4:1>`g<5<3h6ho4=4:e>`g<5`g<5:hh6ho4=4ab>`g<5:i=6ho4=564>`g<5:=96ho4=44:>`g<5:=h6ho4=2:4>`g<5=9j6ho4=34g>`g<5;==6ho4=2;3>`g<5:3i6ho4=04:>`g<5:k36ho4=2`7>`g<5:l<6ho4=2d0>42?349m?7?;a:?0b6<6o70=i3;37a>;4n:0:8k523g19506<5:l86<;>;<1e7?72:278j>4>529>7c5=9h<:075?85a<3;?4631=9l4=2d7>42d349m87?;d:?0b1<6;4n=0:9?523g69505<5:l?6<;:;<1e0?72>27?>94ja:?75`<6<=168?70:=1;370>;3:;0:89523`g9ad=:=1il5224`9ad=:;8:1il5234c9ad=:;?:1il523`09ad=:;m?1il523e`9ad=:>j0nm6387;gb?81528>?709<:067?81328>?70?74;gb?84193oj70=>d;gb?855l3oj70=99;gb?85b93oj70=id;gb?837i3oj70;85;gb?83e03oj70;k0;gb?836l3;?=63:1e8207=:=8n1=9=4=43g>42334?:i7?;2:?65`<6<:169970;>f;377>;29o0:89524829ad=:81il52f78206=:n?0:8952f68206=:n>0:8952f98201=:n00:8952f`8202=:nh0:8552f`820d=:nh0:8o52f`820f=:nh0:8i52f`820`=:nh0:8k52f`8215=:nh0:9<52f`8217=:nh0:9>52f`8210=:nh0:9;521149515<58:=6<:;;<333?73;27:<:4>459>55>=9=901<>7:067?87713;??63>088201=:98:1=9?4=033>42534;:<7?;3:?255<6<=1v8=n:18183413;8h63:3`82ea=z{<9i6=4={<70=?74m27>?o4>ae9~w60f290:k4=24b>4gc349=i7?512f8961?289o70=89;30`>;4080:?i52396956b<5:2o6<=k;<1;a?74l2785:4>3e9>7<>=9:n01>76:01g?85f83;8i6s|36694?`|5<9h6<:6;<70`?731278:k4>3d9>726=9:o01>9;:0cg?85003;8i63<78827`=:;181=>j4=2:7>45b3493h7?;4i90:845rs25g>5270=8d;3b`>;4080:?h52390956c<5:2?6<:6;<1;1?74l2784i4>489>7=c=9=301>78:06:?85>03;?563<98820<=:;h:1=8:4}r1;?n4>569>16b=9<=01>8i:077?85083;>863<84827`=:;121=lj4=2:`>45c3492;7?:4:?0==<6==16?475146896g728?<7p}<9383><}:=:i1=864=41g>43?349=j7?:7:?035<6=>16?5;515;896?528ko70=66;30`>;4100:9:523`2950>52z?67f<6im169>l512f8yv72m3:1=5u252f950?<5:9=6<::;<177?74m2788k4>3d9>0l3;>m63>5d82ea=:;o;1=>j4=2d2>45b349m?7?;5:?61=<6<016987515;8903f289n70;>e;36e>;29o0:9l526;37=>;0289n70h7:07b?8`>28?j70??0;30a>;68m0:845211g951?<58:m6<=j;|q0`3<72;q69>j514c896b128ko7p}:3e83>7}:=:n1=lj4=41a>45b3tyo=7>5dz?605<6;m1699>512g8910?289o70:98;30a>;3l;0:?i524e0956c<5<996<=k;<706?74m278oi4>3e9>731=9:n019lk:01g?8b628ko70:9f;30`>{t45d34>=;7?nd:p036=838p19;i:01`?82183;jh6s|47:94?4|5=<;6<=l;<65{t45c34>=>7?nd:p035=838p198>:01f?821;3;jh6s|3c794?76s4>=87?;4kk0:?i523ba956b<5:io6<=j;<1a1?7fl278n44>3e9>7f7=9:n01<8<:01g?871<3;8h63>64827a=:>00:?i52375956c<5=k26<=k;|q0fa<728=p198;:01f?821=3;8h63;ce827`=:j4=40g>45b34?9i7?270=ma;30`>;4jm0:mi523b0956b<5:i86<=k;<1`0?74l27::>4>3d9>530=9:n01<88:01g?80>289n708n:01g?851=3;8h63<66820<=:k4=5ca>45c3ty?:94?:3y>032=9hn0198<:01g?xu4k>0;645b34>hi7?;4kj0:84523c;956c<5:hj6<=j;<1`5?74m278o?4>3d9>532=9:o01<89:01f?871?3;8i639a;30a>;4>?0:?i523759502=97>52z?720<6im168;=512g8yv2c83:1>v3;c6827f=:3b9>0f?=9hn0q~:k2;296~;3k00:?n524e095dbhm7>52z?7`4<6;j168no51`f8yv2dj3:1>v3;c`827a=:3d9>0fe=9hn0q~?9a;290~;3km0:84524bg951?<5=im6<:6;<35e?7fl2wx8nj50;0x91ec28ko70:lc;30`>{t4gc34>ho7?8482ea=:91h1=>j4=057>45c349=97?289o70?=b;37=>{t4gc34>ho7?;9:p166=838p18<8:01`?83493;jh6s|53;94?4|5<836<=l;<71=?7fl2wx9><50;0x904>289h70;<2;3b`>{t=;k1<745d34?9m7?nd:p17d=838p18270;=e;37=>;2:o0:995218195db52z?66a<6im169?m512f8yv35m3:1>v3:2d82ea=:=;i1=>k4}r71b?6=:r7>>k4>ae9>17e=9=30q~:<0;297~;3:<0:?n52420956c<5=9:6k4=50f>43334>9j7?;9:?0a=<6;m168=o51528916e28>;70:?c;30b>;38m0:8=5241g9516<5=>86<=k;<62a?73827?=k4>419>076=9:l019<>:063?825:3;?<6382;30b>;0;3;8j6384;30b>;6090:?i5230:9502<5:;26<:6;<12e?74m27:<84>489>550=9=:01<>8:01e?87703;?<63>08827c=:9891=>h4=037>45a34;:97?3b9~w1402909w0:=6;30`>;3:>0:mi5rs50;>5<5s4>9:7??8:18082513;8h63;28827`=:;8=1=lj4}r61=?6=:r7?>44>ae9>07>=9:n0q~??4;297~;3:h0:?i5243c956c<58:?67>|5=8i6<:6;<61`?731278>?4>3d9>775=9:o01>k8:01f?854:3;8i63<40827`=:<9i1=9>4=45f>45c34?247?e289n70;n7;30`>;3<=0:?h52575951?<5=8;6<:?;<761?74m27<>7?;0:?47?73827<87?;0:?2<4<6;l16?<851458967>28??70=>a;37=>;4:10:mi52562956c<5ki1=>k4=5d2>45b3481=>k4=gg956c<58:>6<;;;<333?73827:<44>419>545=9=:012`827`=:9::1=>k4=011>42>3ty?>o4?:3y>07d=9hn019<7:06:?xu3;k0;6>:t=50`>43334>9h7?:4:?76`<6=>168?h514689415289o70?82;30a>;6>k0:?i52186956b<5=:j6<:>;<63g?73927?409>06d=9hn019:>:01g?823;3;8i63;45820<=:<=?1=974=514>45c34>8;7?51538914628>:709?:01g?817289n709<:062?870m3;8h63>81827`=:91;1=974=0:1>42>349:47?:7:?05<<6=>16?;6m=0:99521d79501<58o=6<;;;<036?72<279<>4>559>652=9<>01?>::077?847>3;>863;d`8211=:43334>oh7?:4:?240<6=>16=<:51538947?28??70?>9;360>;69h0:995210`9501<58;h6<;;;|q776<728hp19;63;2d821==:<;l1=894=050>45c34;:70:?e;375>;3<80:?h52450956b<5=986409>074=9=;01:<51538922=9=;01<9j:01f?870n3;8h63<19821==:;831=864=23b>430349oj7?:7p}88;2912}:<;i1=864=50g>43?34>9i7?:9:?76c<6=116=5l515;894>d28??70?7d;360>;60l0:845219d9501<5:o26<=k;<344?74l27:;94>489>5<>=9:n01<76:01g?827i3;?>63;0c8207=:<9i1=9<4=52g>42534>;i7?;2:?7=d<6=k1684l514`891?d28?h70:6d;36g>;3<:0:84524569502<5=>>6<;;;<62a?73:27?=k4>439>076=9=8019<>:061?825:3;?>6382;376>;0;3;?>6384;376>;6090:84521939502<58296<;;;<12589>74g=9<201;63>e4821==:9l<1=894=321>430348;?7?:7:?141<6=>16>=;51458976128?<70:ka;363>;3lk0:9:524ea9501<5=no6<;8;<72`?72j27>=h4>5b9>14`=94;30`>;a>3;>n63i7;36f>;a03;>o63i9;36g>;68<0:94521149517<58:<6<:>;<33409>546=963>148207=:9821=894=03:>43034;:m7?:7:?25g<6=116=;6:80:99521309502<58886<;;;<313?74m27:>54>3d9>57?=9:o01<86s|43a94?4|5=8h6{t<;o1<74gc34>947?:8:p74c=83>;49l0:mi52330951?<5:886<:6;<3;a?72<278?>4>489>713=9:o01>;=:01f?827i3;??63;0c8206=:<9i1=9=4=52g>42434>;i7?;3:?7=d<6=j1684l514a891?d289m70:6d;30b>;2100:995258c9502<5<236<;;;<7;=?72<27>m84>3e9>013=9<=01889:077?831?3;>863=68827a=::?k1=>j4=53f>42434>:j7?;3:?765<6<:168??51518914528>870;:7;37=>;2=h0:8452738206=:?:0:8>52758206=:9181=894=23b>43>34?<=7?;59o0:?h522339502<5<;o6<;l;<72a?74n27>=k4>3g9>0`1=9<>018?=:077?83=9=301:4>489>b3<6=j16j:4>5b9>b=<6;o16j44>3g9>554=9:o01<>9:061?877?3;?>63>098207=:9931=9<4=02e>42>34;:<7?:c:?256<6<:16=<:51518947228>870?>c;36<>;6::0:9:521239502<58996<;;;|q76c<72;q68?h51`f8914?28?27p}=3c83>6}:::81=>m4=31g>45b3488o7?nd:p664=8345c34?hi7?>=50;0x975d2lk01?=<:0cg?xu5;<0;6?u2226956b<5;9>6>:512g8975128ko7p}=e683>d}:::=1=>j4=31;>45c348857?hl512f897`3289o70;5nl0:?i52312956b<5::36<=k;|q1ac<72hq6>>9512g8975?289n70<<9;30a>;5mo0:mi522g6956c<5;l>6<=j;<0eb?74l278<=4>3d9>75>=9:o01?ae9>6cc=9:o01?hi:01f?85783;?563<09820<=::;l1=>k4}r062?6=9oq6>>951468975f289o70<<3;30`>;5?00:?i522d`956c<5;o36<=k;<0e2?74l279j=4>3e9>757=9:n01?hn:01g?84?93;8h63=88827a=::031=>j4=3c2>45c348j57?o7512f897e6289o70;5l80:?i522e;956b<5;3:6<=k;<131?74l279>n4>3e9>6`7=9:n01?8::01g?840;3;8h63=75827a=::<<1=lj4=37e>45c348>j7?3;8h6s|24a94?7cs48847?:4:?17d<6;l16>>=512g8971>289n70;5m10:?h522g4956c<5;l;6<=j;<135?74m279jl4>3d9>6=7=9:o01?66:01f?84>13;8i63=a0827`=::h31=>k4=3`2>45b348i57?n7512g897b6289n70;5180:?h52317956c<5;8h6<=j;<0f5?74m279:84>3d9>625=9:o01?9;:01f?842k3;jh6s|22:94?4|5;936863=3`8211=::>31=974=3ga>433348m:7?;9:?044<6<016>5?515;897>>28>270<69;37=>;5i80:84522`;951?<5;h:6<:6;<0a=?731279o<4>489>6f?=9=301?j>:06:?84c13;?563=90820<=:;9>1=lj4=3g2>42>348=97?;9:?136<6<016>::515;8yv4413:1>v3=3882ea=:::<1=974}r00e?6=:r79?l4>ae9>660=9<>0q~<8d;297~;5?10:?n5226d956c<5;=n6:651`f897>3289o70<7c;30`>;51j0:?i5228a956c<5;k?6<=k;<0bg?74l279n94>3e9>6ge=9:n01?m;:01g?84dk3;8h63=d5827a=::mi1=>j4=3;7>45c348n87?:750;0x971b2lk01?96:0cg?xu5?k0;6?u226c956b<5;=i6:o512g8971d28ko7p}=eb83>6}::l=1=>m4=3gf>45b348nh7?nd:p6`?=838p1?kj:01g?84b13;jh6s|2dg94?4|5;on6h650;0x97cc2lk01?k7:0cg?xu5mh0;6?u22d;956b<5;oj6h7512g897ce28ko7p}=f683>7}::ll1=>m4=3d;>4gc3ty9j<4?:3y>6c>=9:i01?h>:0cg?xu5n90;6?u22g:9ad=::o:1=lj4}r0e6?6=:r79j<4>3e9>6c4=9hn0q~52z?1b1<6im16>k=512f8yv4a=3:1>v3=f482ea=::o91=>k4}r0e2?6=:r79j;4>ae9>6c5=9=30q~=?2;296~;5n00:?n5231195db52z?046<6;j16>kl51`f8yv4ai3:1>v3<028fe>;5nh0:mi5rs3d`>5<5s48mn7?3e9~w7`a2909w0;5nm0:?h5rs223>5<5s49;<7?nd:?1ba<6<01v>>>:18185793;jh63=fe8211=z{:8?6=4<{<12a?74k278>;4>3d9>773=9hn0q~=>f;296~;4:?0:?i5230d95db52z?063<6im16??;512a8yv5583:1>v3<1g827a=:;;:1=lj4}r115?6=:r78=k4>3d9>777=9hn0q~==2;296~;4:;0:mi52333956b52z?066<6=>16?v3<2282ea=:;;;1=>k4}r0;1?6=;r794=4>3b9>6=1=9:o01?69:0cg?xu5090;69u229295db<5;2h6<=i;<0:0?74n279i94>3g9~w7>52909w0<77;30`>;50;0:mi5rs3:4>5<5s483;7?nd:?1<3<6;j1v?6>:18184?>3oj70<71;3b`>{t:191<745c3483?7?nd:p6=2=838p1?6=:01f?84?<3;jh6s|28:94?b|5;2?6<=j;<0;g?74m279554>ae9>6d2=9:o01?ol:01f?84e<3;8i63=bb827`=::j>1=>k4=3a`>45b348o87?4:512g897c3289n7p}=a183>f}::1>1=974=3:`>42>348j<7?nd:?1ef<6<016>o:515;897dd28>270;5kj0:84522e6951?<5;nh6<:6;<0:0?731279i94>489~w7g?290iw0<74;360>;50j0:99522`:95db<5;h?6<;;;<0ag?72<279o94>559>6fe=9<>01?j;:077?84ck3;>863=958211=::l>1=8:4}r0a4?6=ir79494>569>6=e=9<=01?l?:0cg?84ek3;>;63=c58212=::ji1=894=3f7>430348oo7?:7:?1=1<6=>16>h:51458yv4e03:15v3=85821==::1i1=864=3`;>4gc348h87?:8:?1gf<6=116>i:514:897bd28?370<64;36<>;5m=0:955rs3a3>5n>51`f897ed28?270;5lj0:9452286950?<5;o?6<;6;|q1g=<72>q6>5:514c897>d28?j70;5l=0:9l522ea950g<5;3?6<;n;<0f0?72i2wx>i>50;4x97>328?i70<7c;36f>;5l90:mi522ea950d<5;3?6<;m;<0f0?72j2wx>i650;7x97>328?h70<7c;36g>;5l10:mi52286950e<5;o?6<;l;|q156512a897>a289n70<7e;3b`>{t:121<7=t=3:;>4gc348287?;0:?1a1<6<91v?6n:18184?n3;8h63=8`82ea=z{;2m6=4={<0;b?7fl2794h4>3b9~w7>>2909w0<7e;gb?84?13;jh6s|29`94?4|5;2j6<=k;<0;f?7fl2wx>5m50;0x97>f289n70<7c;3b`>{t:0n1<7=t=3;;>45d3482j7?n3;8h63=9`82ea=z{;3m6=4={<0:b?7fl2795h4>3b9~w7?>2909w0<6e;gb?84>13;jh6s|28`94?4|5;3j6<=k;<0:f?7fl2wx>4m50;0x97?f289n70<6c;3b`>{t:h?1<7=t=3c3>45d348j;7?3b9~w7g62909w0l:50;0x97g5289n70{t:hn1<7=t=3c;>45d348jj7?3b9~w7g>2909w0lm50;0x97gf289n70{t:k?1<7=t=3`3>45d348i;7?3b9~w7d62909w0o:50;0x97d5289n70{t:kn1<7=t=3`;>45d348ij7?3b9~w7d>2909w0om50;0x97df289n70{t:j?1<7=t=3a3>45d348h;7?3b9~w7e62909w0n:50;0x97e5289n70{t:jn1<7=t=3a;>45d348hj7?3b9~w7e>2909w0nm50;0x97ef289n70{t:m?1<7=t=3f3>45d348o;7?3b9~w7b62909w0i:50;0x97b5289n70{t:mn1<7=t=3f;>45d348oj7?3b9~w7b>2909w0im50;0x97bf289n70{t90:1<7=t=0:6>45d34;2>7?:3;8h63>8782ea=z{8396=4={<3:6?7fl27:5<4>3b9~w4>02909w0?76;30`>;60>0:mi5rs0:;>5<5s4;3:7?88827`=:98o1=lj4}r3;=?6=:r7:444>ae9>5=>=9:n0q~?=5;297~;60h0:?i5219c956c<588>6?289n7p}>8c83>7}:91h1=lj4=0:;>42>3ty:4n4?:3y>5=e=9hn01<67:077?xu60m0;6?u219f95db<58236<;8;|q2<`<72;q6=5k51`f894>?28?37p}>8g83>7}:91l1=lj4=0:;>43>3ty9584?:2y>6<6=9:i01?78:01f?84>>3;jh6s|28294?4|5;3;64<50;0x97?0289o70<62;3b`>{t:0=1<74gc3482:7?7}::081=>j4=3;0>4gc3ty9594?:3y>6<4=9:o01?7;:0cg?xu4800;6?u2316956e<5::j67}:;9k1il5231795db52z?043<6;m16?=951`f8yv5703:1>v3<07827`=:;921=lj4}r1fg?6=:r78i?4>3b9>7`b=9hn0q~=j2;295a}:;l81=lj4=2g;>45b349n57?=70=<7;371>;4<;0:?h52356951?<5:>>6<:6;<17a?74m2789=4>489>707=9=301>;=:06:?82>i3;8j63;9c827c=:;o91=984=2d7>42234;mn7?:7:?73=<6;m1693g9>b2<6;o16jk4>3d9>557=9=301<>=:06:?877k3;?563>11827c=z{:o86=4={<1f`?74k278i>4>ae9~w6c32909w0=j3;30`>;4m=0:mi5rs2g6>5<5s49n?7?3;8h63ae9>7`3=9:n0q~=j7;296~;4m>0:mi523d7956c52z?0a=<6im16?h;515;8yv5b13:1>v33d9>014=9:o019=7:01f?85bm3;jh63>7g827`=z{:oj6=4={<1fe?7fl278i84>569~w6ce2909w0=jb;3b`>;4m<0:955rs4`2>5<5s4?jn7?j4=4``>45c3ty>mn4?:3y>1g4=9:i018ol:0cg?xu2im0;6?u25`a956b<51}:=hl1=>k4=4`3>45c34?io7?;2090:?i5258395db<5<2<6<=k;<7;444>569>1=d=9=30186l:01g?83f;3;8i63:a5827`=:=h?1=>k4=4c5>45b34?j;7?;9512g8970?289n70<99;30a>;5>h0:?h5257d956b<5<=;6<:6;<745?74m27>;?4>3d9>125=9<>018l9:01g?82al3;8i63;fd827`=:k4=423>45b34h26<=k;<`a>45c34hh6<:6;<6e4?74l27?j<4>489>57c=9:o01<308212=:9:81=894}r7;7?6=::q69o>515;8901d289o70;8f;30a>;21?0:?i52585956b<5<336<:6;<7:=?72?27>5l4>569>1=5=9hn01867:07;?83?13;>463:8c8211=:=1i1=>k4=4c0>42>34?j87?;9:?6e0<6<0169l8515;890g028>270;93;30a>;2>?0:9:525759501<5<;<4>489>124=9=30189<:074?83e>3;8i63;fe820<=:42>34?;<7?;9:?ae?74l27in7?559~w0d72909w0;m0;3b`>;2il0:?h5rs055>5<5s4;=m7?7482ea=z{8=:6=4<{<345?7fl27:>;4>3e9>570=9:o0q~?9c;296~;6?>0:?n5217a95db52z?232ae9~w40c2909w0?9c;30`>;6>m0:mi5rs04f>5<5s4;=o7?6g827`=:=891=lj4}r35b?6=:r7::k4>ae9>53c=9:n0q~=ie;296~;6?90:?h523gg95db52z?235<6im16=;k512g8yv70:3:1>v3>7382ea=:9>?1=>j4}r347?6=:r7:;>4>ae9>523=9:o0q~?84;296~;6?=0:mi52167951?52z?2=6<6;j16=4l51`f8yv7>=3:1>v3>9c827f=:90?1=lj4}r3:0?6=:r7:5o4ja:?2=1<6im1v<79:18187>=3;8h63>9782ea=z{83<6=4={<3:1?74m27:5:4>ae9~w4??2909w0?68;3b`>;61>0:?i5rs531>5<5s4;257?13;jh63>96827`=z{;9;6=4={<01f?74k279?<4>ae9~w74e2908w0<=b;3b`>;2k;0:?i525b0956c52z?174<6;j16>?j51`f8yv45k3:1>v3=308fe>;5:j0:mi5rs30f>5<5s489h7?6=4={<0f4?74k279i;4>ae9~w7c7290?w0;5><0:99522619502<5;=?6<;;;|q1a7<72;q6>h8512a897c528ko7p}=e083>7}::l<1il522d395db52z?1a7<6;m16>h=51`f8yv4b<3:1>v3=e3827`=::l>1=lj4}r10=?6=:r78>h4>3b9>76g=9hn0q~==e;290~;4:l0:mi523219502<552z?071<6;j16?>651`f8yv54<3:1?v3<3582ea=:nm0:?i52fe827`=z{:8m6=4={<10e?74k278>k4>ae9~w6572909w0==f;30`>;4;90:mi5rs212>5<5s499j7?==:181854:3;jh63<30827a=z{<9:4>569>13g=9hn0184>569~w6542909w0=<3;3b`>;4;80:?h5rs21a>5<5?r78?84>449>76d=9hn01>:=:06:?853;3;?563<458211=:;=?1=8:4=26f>42>349?j7?;9:?015<6==16?8?51468963528??70:69;360>;31h0:8=5248`9516<5=3h6<:?;<6:`?73827>954>559>10?=9<>018;n:077?836l3;?<63:1d8205=:=8l1=9>4=58211=:>3;>8638:077?8`128>;70h8:063?8`?28>;70h6:063?8`f28>>70hi:06:?87783;?563>008211=:9981=8:4=02`>43334;;h7?:4:?24`<6==16==h51468947728>;7p}<3483>7}:;:?1=lj4=21;>45c3ty8?;4?:3y>760=9hn01>=7:01f?xu4n10;6<;t=214>421349??7?:4:?00c<6==168475145891?d28>:70:6d;375>;4n=0:8;5254:9501<5ae9>14c=9=;018?i:062?80=9<=01:4>569>b=<6<816j44>409>556=9<>01<>k:074?877m3;>;63>0g8212=z{:9<6=4={<103?7fl278?54>489~w6212909w0=;4<>0:mi5rs21`>5<5s49?;7?=k:181854k3;8h63<3e82ea=z{:9n6=4={<10g?74m278?h4>ae9~w46e2908w0=;4;o0:?h5211`95db52z?07c<6im16?>k512f8yv36k3:1?v3<41827a=:;=:1=>k4=43`>4gc3ty88=4?:3y>716=9hn01>=j:01f?xu4<80;6?u235395db<5:9n6<:6;|q007<72;q6?9<51`f8965b28??7p}<4283>7}:;=91=lj4=21f>4303ty8894?:3y>712=9hn01>=j:07;?xu4<<0;6?u235795db<5:9n6<;6;|q016<72;q6?96512a8963328ko7p}<4983>c}:;=21=lj4=26f>433349?j7?:7:?015<6=>16?8?51458963528?<70;:7;36<>;2=10:955254;950><5{t;=k1<745c349?m7?nd:p71d=838p1>:6:01f?853j3;jh6s|f583>6}:;=i1=>j4=26`>45b34l?66}:;=n1=>j4=26g>45b34?>:7?nd:p71b=838p1>:k:0cg?853j3;8i6s|a;2954}:;=o1=894=26e>43?349><7?:8:?014<6=116?8<514:896`428><70=i4;373>;2=>0:945254:950?<542534l<6<:=;42434l26<:<;4213ty88h4?:3y>71c=9hn01>:m:06:?xu4i6<;;;|q015<72;q6?8>51`f8962e28?<7p}<5083>7}:;<;1=lj4=26a>43?3ty89?4?:3y>704=9hn01>:m:07:?xu38:0;6?u23gg956e<5=:?67}:<9>1il523gd95db;=7>52z?745<6;m168=?51`f8yv27:3:1>v3;01827`=:<981=lj4}r62=?6=?r78jk4>3e9>7c`=9:o019?<:01g?826;3;8i63;1882ea=:<j4=576>45b3ty?053=9:i019?>:01f?82683;jh6s|41794?d|5=:>6459>05e=9=>019>k:067?827m3;?863>128201=:98>1=9:4=036>42334;947?;9:?26<<6<01v9>9:18182693;8h63;0782ea=z{=;:6=4={<625?7fl27?==4>3b9~w1602909w0:?6;30`>;38>0:mi5rs52;>5<5s4>;:7?ae9>05>=9:n0q~:?a;296~;38h0:mi5241:956c;n7>52z?74g<6im168=6515;8yv27k3:1>v3;0b82ea=:<921=8:4}r63`?6=:r7?ae9>05>=9<=0q~:?e;296~;38l0:mi5241:950>:;7>52z?757<6;j168<651`f8yv26<3:1>v3;19827f=:<8>1=lj4}r627?6=:r7?=54ja:?756<6im1v9?::181826<3;8h63;1482ea=z{=;=6=4={<620?74m27?=;4>ae9~w1?b2908w0:63;30g>;3i90:?n5248d95dbj<7>5ez?7e5<6im16847514:891?f28>:70:6b;375>;31j0:8?5248f9514<5=0:9:525;36<>;128?3709514:89c`=9<>01<>?:074?87793;>;63>038212=z{=3?6=4={<6:b?74k27?594>ae9~w1?22909w0:64;30`>;31<0:mi5rs5;5>5<5s4>287?4?:2y>0<1=9:n01978:01f?85=9hn0q~:67;296~;31>0:mi52484956b3d9>bf<6im1v977:18182>03;jh63;97827`=z{=326=4={<6:=?7fl27?5;4>489~w1?f2909w0:6a;3b`>;31?0:995rs5;a>5<5s4>2n7?nd:?7=3<6=>1v97l:18182>k3;jh63;97821==z{=3o6=4={<6:`?7fl27?5;4>589~w1>1290?w0:70;30g>;3?o0:?n5249:956c<5=2<63289o70:75;30`>;3?90:?i5rs5:2>5<5s4>347?ae9~w1>42909w0:71;30a>;30:0:mi5rs341>5<>s4>387?;<51`f8970228?<70<83;363>;5?=0:9:5251:956b<5=;h50;;x91>328>270:75;37=>;5><0:955227d95db<5;=86<;7;<040?72027><54>3d9>03`=9=30199?:06:?xu30=0;6?u249695db<5=286<=k;|q04g<72:q685;51468970228?270=?b;3b`>{t<1?1<74gc34>3?7?289n70?ka;30`>;6m80:?h521df956c<58on6<=k;<3e4?74m27:j<4>3e9>5c>=9:o01j4=335>45b348:;7???514589740289n70<=8;30a>;30l0:?i5249g956c52z?6<7<6;j169:951`f8yv3003:1>v3:76827a=:=>21=lj4}r74=?6=:r7>;:4>3d9>12?=9hn0q~?=d;297~;2?h0:?i5256c956c<588o6289o7p}=6783>6}:=>h1=>j4=45a>45b348=:7?nd:p12d=838p189m:0cg?83013;8i6s|58g94?4ds4?270;70;30a>;21?0:?h52585956c<5<336<;;;<7:=?72027>5l4>599>1=1=9:o01867:07:?83?13;>563:8c8212=:=1i1=974=4;f>4gc34?j?7?:4:?6e1<6==169l;5146890g128??70;n7;360>;5>>0:845227:951?<5;<26<:6;<05e?73127>:k4>489>126=9<=0189>:077?830:3;>863:72821==:43334>mj7?:4:?645<6==16n44>3d9>fd<6;l16no4>489>ff<6=>168k>512g8944b28>270?=f;37=>;6;90:9952123950><58996<;7;|q63f<72;q69:m51`f8901>28>27p}:7e83>7}:=>n1=lj4=45:>4333ty>;h4?:3y>12c=9hn01896:074?xu2?o0;6?u256d95db<5<=26<;7;|q6g0<728np186?:06:?83>>3;?563:96820<=:=021=894=4:`>43334?j:7?:7:?6e2<6=>169n;51`f89003289o70;95;30`>;5>>0:995227:9502<5;<26<;;;<05e?72<27>:k4>559>126=9<20189>:074?830:3;>;63:72821<=:43034>mj7?:7:?645<6=>16no4>559>ff<6=1168k?51458944b28??70?=f;360>;6;90:9:5rs4:3>5<5s4?3<7?nd:?63<<6=01v87m:18083>93;8o63:9e827`=:=0i1=lj4}r7:6?6=:r7>5i4>3e9>1<4=9hn0q~;6d;296~;21m0:mi5258a956e52z?6=7<6;m1694=51`f8yv3><3:1>v3:93827`=:=0>1=lj4}r6fb?6=;r7>584>3e9>1<3=9:o019ki:0cg?xu21<0;6?u258795db<5<3?6<=k;|q6f<<720q6948514689003289n70<99;363>;2j00:mi52563950><5=lo6<;7;<6eb?72027:>h4>569>57`=9<=0q~;66;296~;21?0:mi52586956c>7>59z?6=2<6==169;;512g8970028?<70<98;363>;5>h0:9:5254095db<5<=96<;7;<6ea?72027><=4>599~w0?02909w0;67;3b`>;21=0:845rs4;;>5<5s4?247?nd:?6=1<6==1v876:18183>13;jh63:958212=z{<3j6=4={<7:e?7fl27>594>599~w0>b2908w0;73;30g>;2190:?h5259d95db52z?6j4=4c1>45b3ty>494?:3y>1<6=9:n0186;:0cg?xu2190;6?u258295db<5<2m6<=l;|q6<0<72;q695:512f890>228ko7p}:8783>7}:=1>1=>k4=4:5>4gc3ty>4:4?:3y>1=1=9hn01869:01g?xu2=l0;643f34?357?:a:?61698k51`f8900428>270;94;37=>;2><0:8452574950><5<<<6<;7;<747?72i27i57?;9:?ae?73127?i84>559>0`1=9<=019kj:077?87493;>563>33821<=z{<236=4={<7;4;4>3d9~w0>>2909w0;79;3b`>;20?0:845rs4:a>5<5s4?3n7?nd:?6ml4>3d9>1d?=9hn0q~;6f;296~;2ih0:?i5258d95db52z?6ed<6im169l7512a8yv3f83:1>v3:9g827a=:=h:1=lj4}r7b5?6=:r7>5k4>3d9>1d7=9hn0q~;n2;296~;2i;0:mi525`3956b52z?6e6<6im169l?512g8yv3f<3:1>v3:a582ea=:=h;1=974}r7b1?6=:r7>m84>ae9>1d7=9<>0q~;n6;296~;2i?0:mi525`3950152z?6e2<6im169l?514:8yv5dm3:1>v33b9>7f>=9hn0q~=l9;296~;4k10:?i523b;95db52z?0g=<6;l16?no51`f8yv5dj3:1>v3j4}r1`g?6=:r78on4>ae9>7fg=9:o0q~=nf;291~;4km0:9:52177956c<5:km642>349=;7?:7:p7fb=838p1>mk:0cg?85di3;?56s|3c`94?4|5:h>6<=l;<1ag?7fl2wx?o850;0x96dd289h70=m6;3b`>{t;k=1<745c349i;7?nd:p7g>=838p1>l9:01f?85e03;jh6s|3c;94?4|5:h26{t=j31<745d34?hm7?nd:p1f0=838p18mn:01`?83d>3;jh6s|5b594?4|5{t=jh1<745b34?hn7?nd:p7f3=838p1>lk:01`?85d>3;jh6s|3cg94?4|5:i=6<=l;<1aa?7fl2wx?oh50;0x96db289o70=mf;3b`>{t;j:1<745b349h<7?nd:p7f7=838p1>m>:0cg?85d83;8h6s|3b094?4|5:i96{t;45b349>97?nd:?7eg<6;l1v>;m:18785d<3;?563>66820<=:;42>3ty85i4?:2y>7f2=9<>01>7k:0cg?82fj3;>86s|3e294?5|5:i?6<;8;<1g4?7fl27?mo4>569~w6e32909w0=l4;3b`>;4k90:995rs565>5<5s4>8n7?ae9~w15b2909w0:;3;l0:mi5rs5f:>5<4s4>8j7?v3;3g82ea=:<:o1=>j4}r323?6=;r7?8=4>3e9>016=9:o0127p};4383>7}:<=81=lj4=51f>4333ty?8>4?:3y>015=9hn019=j:074?xu3<=0;6?u245695db<5=9n6<;7;|q700<72;q689;51`f8915b28?27p}<7083>6}:;?k1=>m4=250>45b349<>7?nd:p73d=838p1>9<:01g?851j3;jh6s|36194?4|5:=86{t;?n1<745b349=h7?nd:p7d5=83hp1>8j:01f?851n3;>463<7`827a=:;191=>j4=2:`>45b3493h7?:4:?0=3<6;l16?495145896g428ko70=nc;30`>;4ij0:?h5rs2c:>5<>s49=i7?;9:?035<6=116?:o512g896>4289n70=7c;37=>;40l0:9952384951?<5:336<;8;<1b=?7fl2wx?;k50;0x960b28ko70=9d;30`>{t;?l1<74gc349=h7?9?:0cg?851l3;?56s|57:94?4|5289h70;:f;3b`>{t=?:1<745c34?=<7?nd:p137=838p18;i:01f?83193;jh6s|b983>6}:=?81=>j4=441>45b34h367}:=?91=lj4=442>45b3ty>:94?:3y>132=9hn0188>:06:?xu2><0;6?u257795db<5<<:6<;;;|q623<72;q69;851`f8900628?<7p}:6683>7}:=?=1=lj4=442>43?3ty8;o4?:3y>722=9:i01>9l:0cg?xu4?<0;6?u236a956e<5:=>67}:;>?1=>k4=254>4gc3ty8;54?:3y>72>=9hn01>98:01g?xu4?00;6?u236;95db<5:=<6<=j;|q03d<72;q6?:o51`f8961028>27p}<8783>7}:;>n1=>m4=2:4>4gc3ty8;h4?:3y>7=1=9:i01>9j:0cg?xu4?o0;6?u236g956b<5:=m6728ko7p}<8083>7}:;1;1=lj4=2:3>45c3ty84?4?:3y>7=4=9hn01>6?:01f?xu40:0;6?u239195db<5:2;6<:6;|q0<1<72;q6?5:51`f896>728??7p}<8483>7}:;1?1=lj4=2:3>4303ty??44?:3y>065=9:i019=n:0cg?xu3;=0;6?u242c956e<5=9?6:512f8915228ko7p};3783>7}:<:>1=>k4=515>4gc3ty??:4?:3y>061=9hn019=9:01g?xu3;10;6?u242:95db<5=9=6<=j;|q12f<72:q6>;<512a8970b289n70<9d;3b`>{t:?h1<745d348=n7?nd:p635=838p1?8j:01g?841;3;jh6s|27g94?4|5;;:50;0x9704289o70<94;3b`>{t:??1<745b348=97?nd:p631=838p1?88:0cg?841j3;8h6s|27:94?4|5;<36;750;0x970>28ko70<9b;37=>{t:?k1<74gc348=n7?:4:p623=839p1?8i:01`?840?3;8i63=7782ea=z{;=;6=4={<043?74l279;=4>ae9~w7102909w0<87;3b`>;5??0:?n5rs352>5<5s48<<7?3e9~w7132909w0<84;3b`>;5?;0:?h5rs2:e>5<4s49347?51`f8yv5?13:1>v3<90827a=:;131=lj4}r1:5?6=:r785<4>ae9>7<6=9:i0q~=7a;296~;4000:?i5239c95db52z?0<<<6;l16?5l51`f8yv5?k3:1>v3<8b82ea=:;1h1=>j4}r1;`?6=:r784i4>ae9>7=d=9:o0q~=7e;296~;40l0:mi5239`951?53z?0=7<6;j16?4m512g896?e28ko7p}<9283>7}:;0i1=>j4=2;0>4gc3ty85n4?:3y>77m:01`?xu41=0;6?u2381956b<5:3?67}:;0<1=lj4=2;6>45c3ty85:4?:3y>7<1=9hn01>7::01f?xu4110;6?u238:95db<5:3>6<:6;|q0=<<72;q6?4751`f896?228??7p}>6983>7}:9m4=04:>4gc3ty:9k4?:3y>53?=9:i01<;i:0cg?xu6>90;6?u214d956b<58<;66}:9?81=>j4=041>45b34>jm7?nd:p534=838p1<8=:0cg?87193;8h6s|17194?4|58<86{t9??1<74gc34;==7?:4:p530=838p1<89:0cg?87193;>;6s|17594?4|58<<6{t;h>1<745d349j87?nd:p7d3=838p1>o;:01g?85f=3;jh6s|3`494?4|5:k?6<=j;<1b2?7fl2wx?o=50;0x96ga289h70=m4;3b`>{t;k:1<745d349i<7?nd:p7g7=838p1>l?:01g?85e93;jh6s|3c094?4|5:h;6<=j;<1a6?7fl2wx=:650;1x96d5289o70=m2;30a>;6?10:mi5rs2d5>5<5s49ni7?h::18185a:3;8o63{t;o:1<745c349m<7?nd:p7c7=838p1>ki:01f?85a93;jh6s|3g194?4|5:l86{t<;91<745d34>987?nd:p04g=838p19<;:01`?826i3;jh6s|40`94?4|5=;j6<=k;<62f?7fl2wx8c;3b`>{t?80;6>u240f956b<5=;o6<=j;<52>4gc3ty?=i4?:3y>04b=9hn019?l:01g?xu39l0;6?u240g95db<5=;h6<=j;|q75c<72;q6827p};2183>7}:<;:1=lj4=53`>4333ty?><4?:3y>077=9hn019?l:074?xu3:;0;6?u243095db<5=;h6<;7;|q0ea<72;q6?l7512a896gb28ko7p}7}:;ho1=>m4=2cb>4gc3ty8mo4?:3y>7dg=9:n01>om:0cg?xu4ij0;6?u23`c956c<5:kh67}:=<<1=>m4=47a>4gc3ty>9>4?:3y>10b=9:i018;<:0cg?xu2==0;6?u2541956b<57}:=<=1=lj4=47a>45c3ty>954?:3y>10>=9hn018;m:01f?xu2=00;6?u254;95db<57}:=k31=>m4=4`f>4gc3ty>nl4?:3y>1gc=9:i018ln:0cg?xu2jk0;6?u25cc956b<57}:=kl1=>m4=4a7>4gc3ty>o=4?:3y>1f2=9:i018m?:0cg?xu2k80;6?u25b2956b<5512g890e528ko7p}=5`83>7}::<<1=>m4=37a>4gc3ty99:4?:3y>60d=9:i01?;8:0cg?xu5=10;6?u2245956b<5;?3689512g8973>28ko7p}<0g83>7}:;9h1=>m4=233>4gc3ty8746=9:i01>>l:0cg?xu48m0;6?u231a956b<5::o67}:;m4=27b>4gc3ty89;4?:3y>70g=9:i01>;9:0cg?xu4=>0;6?u2344956b<5:?<67}:;m4=243>4gc3ty89n4?:3y>736=9:i01>;l:0cg?xu4=m0;6?u234a956b<5:?o67}:;0n1=>m4=2c1>4gc3ty85h4?:3y>7d4=9:i01>7j:0cg?xu41o0;6?u238g956b<5:3m67}:;m:1=>m4=2f6>4gc3ty8h<4?:3y>7a3=9:i01>j>:0cg?xu4l;0;6?u23e3956b<5:n967}:;m<1=>m4=2fa>4gc3ty8h:4?:3y>7ad=9:i01>j8:0cg?xu4l10;6?u23e5956b<5:n3628ko7p}9b;296~;1=3;8o639c;3b`>{t><0;6?u26482ea=:<=n1=>j4}r45>5<5s44gc3ty=;7>52z?52?74l27=;7?nd:p2=<72;q6:;4>3d9>2=<6im1v;750;0x93?=9hn01;6512f8yv0f2909w08n:0cg?80?289n7p}86;296~;1l3;8o6387;3b`>{t>m0;6?u26e82ea=:<=n1=>k4}r56>5<5s4=:6<=l;<56>4gc3ty=i7>52z?43?74k27=i7?nd:p2c<72;q6:h4>3e9>2c<6im1v:>50;0x93c=9:o01:>51`f8yv152909w09=:0cg?812289o7p}83;296~;0;3;jh6385;30a>{t?=0;6?u27582ea=:?<0:845rs0:0>5<5s4;<47?7882ea=z{8=j6=4={<34=?74l27:;l4>ae9~w41e2909w0?89;30a>;6?k0:mi5rs322>5<4s4;=?51`f8yv70k3:1>v3>7b82ea=:9>h1=>j4}r3f6?6=;r7:;i4>3e9>52b=9:o0127p}>7g83>7}:9>l1=lj4=05a>4333ty:4=4?:3y>5=6=9hn01<9m:074?xu6080;6?u219395db<58=i6<;7;|q2<7<72;q6=5<51`f8941e28?27p}=6183>7}::m4=342>4gc3ty99i4?:3y>637=9:i01?;k:0cg?xu5=l0;6?u224f956b<5;?n68j512g8973a28ko7p}<1b83>7}:;8;1=>m4=23g>4gc3ty8=o4?:3y>741=9:i01>?m:0cg?xu49;0;6?u230f956e<5:;967}:;881=>k4=237>4gc3ty8=84?:3y>743=9hn01>?;:01g?xu49?0;6?u230495db<5:;?6<=j;|q05=<72;q6?<651`f8967e289o7p}<1883>7}:;831=lj4=23a>45b3ty8=l4?:3y>74g=9hn01>?m:06:?xu4:j0;6?u233:956e<5:8o628ko7p}<2`83>7}:;;31=>j4=20b>4gc3ty8>o4?:3y>77?=9:o01>10;6?u2373956e<5:<267}:;?31=>m4=241>4gc3ty8:>4?:3y>734=9:n01>8<:0cg?xu4>=0;6?u2370956c<5:7}:;?<1=lj4=247>45b3ty8::4?:3y>731=9hn01>8;:06:?xu4m90;6?u23ea956e<5:o:67}:;l;1=>m4=2fg>4gc3ty8hh4?:3y>7ab=9:n01>jj:0cg?xu4lo0;6?u23ef956c<5:nm67}:;on1=>m4=2d:>4gc3ty8jl4?:3y>7c?=9:n01>hn:0cg?xu4nk0;6?u23g;956c<5:li66}:=9?1=lj4=0c;>45c34;j47?n:01`?837>3;jh6s|51594?4|5<:=6<=k;<733?7fl2wx9=650;0x9061289n70;?8;3b`>{t=>>1<745d34?<97?nd:p13d=838p189::01`?831j3;jh6s|57a94?4|5<{t45c34?=i7?:i4>3d9~w0172909w0;80;3b`>;2>m0:845rs452>5<5s4?<=7?nd:?62a<6==1v89=:181830:3;jh63:6e8212=z{<=86=4={<747?7fl27>:i4>599~w0d02909w0;m3;30g>;2j10:mi5rs4`0>5<4s4?i?7?nd:?7bd<6;m168ko512g8yv3e<3:1>v3:b9827f=:=k>1=lj4}r7a1?6=:r7>n94>3e9>1g3=9hn0q~;m6;296~;2j=0:?h525c495db52z?6gg<6;j169i>51`f8yv3dk3:1>v3:d1827f=:=ji1=lj4}r7``?6=:r7>on4>3e9>1fb=9hn0q~;le;296~;2kj0:?h525bg95db52z?2g`<6;j16=i:51`f8yv7dn3:1>v3>d5827f=:9jl1=lj4}r3g4?6=:r7:ok4>3e9>5a6=9hn0q~?k1;296~;6ko0:?h521e395db7>52z?2`7<6im16=i?512f8yv7c;3:1>v3>d282ea=:9m;1=>k4}r3gf?6=:r7:h84>3b9>5ad=9hn0q~?k6;296~;6lk0:?n521e495db52z?2`3<6;m16=i951`f8yv7c03:1>v3>d7827`=:9m21=lj4}r3g=?6=:r7:h44>ae9>5a>=9:n0q~?ka;296~;6lh0:mi521e:956c52z?2`f<6;j16=h651`f8yv7b?3:1>v3>e3827f=:9l=1=lj4}r3g`?6=:r7:i54>3b9>5ab=9hn0q~?ke;296~;6lm0:?i521eg95db52z?2`a<6;l16=ih51`f8yv47n3:1?v3>e1827a=:9l:1=>k4=32e>4gc3ty:i=4?:3y>5`6=9hn01e583>7}:9l>1=lj4=0g4>45b3ty:i84?:3y>5`3=9hn01f383>7}:9ll1=>m4=0d1>4gc3ty:ik4?:2y>5``=9hn01?>?:01g?84783;8i6s|1dc94?4|58l86<=l;<3fe?7fl2wx=hl50;0x94cf289o70?jb;3b`>{t9li1<745b34;no7?nd:p5`b=838p150;0x94`728ko70?i2;30`>{t9o;1<74gc34;m>7?{t9o<1<745c34;m:7?nd:p5c1=838p128ko70?i7;30a>{t:921<745d348;47?nd:p651=838p1?>>:01`?847?3;jh6s|1gg94?4|5;:36<=l;<3ea?7fl2wx=kh50;0x94`b289o70?if;3b`>{t:9:1<745b348;<7?nd:p654=838p1?>=:0cg?847?3;8h6s|21194?4|5;:86=:50;0x976328ko70{t:9?1<74gc348;;7?:4:p650=838p1?>9:0cg?847?3;>;6s|20394?4|5;:26<=l;<025?7fl2wx><>50;0x976a289h70<>0;3b`>{t:9k1<745d348;m7?nd:p65d=838p1?>n:01g?847j3;jh6s|21a94?4|5;:j6<=j;<03g?7fl2wx>=j50;0x976c28ko70{t:9o1<74gc348;o7?=838p1??=:01`?84603;jh6s|20194?4|5;;36<=l;<027?7fl2wx><:50;0x9774289o70<>4;3b`>{t:8?1<745b348:97?nd:p640=838p1??9:0cg?846=3;8h6s|20594?4|5;;<6?<50;0x977>289h70<=2;3b`>{t:8k1<745d348:m7?nd:p64d=838p1??n:01g?846j3;jh6s|20a94?4|5;;j6<=j;<02g?7fl2wx>c;30`>{t:8o1<74gc348:o7???50;0x974628ko70<>c;363>{t:;31<745d348957?nd:p672=838p1?<6:01`?845<3;jh6s|23794?4|5;8?6<=k;<011?7fl2wx>?850;0x9743289n70<=6;3b`>{t:;=1<74gc3489:7?=838p1?<7:0cg?845>3;8i6s|46;94?4|5==>6<=l;<64=?7fl2wx8:850;0x911>289h70:86;3b`>{t<>=1<745c34><;7?nd:p02>=838p1999:01f?82003;jh6s|4cg94?4|5=hj6<=l;<6aa?7fl2wx8ol50;0x91db289h70:mb;3b`>{t45c34>io7?nd:p0gb=838p19lm:01f?82el3;jh6s|4ed94?4|5=n>6<=l;<6gb?7fl2wx8ik50;0x91b>289h70:ke;3b`>{t45d34>o:7?nd:p0a1=838p19j9:01g?82c?3;jh6s|4e:94?4|5=n=6<=j;<6g{t4gc34>oi7?{t=;:1<745d34?9<7?nd:p14?=838p18<>:01`?83613;jh6s|50c94?4|5<;26<=k;<72e?7fl2wx9289n70;>b;3b`>{t=8n1<74gc34?9<7?{t==?1<745d34??97?nd:p110=838p18:::01g?833>3;jh6s|55594?4|5<>>6<=j;<773?7fl2wx89k50;0x912f289h70:;e;3b`>{t<=h1<745d34>?n7?nd:p01e=838p19:m:01g?823k3;jh6s|45f94?4|5=>i6<=j;<67`?7fl2wx85h50;0x91>e289h70:60;3b`>{t<1i1<745d34>3o7?nd:p0=b=838p196l:01g?82?l3;jh6s|49g94?4|5=2h6<=j;<6;a?7fl2wx8lm50;0x91g1289h70:nd;3b`>{t45d34>jn7?nd:p0d1=838p19ok:01`?82f?3;jh6s|4`:94?4|5=k<6<=k;<6b{t=981<745d34?;>7?nd:p157=838p19hl:01`?83793;jh6s|4g594?4|5<:96<=l;<6e3?7fl2wx8k650;0x91`0289o70:i8;3b`>{t45b34>m57?nd:p0cg=838p19hn:0cg?82a13;8h6s|4d494?5|5=li6<=k;<6ef?74m27?i;4>ae9~w1`e2909w0:ib;3b`>;3n00:?h5rs5dg>5<5s4>mh7?nd:?644<6;m1v9hj:18182am3;jh63:00827`=z{=lm6=4={<6eb?7fl27><<4>489~w0672909w0;?0;3b`>;2880:995rs0c4>5<5s4;j=7?a282ea=z{8k36=4={<3b7?74k27:m54>ae9~w4g32909w0?n7;30g>;6i=0:mi5rs0c6>5<5s4;j87?a782ea=z{ko1<75<5s4h36<=l;<`g>4gc3tyi97>52z?aa?74k27i97?nd:pf3<72;q6n84>3e9>f3<6im1vo950;0x9g3=9:o01o951`f8yvd>2909w0l6:0cg?8dc289o7p}ma;296~;ei3;jh63md;30a>{tjk0;6?u2bc82ea=:jm0:845rsca94?4|5ki1=lj4=cf950245d34i=6g6<6;m16o94>ae9~wf3=838p1n=512g89f3=9hn0q~::7;297~;3=80:?n5279827f=:<<21=lj4}r666?6=:r7?954>3b9>004=9hn0q~::3;296~;3=;0:?i5244195db>87>52z?717<6;l1688:51`f8yv22=3:1>v3;5482ea=:<<>1=>j4}r662?6=:r7?9;4>ae9>002=9:o0q~:81;297~;3>k0:?n52d0827f=:<>81=lj4}r65g?6=:r7?;?4>3b9>03e=9hn0q~:9d;296~;3>j0:?i5247f95db=i7>52z?72f<6;l168;k51`f8yv21n3:1>v3;6g82ea=:j4}r644?6=:r7?;=4>ae9>03c=9:o0q~:m7;296~;3j90:?n524c595dbi=7>52z?7f2<6;j168o?51`f8yv2e:3:1>v3;b0827a=:3d9>0g5=9hn0q~:m4;296~;3j=0:mi524c1956bi97>52z?7f0<6im168o=512g8yv2e>3:1>v3;b782ea=:3b9>0`>=9hn0q~:j7;296~;3m?0:?n524d595dbn?7>52z?7a=<6;j168h=51`f8yv2b<3:1>v3;e2827a=:1=lj4}r6f1?6=:r7?i>4>3d9>0`3=9hn0q~:i3;296~;3mk0:?n524g195dbm>7>52z?7ac<6;j168k<51`f8yv2bk3:1>v3;f2827f=:3e9>0`b=9hn0q~:je;296~;3mj0:?h524dg95dbm<7>52z?7b5<6im168k<512f8yv2a93:1>v3;f082ea=:k4}r721?6=:r7>3b9>143=9hn0q~;>4;296~;29:0:?n5250695db52z?650<6;j169=k51`f8yv37n3:1>v3:0d827a=:=9l1=lj4}r724?6=:r7>

3d9>146=9hn0q~;>1;296~;2980:mi52502956b7>52z?657<6im169<>512g8yvc22909w0k>:01`?8c228ko7p}j2;296~;b=3;8o63j2;3b`>{tm:0;6?u2e3827a=:m:0:mi5rsd694?4|5l81=>k4=d695db45d34o;6`a<6;m16hh4>ae9~wa`=838p1ij512g89a`=9hn0q~750;0x9d<6;j1657?nd:p3d9>6?7fl2wx87>52z?7>4gc3421=>j4}r794?4|5<0:mi528;30a>{t>3:1>v39:0cg?8>=9=30q~950;0x92<6im1647?:4:pbg<72;q6j94>3b9>bg<6im1vk;50;0x9c3=9hn01kl512f8yv`12909w0h9:0cg?8`e289n7p}i7;296~;a?3;jh63ib;37=>{tn10;6?u2f982ea=:nk0:995rsg;94?4|5o31=lj4=g`95014gc34li6<;7;|q246<72;q6jn4>3b9>555=9hn0q~hk:1818`c28ko70??3;30`>{tnl0;6?u2fd82ea=:9991=>k4}rde>5<5s4lm650;0x946728ko70??3;360>{t99;1<74gc34;;?7?:7:p554=838p1<>=:0cg?877;3;>46s|11c94?4|58:?6<=l;<33e?7fl2wx==;50;0x946228ko70??a;30`>{t99<1<74gc34;;m7?8:0cg?877i3;?56s|11:94?4|58:3628ko70??a;363>{t98;1<745d34;:=7?nd:p55e=838p1<>l:0cg?87693;8h6s|11f94?4|58:o61;37=>{t99l1<74gc34;:=7?:4:p546=838p1;6s|10494?4|58;96<=l;<322?7fl2wx=<=50;0x947428ko70?>6;30`>{t98>1<74gc34;::7?3;?56s|10f94?4|58;<6<=l;<32`?7fl2wx=<650;0x947?28ko70?>d;30`>{t9831<74gc34;:h7?d;363>{t9;>1<745d34;987?nd:p54`=838p1{t9;81<74gc34;987?:4:p575=838p1<<<:0cg?875<3;>;6s|13a94?4|588>6<=l;<31g?7fl2wx=?850;0x944128ko70?=c;30`>{t9;=1<74gc34;9o7?=838p1<<7:0cg?875k3;?56s|13;94?4|58826{t9;h1<74gc34;9o7?:8:p565=838p1<{t9::1<74gc34;8?7?;9:p567=838p1<=>:0cg?874;3;>86s|12094?4|58996{|l6f32=83;pqc;m6483>4}zf51zm1g00290:wp`:b7:94?7|ug?i:44?:0y~j0d1i3:1=vsa5c4a>5<6std>n;m50;3xyk3e>m0;6:1<7?t}o7a34<728qvb8l82;295~{i=k=86=4>{|l6f22=83;pqc;m7483>4}zf51zm1g10290:wp`:b6:94?7|ug?i;44?:0y~j0d0i3:1=vsa5c5a>5<6std>n:m50;3xyk3e?m0;6{|l6f=2=83;pqc;m8483>4}zf51zm1g>0290:wp`:b9:94?7|ug?i444?:0y~j0d?i3:1=vsa5c:a>5<6std>n5m50;3xyk3e0m0;6{|l6f<2=83;pqc;m9483>4}zf51zm1g?0290:wp`:b8:94?7|ug?i544?:0y~j0d>i3:1=vsa5c;a>5<6std>n4m50;3xyk3e1m0;6{|l6fd2=83;pqc;ma483>4}zf51zm1gg0290:wp`:b`:94?7|ug?im44?:0y~j0dfi3:1=vsa5cca>5<6std>nlm50;3xyk3eim0;6{|l6fg2=83;pqc;mb483>4}zf51zm1gd0290:wp`:bc:94?7|ug?in44?:0y~j0dei3:1=vsa5c`a>5<6std>nom50;3xyk3ejm0;6{|l6ff2=83;pqc;mc483>4}zf51zm1ge0290:wp`:bb:94?7|ug?io44?:0y~j0ddi3:1=vsa5caa>5<6std>nnm50;3xyk3ekm0;6{|l6fa2=83;pqc;md483>4}zf51zm1gb0290:wp`:be:94?7|ug?ih44?:0y~j0dci3:1=vsa5cfa>5<6std>nim50;3xyk3elm0;6{|l6f`2=83;pqc;me483>4}zf51zm1gc0290:wp`:bd:94?7|ug?ii44?:0y~j0dbi3:1=vsa5cga>5<6std>nhm50;3xyk3emm0;6{|l6fc2=83;pqc;mf483>4}zf51zm1g`0290:wp`:bg:94?7|ug?ij44?:0y~j0dai3:1=vsa5cda>5<6std>nkm50;3xyk3enm0;6{|l6g52=83;pqc;l0483>4}zf51zm1f60290:wp`:c1:94?7|ug?h<44?:0y~j0e7i3:1=vsa5b2a>5<6std>o=m50;3xyk3d8m0;6i:182xh2k8:1<7?t}o7`54<728qvb8m>2;295~{i=j;86=4>{|l6g42=83;pqc;l1483>4}zf51zm1f70290:wp`:c0:94?7|ug?h=44?:0y~j0e6i3:1=vsa5b3a>5<6std>o{|l6g72=83;pqc;l2483>4}zf51zm1f40290:wp`:c3:94?7|ug?h>44?:0y~j0e5i3:1=vsa5b0a>5<6std>o?m50;3xyk3d:m0;6{|l6g62=83;pqc;l3483>4}zf51zm1f50290:wp`:c2:94?7|ug?h?44?:0y~j0e4i3:1=vsa5b1a>5<6std>o>m50;3xyk3d;m0;686=4>{|l6g12=83;pqc;l4483>4}zf51zm1f20290:wp`:c5:94?7|ug?h844?:0y~j0e3i3:1=vsa5b6a>5<6std>o9m50;3xyk3d{|l6g02=83;pqc;l5483>4}zf:7>51zm1f30290:wp`:c4:94?7|ug?h944?:0y~j0e2i3:1=vsa5b7a>5<6std>o8m50;3xyk3d=m0;6{|l6g32=83;pqc;l6483>4}zf51zm1f00290:wp`:c7:94?7|ug?h:44?:0y~j0e1i3:1=vsa5b4a>5<6std>o;m50;3xyk3d>m0;6:1<7?t}o7`34<728qvb8m82;295~{i=j=86=4>{|l6g22=83;pqc;l7483>4}zf51zm1f10290:wp`:c6:94?7|ug?h;44?:0y~j0e0i3:1=vsa5b5a>5<6std>o:m50;3xyk3d?m0;6{|l6g=2=83;pqc;l8483>4}zf51zm1f>0290:wp`:c9:94?7|ug?h444?:0y~j0e?i3:1=vsa5b:a>5<6std>o5m50;3xyk3d0m0;6{|l6g<2=83;pqc;l9483>4}zf51zm1f?0290:wp`:c8:94?7|ug?h544?:0y~j0e>i3:1=vsa5b;a>5<6std>o4m50;3xyk3d1m0;6{|l6gd2=83;pqc;la483>4}zf51zm1fg0290:wp`:c`:94?7|ug?hm44?:0y~j0efi3:1=vsa5bca>5<6std>olm50;3xyk3dim0;6{|l6gg2=83;pqc;lb483>4}zf51zm1fd0290:wp`:cc:94?7|ug?hn44?:0y~j0eei3:1=vsa5b`a>5<6std>oom50;3xyk3djm0;6{|l6gf2=83;pqc;lc483>4}zf51zm1fe0290:wp`:cb:94?7|ug?ho44?:0y~j0edi3:1=vsa5baa>5<6std>onm50;3xyk3dkm0;6{|l6ga2=83;pqc;ld483>4}zf51zm1fb0290:wp`:ce:94?7|ug?hh44?:0y~j0eci3:1=vsa5bfa>5<6std>oim50;3xyk3dlm0;6{|l6g`2=83;pqc;le483>4}zf51zm1fc0290:wp`:cd:94?7|ug?hi44?:0y~j0ebi3:1=vsa5bga>5<6std>ohm50;3xyk3dmm0;6{|l6gc2=83;pqc;lf483>4}zf51zm1f`0290:wp`:cg:94?7|ug?hj44?:0y~j0eai3:1=vsa5bda>5<6std>okm50;3xyk3dnm0;6{|l6`52=83;pqc;k0483>4}zf51zm1a60290:wp`:d1:94?7|ug?o<44?:0y~j0b7i3:1=vsa5e2a>5<6std>h=m50;3xyk3c8m0;6i:182xh2l8:1<7?t}o7g54<728qvb8j>2;295~{i=m;86=4>{|l6`42=83;pqc;k1483>4}zf51zm1a70290:wp`:d0:94?7|ug?o=44?:0y~j0b6i3:1=vsa5e3a>5<6std>h{|l6`72=83;pqc;k2483>4}zf51zm1a40290:wp`:d3:94?7|ug?o>44?:0y~j0b5i3:1=vsa5e0a>5<6std>h?m50;3xyk3c:m0;6{|l6`62=83;pqc;k3483>4}zf51zm1a50290:wp`:d2:94?7|ug?o?44?:0y~j0b4i3:1=vsa5e1a>5<6std>h>m50;3xyk3c;m0;686=4>{|l6`12=83;pqc;k4483>4}zf51zm1a20290:wp`:d5:94?7|ug?o844?:0y~j0b3i3:1=vsa5e6a>5<6std>h9m50;3xyk3c{|l6`02=83;pqc;k5483>4}zf:7>51zm1a30290:wp`:d4:94?7|ug?o944?:0y~j0b2i3:1=vsa5e7a>5<6std>h8m50;3xyk3c=m0;6{|l6`32=83;pqc;k6483>4}zf51zm1a00290:wp`:d7:94?7|ug?o:44?:0y~j0b1i3:1=vsa5e4a>5<6std>h;m50;3xyk3c>m0;6:1<7?t}o7g34<728qvb8j82;295~{i=m=86=4>{|l6`22=83;pqc;k7483>4}zf51zm1a10290:wp`:d6:94?7|ug?o;44?:0y~j0b0i3:1=vsa5e5a>5<6std>h:m50;3xyk3c?m0;6{|l6`=2=83;pqc;k8483>4}zf51zm1a>0290:wp`:d9:94?7|ug?o444?:0y~j0b?i3:1=vsa5e:a>5<6std>h5m50;3xyk3c0m0;6{|l6`<2=83;pqc;k9483>4}zf51zm1a?0290:wp`:d8:94?7|ug?o544?:0y~j0b>i3:1=vsa5e;a>5<6std>h4m50;3xyk3c1m0;6{|l6`d2=83;pqc;ka483>4}zf51zm1ag0290:wp`:d`:94?7|ug?om44?:0y~j0bfi3:1=vsa5eca>5<6std>hlm50;3xyk3cim0;6{|l6`g2=83;pqc;kb483>4}zf51zm1ad0290:wp`:dc:94?7|ug?on44?:0y~j0bei3:1=vsa5e`a>5<6std>hom50;3xyk3cjm0;6{|l6`f2=83;pqc;kc483>4}zf51zm1ae0290:wp`:db:94?7|ug?oo44?:0y~j0bdi3:1=vsa5eaa>5<6std>hnm50;3xyk3ckm0;6{|l6`a2=83;pqc;kd483>4}zf51zm1ab0290:wp`:de:94?7|ug?oh44?:0y~j0bci3:1=vsa5efa>5<6std>him50;3xyk3clm0;6{|l6``2=83;pqc;ke483>4}zf51zm1ac0290:wp`:dd:94?7|ug?oi44?:0y~j0bbi3:1=vsa5ega>5<6std>hhm50;3xyk3cmm0;6{|l6`c2=83;pqc;kf483>4}zf51zm1a`0290:wp`:dg:94?7|ug?oj44?:0y~j0bai3:1=vsa5eda>5<6std>hkm50;3xyk3cnm0;6{|l6a52=83;pqc;j0483>4}zf51zm1`60290:wp`:e1:94?7|ug?n<44?:0y~j0c7i3:1=vsa5d2a>5<6std>i=m50;3xyk3b8m0;6i:182xh2m8:1<7?t}o7f54<728qvb8k>2;295~{i=l;86=4>{|l6a42=83;pqc;j1483>4}zf51zm1`70290:wp`:e0:94?7|ug?n=44?:0y~j0c6i3:1=vsa5d3a>5<6std>i{|l6a72=83;pqc;j2483>4}zf51zm1`40290:wp`:e3:94?7|ug?n>44?:0y~j0c5i3:1=vsa5d0a>5<6std>i?m50;3xyk3b:m0;6{|l6a62=83;pqc;j3483>4}zf51zm1`50290:wp`:e2:94?7|ug?n?44?:0y~j0c4i3:1=vsa5d1a>5<6std>i>m50;3xyk3b;m0;686=4>{|l6a12=83;pqc;j4483>4}zf51zm1`20290:wp`:e5:94?7|ug?n844?:0y~j0c3i3:1=vsa5d6a>5<6std>i9m50;3xyk3b{|l6a02=83;pqc;j5483>4}zf:7>51zm1`30290:wp`:e4:94?7|ug?n944?:0y~j0c2i3:1=vsa5d7a>5<6std>i8m50;3xyk3b=m0;6{|l6a32=83;pqc;j6483>4}zf51zm1`00290:wp`:e7:94?7|ug?n:44?:0y~j0c1i3:1=vsa5d4a>5<6std>i;m50;3xyk3b>m0;6:1<7?t}o7f34<728qvb8k82;295~{i=l=86=4>{|l6a22=83;pqc;j7483>4}zf51zm1`10290:wp`:e6:94?7|ug?n;44?:0y~j0c0i3:1=vsa5d5a>5<6std>i:m50;3xyk3b?m0;6{|l6a=2=83;pqc;j8483>4}zf51zm1`>0290:wp`:e9:94?7|ug?n444?:0y~j0c?i3:1=vsa5d:a>5<6std>i5m50;3xyk3b0m0;6{|l6a<2=83;pqc;j9483>4}zf51zm1`?0290:wp`:e8:94?7|ug?n544?:0y~j0c>i3:1=vsa5d;a>5<6std>i4m50;3xyk3b1m0;6{|l6ad2=83;pqc;ja483>4}zf51zm1`g0290:wp`:e`:94?7|ug?nm44?:0y~j0cfi3:1=vsa5dca>5<6std>ilm50;3xyk3bim0;6{|l6ag2=83;pqc;jb483>4}zf51zm1`d0290:wp`:ec:94?7|ug?nn44?:0y~j0cei3:1=vsa5d`a>5<6std>iom50;3xyk3bjm0;6{|l6af2=83;pqc;jc483>4}zf51zm1`e0290:wp`:eb:94?7|ug?no44?:0y~j0cdi3:1=vsa5daa>5<6std>inm50;3xyk3bkm0;6{|l6aa2=83;pqc;jd483>4}zf51zm1`b0290:wp`:ee:94?7|ug?nh44?:0y~j0cci3:1=vsa5dfa>5<6std>iim50;3xyk3blm0;6{|l6a`2=83;pqc;je483>4}zf51zm1`c0290:wp`:ed:94?7|ug?ni44?:0y~j0cbi3:1=vsa5dga>5<6std>ihm50;3xyk3bmm0;6{|l6ac2=83;pqc;jf483>4}zf51zm1``0290:wp`:eg:94?7|ug?nj44?:0y~j0cai3:1=vsa5dda>5<6std>ikm50;3xyk3bnm0;6{|l6b52=83;pqc;i0483>4}zf51zm1c60290:wp`:f1:94?7|ug?m<44?:0y~j0`7i3:1=vsa5g2a>5<6std>j=m50;3xyk3a8m0;6i:182xh2n8:1<7?t}o7e54<728qvb8h>2;295~{i=o;86=4>{|l6b42=83;pqc;i1483>4}zf51zm1c70290:wp`:f0:94?7|ug?m=44?:0y~j0`6i3:1=vsa5g3a>5<6std>j{|l6b72=83;pqc;i2483>4}zf51zm1c40290:wp`:f3:94?7|ug?m>44?:0y~j0`5i3:1=vsa5g0a>5<6std>j?m50;3xyk3a:m0;6{|l6b62=83;pqc;i3483>4}zf51zm1c50290:wp`:f2:94?7|ug?m?44?:0y~j0`4i3:1=vsa5g1a>5<6std>j>m50;3xyk3a;m0;686=4>{|l6b12=83;pqc;i4483>4}zf51zm1c20290:wp`:f5:94?7|ug?m844?:0y~j0`3i3:1=vsa5g6a>5<6std>j9m50;3xyk3a{|l6b02=83;pqc;i5483>4}zf:7>51zm1c30290:wp`:f4:94?7|ug?m944?:0y~j0`2i3:1=vsa5g7a>5<6std>j8m50;3xyk3a=m0;6{|l6b32=83;pqc;i6483>4}zf51zm1c00290:wp`:f7:94?7|ug?m:44?:0y~j0`1i3:1=vsa5g4a>5<6std>j;m50;3xyk3a>m0;6:1<7?t}o7e34<728qvb8h82;295~{i=o=86=4>{|l6b22=83;pqc;i7483>4}zf51zm1c10290:wp`:f6:94?7|ug?m;44?:0y~j0`0i3:1=vsa5g5a>5<6std>j:m50;3xyk3a?m0;6{|l6b=2=83;pqc;i8483>4}zf51zm1c>0290:wp`:f9:94?7|ug?m444?:0y~j0`?i3:1=vsa5g:a>5<6std>j5m50;3xyk3a0m0;6{|l6b<2=83;pqc;i9483>4}zf51zm1c?0290:wp`:f8:94?7|ug?m544?:0y~j0`>i3:1=vsa5g;a>5<6std>j4m50;3xyk3a1m0;6{|l6bd2=83;pqc;ia483>4}zf51zm1cg0290:wp`:f`:94?7|ug?mm44?:0y~j0`fi3:1=vsa5gca>5<6std>jlm50;3xyk3aim0;6{|l6bg2=83;pqc;ib483>4}zf51zm1cd0290:wp`:fc:94?7|ug?mn44?:0y~j0`ei3:1=vsa5g`a>5<6std>jom50;3xyk3ajm0;6{|l6bf2=83;pqc;ic483>4}zf51zm1ce0290:wp`:fb:94?7|ug?mo44?:0y~j0`di3:1=vsa5gaa>5<6std>jnm50;3xyk3akm0;6{|l6ba2=83;pqc;id483>4}zf51zm1cb0290:wp`:fe:94?7|ug?mh44?:0y~yx{GHJq=88<5e6d;adc0uIJIw=sO@Qy~DE \ No newline at end of file +$4360=#Zl|/;6&?:183-c=/83:1<$.'0;294,773!;;<=4>01295567!890$0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(33?-07890=<=>56123-46< >:;<79?0184456.991#5=>?:8234??789#:7=?4139247=69;1:><<4138LQQVR\3XJ0;1:;?5>8392=7=58;19>?5=339107=5=;19;?5=8391=7=48;18>?5<339007=4=;18:?5<739747=39;1?>?5;339727=28;1>>?5:339607=2=;1>:?5:8396=7=1;;1=8?59539524=0:2=;>69=2:576>11:2=<>6972:5:5>>531:975?=;901?=3531<9759=;9;2?<4<19805<<49308=638:COA5K)L;n0MAK?M/F1[URX8m1J@H>B.E0\TQY6l2KGI=C!D3]SPZ4c3HFN<@ K2^RW[6b\7:COA5U)L11J@H>\.E3;?DJB8Z$O>:5NLD2P*T?3HUM_O2>0?f8EZ@TJ5;;2#^ND89B[CUE48;5h6OPFR@?548)XHN27LQISC>26;b/RB@<=FWOYI0<=1d:C\BVD;9:4%\LJ6;@]EWG:6<7n0MRH\B=37:+VFL01JSK]M<07=`>GXNZH7=80!P@F:?DYA[K6::3j4A^DPF9716'ZJH45N_GQA8419l2KTJ^L316<-TDB>3HUM_O2>8?f8EZ@TJ5;32#^ND89B[CUE4835h6OPFR@?5<8)XHN27LQISC>14;b/RB@<=FWOYI0??1d:C\BVD;:84%\LJ6;@]EWG:5:7n0MRH\B=01:+VFLk1JSK]M<3195;?e9B[CUE4;95"]OK8:C\BVD;17i0MRH\B=;=*UGCl2KTJ^LP11]JFP@6:2KTJ^LP11]JFP@#J\L;=95N_GQA[46XAK_M(O[I0/F21>GXNZHT==QFBTD'FP@7&M;:96OPFR@\55YNJ\L/NXH?.E020>GXNZHT==QFBTD'FP@7&Xn0MRH\B^32[LDRN880MRH\B^32[LDRN-H^J=?;;@]EWGY69VCIYK*MUG2-@43_H@VB!DRN9$O=<;4A^DPFZ76W@H^J)LZF1,G642_H@VB!DRN9$Zh6OPFR@\57YNJ\L:>6OPFR@\57YNJ\L/NXH?159B[CUEW88TEO[I$CWE4+B6=2KTJ^LP13]JFP@#J\L;"I?>5:C\BVDX9;UBNXH+BTD3*A46<2KTJ^LP13]JFP@#J\L;"\j4A^DPFZ74W@H^J<<4A^DPFZ74W@H^J)LZF137?DYA[KU:?RGMUG&AQC6)L8?0MRH\B^30[LDRN-H^J= K1078EZ@TJV;8SDLZF%@VB5(C:8>0MRH\B^30[LDRN-H^J= ^d:C\BVDX9=UBNXH>2:C\BVDX9=UBNXH+BTD351=FWOYIS<:PICWE GSA8'N:96OPFR@\51YNJ\L/NXH?.E321>GXNZHT=9QFBTD'FP@7&M8:86OPFR@\51YNJ\L/NXH?.Pf8EZ@TJV;>SDLZF008EZ@TJV;>SDLZF%@VB5733HUM_OQ>5^KAQC"E]O:%H<;4A^DPFZ72W@H^J)LZF1,G543<:4A^DPFZ72W@H^J)LZF1,R`>GXNZHT=;QFBTD26>GXNZHT=;QFBTD'FP@79=1JSK]M_04\MGSA,K_M<#J>5:C\BVDX9?UBNXH+BTD3*A76=2KTJ^LP17]JFP@#J\L;"I<>4:C\BVDX9?UBNXH+BTD3*Tb!D078EZ@TJV;XAK_M(O[I0/F21>GXNZHT=5QFBTD'FP@7&M;:96OPFR@\5=YNJ\L/NXH?.E020>GXNZHT=5QFBTD'FP@7&Xn0MRH\B^3:[LDRN880MRH\B^3:[LDRN-H^J=?;;@]EWGY61VCIYK*MUG2-@43W@H^J)LZF1,G642149B[CUEW83TEO[I$CWE5+B59=1JSK]M_0;\MGSA,K_M=#_k;@]EWGY58VCIYKj4A^DPFZ46W@H^Ji5N_GQA[74XAK_Mh6OPFR@\66YNJ\Lh7LQISC]:[LDRN8;0MRH\B^;\MGSA,K_M<<=4A^DPFZ?XAK_M(O[I0/F20>GXNZHT5RGMUG&AQC6)L8;?7LQISC]:[LDRN-H^J= K2018EZ@TJV3TEO[I$CWE4+W13He~xBK<;CWE<>DR[VCEJB:4C04N<>E6>D$[MIk4C04N[LDRN&LH@Fh4C04N[LDRN&LH@F#9;B03I@Hf3J8;AH@!P@F24>E58DOESBLZF%@VB5753J8;AH@POCWE GSA8'N:?6M=0LGM[JDRN-H^J= K1018G76JMGUDNXH+BTD3*A46:2I9<@KA_N@VB!DRN9$Zi6M=0LGM[JDRN-ENh6M=0LGM[JDRN-[:96M=0LGM[JDRN'H^JBK!OTVg?F47ELDTCO[I.Eg8G76JMGUDNXH!D0g8G76JMGUDNXH!D3f8G76JMGUDNXH!Q028G76JMGUDNXH!TSQW2>E5=DOEm6M=5LGM*UGCj2I99@KA_N@VBa=D:E5=DOESBLZF/F2a>E5=DOESBLZF/F1`>E5=DOESBLZF/S0?F>J?2I3A#^ND99@7H _AE48AZOE]O=0IiijV`n7?CEKC11MOAE!P@Ff?CEKCVCIYK!ICMIe?CEKCVCIYK!ICMI.6>@A;2Meh?5FN29JJ55059JJ4733@D:>95FN017?LH6<=1BB<;<;HL17>OI;:1BB9=4IO70?LH1;2CE;>5FN918MK?>3@DBX^ZNTD78MJGD\>1BCLM[.E:8MJGD\'N:46G@ABV-@7bOHIJ^%H?Q_T^0g?LIFK]$O>R^[_2f8MJGD\'N9S]ZP4e9JKDES&M8T\YQ:7:KLEFR)Y01BCLM[.SGD2>OHJLXY46G@BDPQ*A?8:KLF@TUW}yban|jaugg[utklV<0EBJ@ND:8MJBHFL$O56G@DNLF*A7>3@EOCCK!D3g8MJBHFL$O>R^[_1g8MJBHFL$O>R^[_0g8MJBHFL$O>R^[_3c8MJBHFL$NT]h4INFLJ@(BPYU[XR>i;HMGKKC)MQZT\YQ>1c9JKAIIM'OS\Rz|ilpfjdkblVdnxR64INFLJ@(Vi2CDHB@J.SGDf>OHLFDN"_][F0:8MJBHFLUdclrdcwaaYwzenT86G@L148MJJ7&M=0EBB?.E34?LIK8'N9o6G@L1,G6ZVSW9i0EBB?.E0\TQY6k2CD@= K2^RW[7eOHD9$O>R^[_5:8MJJ7&LR[=45FOM2-A]VX|zcf~h`nmdf\j`rX>2CD@= ^8:KLH5(UMN;>7DAC0^vpmheumh~nhRv`r^;8MJHWZlkouo5FOORQadb~&Mi0EB@_Rdcg}+B6k2CDB]\jae{-@7763@EE\_kndx,G6ZVSW9;:7DAAPSgb`|(C:VZ_S;HMMTWcflp$O>R^[_332?LIIX[ojht K2^RW[6be:KLJUTbims%IU^Ptrknv`hfelnTbhzPb:KLJUTbims%]i5FOORQadb~&[OL56G@PVPfeae3@E[[_kndx,Gg>OHX^Xnmiw!D0a8MJVPZlkou#J=109JKUQUmhnr"IOHZMXN]45FOSFQAT(Ci2CD^I\JQ/F2e>OHZMXN]#J=f:KLVATBY'N9S]ZP0g9JKWBUMX$O>R^[_0d8MJTCZL[%H?Q_T^0e?LIUL[OZ"IOHZMXN]#J=_QV\0c=NG[NYI\ K2^RW[0`3@EYH_K^.P`8MJTCZL[%^HI;;HMV43=NG\:%H:5FOT2-@41!D3]SPZ5d3@E^<#J=_QV\0f=NG\:%H?Q_T^7`?LIR8'N9S]ZP679JKP6)Y11BCX>!RDE21>OH]9UdclrdcwaaYg{U<7DAXRSGR=>OH_[XN]#Jn;HMTVWCV&M;j7DAXRSGR*A4a3@E\^_K^.E0\TQY7n2CD[_\JQ/F1[URX9o1BCZ\]EP,G6ZVSW;l0EBY]RDS-@7YW\V9m7DAXRSGR*A4XX]U?j6G@WSPFU+B5WY^T9k5FOVPQAT(C:VZ_S;74INUQV@W)Yk1BCZ\]EP,QAB>6A]3:MVP6=WIM>0\L\[7:RJJKGJMh1[ECQMURKG\g=WAGUIY^@NMD48T`tngm20\b|{P`vk1>TF49427_O30?,SEA024;(WIM20^L2>1;2=2>TF48;5m6\N<03=*UGC=2XJ0<06;SC?5;(WIM?0^L2=>89QE949&YKO96\N<2<:?WG;;7$[MI;4R@>7:<=UI5>5"]OK5:PB808>3[K793 _AE78VD:1601YM181.QCG1>TF4>427_O37?,SEA33[om^h!CD:8V``Umx$O56\jfSgr*A7>3[om^h!D3:8V``Umx$Zm6\jfSgr*WC@>2XnjX|h9:PfbPt`&JO37_kiUse-@<=Umo_yk#J>9:PfbPt`&M8n7_kiUse-@7YW\V:n7_kiUse-@7YW\V;n7_kiUse-@7YW\V8n7_kiUse-@7YW\V937_kiUse-Ud=Umo_yk#\JG058V``RznUdclrdcwaaYg{U87^K[9:QfppvOgen87Y\F4:VZT@e<]ZOYS[G\ICNF=>PNM^U_U]K<;Wa`2>QfzyYNm6YnrqQF*kaf=2]bhyf=c:Z@=073^HZ?1ShoQFdc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdb55Wdi]Wmhcc3QncSYgbeBjfgnb1.DZS5`=df}%N~1>1.DZS[qune{oem`kk_ogw[g=df}%N~1>1.Pf8gkr(M{6;2#\JG89`jq)Bz5;5n6mat.Gq848)Lj1hby!Jr=3=*A7d3jd#H|31?,G6g=df}%N~1?1.Pf8gkr(M{6:2#\JG008gkr(AGC__RH\M^DE`4733jd#D@FTR]EWHYANm;%H<;4cov,MKOS[VLXARHId0,G543<:4cov,MKOS[VLXARHId0,R53=df}%BBDZ\_GQN[C@c9'XNK?=4cov,MKOS[VLXARHId0]wwlkdzlkiiQwos]26>ei|&CEEY]PFRO\BCb59=1hby!FNHVP[CUJWOLo>#J>5:amp*OIA]YTJ^CPFGf1*A76=2iex"GAIUQ\BVKXNOn9"I<>a:amp*OIA]YTJ^CPFGf1*A4XX]U;=l5lnu-JJLRTWOYFSKHk2/F1[URX98>0ocz IOKWWZ@TEVLMh? ^179`jq)NF@^XSK]B_GDg6+TBO;90ocz IOKWWZ@TEVLMh?Q{sho`v`gsmmUscQk;blw+IRnelx7<3??;blw+IRnelx7<3 LEg9`jq)K\`gn~1>1.E33?fhs'E^bah|30?,G5463:+B5n2iex"B[ilgq858)Y8;0ocz LUknaw:76'XNK;blw+IRnelx7==0!CD33?fhs'E^bah|311<-@4724;(C98;0ocz LUknaw:687$O><>4cov,HQojm{6:<3 ^139`jq)K\`gn~1??>/PFC4`24;Ys{`gh~ho{ee]{kwYb3jd#AZfmdp?548692iex"B[ilgq8479&JO:<6mat.NWmhcu48;5"I?>;blw+IRnelx7=<0!D032?fhs'E^bah|310<-@7773jd#AZfmdp?548)Y880ocz LUknaw:697$YIJ?i;blw+IRnelx7=<0Ptrkngwcf|lnTtb|Pe:amp*JSadoy0<<1109`jq)K\`gn~1?=>/AF55=df}%GXdcjr=31:+B692iex"B[ilgq8449&M;:=6mat.NWmhcu4885"I<>0:amp*JSadoy0<<1.P31?fhs'E^bah|313<-V@A6n2iex"B[ilgq8449W}yban|jaugg[}iuWm1hby!CThofv979991hby!CThofv979&JOm7n`{/MVji`t;97$O==5lnu-OPlkbz5;5"I?>0:amp*JSadoy0<0!D3d8gkr(D]cfi2>>/S25>ei|&F_e`k}<0<-V@A6m2iex"B[ilgq848X|zcfokntdf\|jtXl2iex"B[ilgq878682iex"B[ilgq878)KLl0ocz LUknaw:56'N:<6mat.NWmhcu4;4%H3 K2g9`jq)K\`gn~1<1.P32?fhs'E^bah|32?,QAB7b3jd#AZfmdp?6;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?7;773jd#AZfmdp?7;(DMo1hby!CThofv959&M;;7n`{/MVji`t;;7$O=<>4cov,HQojm{682#J=f:amp*JSadoy0>0!Q038gkr(D]cfi2<>/PFC4c0:Zrtadiyilzjd^zlvZb7:467:+EBn2iex"B[ilgq818)L8:0ocz LUknaw:36'N:==5lnu-OPlkbz5>5"I2#_>1:amp*JSadoy080!RDE2a>ei|&F_e`k}<4<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<7<24>ei|&F_e`k}<7<-G@`5:+B682iex"B[ilgq838)L8;;7n`{/MVji`t;>7$O>k5lnu-OPlkbz5<5"\?>;blw+IRnelx7:3 ]EF3f?fhs'E^bah|36?]wwlkdzlkiiQwos]g?fhs'E^bah|37?33?fhs'E^bah|37?,@Ac=df}%GXdcjr=5=*A773jd#AZfmdp?3;(C98:0ocz LUknaw:06'N9j6mat.NWmhcu4>4%]^vpmheumh~nhRv`r^f8gkr(D]cfi27>028gkr(D]cfi27>/AFb>ei|&F_e`k}<9<-@46;:+B6991hby!CThofv9>9&M8m7n`{/MVji`t;07$Z=<5lnu-OPlkbz525"_KH1d9`jq)K\`gn~161_uqjiftbi}ooSua}_e9`jq)K\`gn~171119`jq)K\`gn~171.BGe?fhs'E^bah|39?,G55=df}%GXdcjr=;=*A7682iex"B[ilgq8<8)L;l0ocz LUknaw:>6'[:=6mat.NWmhcu404%^HI>e:amp*JSadoy040Ptrkngwcf|lnTtb|Pc:amp*JSadoyXNh4cov,HQojm{^H"NKj;blw+IRnelx_O#Ji;blw+IRnelx_O#J>f:amp*JSadoyXN K2d9`jq)K\`gn~YM!Q028gkr(D]cfiZL.SGDg>ei|&^bah|30?d8gkr(\`gn~1>1.BGf?fhs']cfi2?>/Fe?fhs']cfi2?>/F2b>ei|&^bah|30?,G6`=df}%_e`k}<1<-U462:+Ba3jd#Ygbes>2:+B6n2iex"Zfmdp?5;(C:8>0ocz Thofv979&M8T\YQ?159`jq)Sadoy0<0!D3]SPZ76<2iex"Zfmdp?5;(C:VZ_S?k4cov,Plkbz5;5"\??;blw+Qojm{6:2#\JGb9`jq)Sadoy0?0i;blw+Qojm{692#MJe:amp*Rnelx7>3 Kf:amp*Rnelx7>3 K1g9`jq)Sadoy0?0!D337?fhs']cfi2=>/F1[URX88>0ocz Thofv949&M8T\YQ>159`jq)Sadoy0?0!D3]SPZ46<2iex"Zfmdp?6;(C:VZ_S>k4cov,Plkbz585"\??;blw+Qojm{692#\JGc9`jq)SadoyXNk4cov,Plkbz]I%OHj4cov,Plkbz]I%Hh5lnu-Wmhcu\J$O=h5lnu-Wmhcu\J$O>k5lnu-Wmhcu\J$NT]et'gE}ibny/Ff?fu(fF|n~aov.E3f?fu(fF|n~aov.E027>et'gE}ibny/F1[URX8890o~!aOwgqhd)L;U[XR?k;bq,jJpbzekr"\h4cr-mKscudhs%^HI>c:ap+kIqm{fjuRz|ilaqadrblVrd~R:4eeef<>`tj&KX~ko4fr`,EVta&Mh0j~l ARpe*A7e3oyi#L]}f/F1e>`tj&KX~k ^c:dpf*GTzo$YIJ74in`n+F>Jzk1bcoc C9Oq*Aeohjd%H4@|!D3f8mjdj'J2F~#KWP0g8mjdj'J2F~#KWP^vpmhtbfhgnhR`jt^`8mjdj'J2F~#_k;hmai*E?E{$YIJl4in`n+Air|FOo7damm.FlqqIB&Mo0eblb/EmvpJC)L8o0eblb/EmvpJC)L;;87damm.FlqqIB&M8T\YQ?129jkgk(LfCH K2^RW[4743`eia"J`uuMF*A4XX]U9=>5foco,@jssGL$O>R^[_2f8mjdj'Me~xBK!Qg9jkgk(LfCH ]EF3`?liee&NdyyAJ_uqjiftbi}ooSua}_`9jkgk(MZ6;2n5foco,AV:76'No7damm.GP858)L8n0eblb/DQ?4;(C:880eblb/DQ?4;(C:VZ_S=?=;hmai*CT494%H?Q_T^326>ohjd%N_1>1.E0\TQY5k2cdn`!JS=2=*Tcohjd%N_1?1c:klfh)B[5;5"Ij4in`n+@U;97$O=i5foco,AV:66'N9=?5foco,AV:66'N9S]ZP0008mjdj'LY7=3 K2^RW[4753`eia"K\<0<-@7YW\V8:>6g`bl-FW979&M8T\YQ1:+B59;1bcoc ER>1:+B5WY^T<<<4in`n+@U;:7$O>R^[_031?liee&OX0?0!D3]SPZ4d3`eia"K\<3<-U`=ngkg$I^2=>/PFC4d0l;hmai*CT4:4%Hi5foco,AV:46'N:h6g`bl-FW959&M8:>6g`bl-FW959&M8T\YQ?139jkgk(MZ682#J=_QV\544ohjd%N~#Jn;hmai*Cu&M;j7damm.Gq*A4e3`eia"K}.DZS55=ngkg$I JXQ]SPZ6682cdn`!Jr/G[TZVSW8;;7damm.Gq*@^WWY^T><>4in`n+@t)MQZT\YQ<1b9jkgk(M{$NT]Q{shoqakgjmmUeiyQ6;hmai*Cu&Xh0eblb/Dp-V@Ac3`eia"G@REPFUw`k5foco,MJTCZL[y"\?>;hmai*OHZMXN] ]EF;8mjdj'@EX;hmai*OH[9$O>R^[_332?liee&CD_= K2^RW[6763`eia"G@S1,G6ZVSW=h0eblb/HMP4+Wc3`eia"G@S1,QAB763`eia"G@S^DPIZ@Al8;87damm.KLWZ@TEVLMh< K159jkgk(AFYTJ^CPFGf2*A76<2cdn`!FOR]EWHYANm;%H??:;hmai*OH[VLXARHId0,F\U413`eia"G@S^DPIZ@Al8$NT]Q{shoqakgjmmUeiyQ>3:klfh)NGZUM_@QIFe3-U43ohjd%BC^QISL]EBa4)ZLM:=6g`bl-JKVYA[DUMJi=>3:klfh)NGZUM_@QIFe1-@42ohjd%BC^QISL]EBa5)L;U[XR>>9:klfh)NGZUM_@QIFe1-@7YW\V;:56g`bl-JKVYA[DUMJi=!D3]SPZ46;2cdn`!FOR]EWHYANm9%]<;4in`n+LITWOYFSKHk3/PFC474:klfh)NGZUM_@QIFe6-@4733`eia"G@S^DPIZ@Al=$O><=4in`n+LITWOYFSKHk4/S21>ohjd%BC^QISL]EBa2)ZLM:=6g`bl-JKVYA[DUMJi;>3:klfh)NGZUM_@QIFe7-@42ohjd%BC^QISL]EBa3)Y8?0eblb/HMP[CUJWOLo9#\JG038mjdj'@EXSK]B_GDg245'N:86g`bl-JKVYA[DUMJi8!D037?liee&CD_RH\M^DE`3(C:830eblb/HMP[CUJWOLo:#J=_QV\44?'N9S]ZP1018mjdj'@EXSK]B_GDg2+W6=2cdn`!FOR]EWHYANm<%^HI>1:klfh)NGZUM_@QIFe527>ohjd%BC^QISL]EBa1)L8>0eblb/HMP[CUJWOLo;#J>159jkgk(AFYTJ^CPFGf4*A4612cdn`!FOR]EWHYANm=%H?Q_T^22=>ohjd%BC^QISL]EBa1)L;U[XR?>9:klfh)NGZUM_@QIFe5-@7YW\V8:?6g`bl-JKVYA[DUMJi9!Q078mjdj'@EXSK]B_GDg3+TBOm1bcoc INUQV@Wun2cdn`!FOVPQATt)L8:0eblb/HMTVWCVz'N:==5foco,MJQUZL[y"I<>1:klfh)NG^XYI\|!EYR22>ohjd%BCZ\]EPp-A]VXX]U;=;5foco,MJQUZL[y"HV__QV\540ohjz%H`ho}1/F2a>ohjz%H`ho}1/F1`>ohjz%H`ho}1/Se?lie{&Igil|>.SGDf>ohjz%BCLM[re9jkgu(AFKHX Ke:klfv)NGHI_~#J>e:klfv)NGHI_~#J=d:klfv)NGHI_~#_i;hmaw*OHIJ^y"_KHf:klfv)NGMEEI2?>038mjdt'@EOCCK}<1<-@441:klfv)NGMEEI2?>/S27>ohjz%BCIAAEs>3:+TBO01bco} INN2g>ohjz%BCA?!CD`8mjdt'@EG=#Jl;hmaw*OHD8$O=n5focq,MJJ6&M8i7dams.KLH4(Vl2cdn~!FOM3-V@Af3`ei"G@RV3`?lie{&CD^Z?!De9jkgu(AFX\=#J>d:klfv)NG[]:"I<>2:klfv)NG[]:"Iohjz%BC_Y>.DZS[qune{oem`kk_ogw[f=ngky$EB\X1/Sf?lie{&CD^Z?!RDE2f>ohjz%BC_Y>_uqjiftbi}ooSua}_89jkgu(AF_:o6g`br-JKP7)KLh0ebl|/HMV5+Bd3`ei"G@U0,G5f=ngky$EB[>.E0a?lie{&CDY< ^d:klfv)NG\;%^HIn;hmaw*Jhim;h7dams.Nlea7)Lm1bco} Lncg5+B6l2cdn~!Co`f2*A46:2cdn~!Co`f2*A4XX]U;=?5focq,Hjgc9'N9S]ZP1008mjdt'Eejh< K2^RW[7753`ei"B`ae3-@7YW\V9n7dams.Nlea7)MQZ:j6g`br-Okdb6&LR[Sy}fmsgmehccWgoSn5focq,Hjgc9'[n7dams.Nlea7)ZLM27dams.Qfjqd1:klfv)Tmg~%H?Q_T^225>ohjz%Xicz!D3]SPZ7692cdn~!\eov-@7YW\V8:=6g`br-Pakr)L;U[XR=>1:klfv)Tmg~%H?Q_T^6g?lie{&Ynby JXQ3f?lie{&Ynby JXQ]wwlkumgkfiiQaeu]a?lie{&Ynby ^d:klfv)Tmg~%^HI>0:klfv)S[VLXARHId031?lie{&^XSK]B_GDg5+B6;2cdn~![S^DPIZ@Al8$O=<=4in`p+QUXNZGTJKj>.E02<>ohjz%__RH\M^DE`4(C:VZ_S=?7;hmaw*RTWOYFSKHk1/F1[URX9880ebl|/UQ\BVKXNOn:"\?;;hmaw*RTWOYFSKHk1/PFC46ohjz%__RH\M^DE`7(C:820ebl|/UQ\BVKXNOn9"I8:klfv)S[VLXARHId3,G6ZVSW;;37dams.VP[CUJWOLo>#J=_QV\742<>4n@FlqqJB8VEIYK ^9:lB@jssDL;o7cOKotvOA4(WIMo0bLJ`uuNF5ZIE]O;;7cOKotvOA4YHJ\L%HhF[VCDNb{{$P37?kGTW@EIcxz!BTDLA+IR\j1eM^QFOCmvp+Bc3gKXSDAMotv-@4b2:lBWZOHJf"IhF[VCDNb{{.Pg8jDUXAFHdyy ]EFd8jDUXAFHdyy [RRV4?kGh}}ENn6`NotvLA+VFLj1eMb{{OD]LFP@b3gKdyyAJ_N@VB+Ba3gKdyyAJ_N@VB+B6n2dJcxz@E^MAQC(C:8>0bLaztNG\KGSA&M8T\YQ?159mEjssGLUDNXH!D3]SPZ7682dJcxz@E^MAQC(BPY8:7cO`uuMF[JDRN'OS\Rz|ilpfjdkblVdnxRk4n@mvpJCXGK_M"\??;oClqqIBWFH^J#\JG89mF@TUWOYIh6`MESP\BVD)XHNn7cLJRS]EWGYHJ\L:<6`MESP\BVDXGK_M"I?>;o@FVWYA[KUDNXH!D032?kDBZ[UM_OQ@BTD-@7713gHN^_QISC]LFP@)L;U[XR>>6:lAAWTXNZHTCO[I.E0\TQY69?1eNH\]_GQA[JDRN'N9S]ZP2028jGCUZVLXNRAMUG,R57=iJLXYSK]M_N@VB+TBO880bOK]R^DPFZIE]O$^E@74nCGQVZOHJm1eNH\]_HMA*UGCm2dII_\PIN@\MGSA?2dI^RG@Bc9mFWYNGK$[MIm4nCP\MJDXGK_Mi6`MR^KLFZIE]O.Zi6`MR^KLFZIE]O$Oj6`MR^KLFZIE]O$O=k5aBS]JKGYHJ\L%H??;;o@Q[LIEWFH^J#J=_QV\442d:lAVZOHJVEIYKQ{sho`v`gsmmUscQ;;oABW==iKHY%\LJ6;oABWZIE]Oh0bNO\_N@VB+Bd3gIJ_RAMUG,G5f=iKHYTCO[I.E0a?kEF[VEIYK ^d:l@EVYHJ\L%^HIn;oFWEFMXNZHn7cJ[ABI\BVD)XHNm7cJ[ABI\BVDXGK_M=<5aDUC@OZ@TJVEIYK K139m@QGDCVLXNRAMUG,G5441eHYOLK^DPFZIE]O$O>R^[_234?kBSIJATJ^LPOCWE*A4XX]U?=:5aDUC@OZ@TJVEIYK K2^RW[0703gN_MNEPFR@\KGSA&M8T\YQ9109m@QGDCVLXNRAMUG,R56=iL]KHGRH\B^MAQC(UMNk0bIZNCJ]JKGchCagENSBLZF/F1[URX:890bIgaOD]LFP@)L;U[XR=k;oFjjJCXGK_M"\94nEmvpJCe3gNdyyAJ.QCGg>hCg|~DIRAMUGg8jAir|FOTCO[I.Ed8jAir|FOTCO[I.E3e?kBh}}ENSBLZF/F151=iLfCHQ@BTD-@7YW\V::86`KotvLAZIE]O$O>R^[_0g8jAir|FOTCO[I.P78jLVK:01eE]B=.QCGe>hNXE8TEO[I8:lO@VYA[Ki0bAJ\_GQA*UGCl2dGH^QISC]JFP@?3gFO_RG@Bb9mHAUXAFH%\LJm;oNGWZOHJfi6`CDR]JKGir|-ENh6`CDR]JKGir|-[:96`CDR]JKGir|'H^JBK!OTVg?kJC[VCDNb{{.Eg8jIBTW@EIcxz!D0g8jIBTW@EIcxz!D330?kJC[VCDNb{{.E0\TQY79:1e@I]PIN@lqq(C:VZ_ShHMVEIYK K2`9mK@YHJ\L%]:5aR@OOS@dhUIZUDNXH!Db9mVDUXGK_M"I?l;oPBWZIE]O$O>o5aR@Q\KGSA&Xn0b_O\_N@VB+TBO=1e^H]7;oPFW+VFL>1e^H]`uu;8jWCTg|~%Hl5aRDQlqq(C9h1e^H]`uu,G6<=iZLYdyy ^b:lQAVir|'XNK;5aRNO@Wd=iZFGH_#^NDc9mVJKD[VEIYKj4nSMNGVYHJ\L%Hh5aRNO@WZIE]O$O=h5aRNO@WZIE]O$O><=4nSMNGVYHJ\L%H?Q_T^227>hUGDIXSBLZF/F1[URX9m1e^BCLS^MAQC(V>2dYC@YJa:lQKHQB&YKOn6`]OLUF[JDRNm1e^BCXE^MAQC(Cm2dYC@YJ_N@VB+B6m2dYC@YJ_N@VB+B5l2dYC@YJ_N@VB+W?3g_O_RH\Bb9mQAUXNZH%\LJk;oWGWZ@TJVCIYK64nTFP[LIEk2d^H^QFOC,SEAd>3:lV@VYNGKe~x#J=_QV\545hQEHUBCO _AE`8jSKFW@EIcxzj;oTNEZOHJf(BKk;oTNEZOHJf(\?:;oTNEZOHJf"O[IOD,LQQbtfe&HJOY|!Db9qeh)EIJ^y"I?l;scn+GGD\{$O>i5}al-AEFRu&LR[=?5}al-AEFRu&LR[S]ZP0008vdk(JHI_~#KWP^RW[4753{kf#OOLTs,F\UYW\V8:>6|nm.@BGQt)MQZT\YQ<139qeh)EIJ^y"HV__QV\04ci;scn+FGT&M8T\YQ>f:pbi*EF['N9S]ZP2g9qeh)DIZ$O>R^[_2d8vdk(KHY%H?Q_T^6:?wgj'JKX"\l4r`o,GDU)ZLM37ob/Nl`ag=uid%Dbnk!CDc8vdk(Ggin"Il4r`o,Kkeb&M;i7ob/Nl`a+B5i2xja"Aacd,Rg>tfe&Eeoh ]EF;8vdk(ZHGNBo5}al-QEHCI&Mi0~lc R@OFJ+B6k2xja"\NMDL-@7763{kf#_OBEO,G6ZVSW9;:7ob/SCNAK(C:VZ_S;scn+WGJMG$O>R^[_332?wgj'[KFIC K2^RW[6763{kf#_OBEO,G6ZVSW=;:7ob/SCNAK(C:VZ_S8l4r`o,VDKBF'[o7ob/SCNAK(UMNl0~lc RR]EWHYANm;:=6|nm.PP[CUJWOLo=#J>2:pbi*TTWOYFSKHk1/F257=uid%Y_RH\M^DE`4(C:8;0~lc RR]EWHYANm;%]<=4r`o,VVYA[DUMJi?!RDEe?wgj'[YTJ^CPFGf154=uid%Y_RH\M^DE`7(C9;1ym`!]S^DPIZ@Al;$O=<<4r`o,VVYA[DUMJi JXQ]SPZ75<2xja"\\_GQN[C@c;'OS\Rz|ilpfjdkblVdnxR?>;scn+WUXNZGTJKj<.P30?wgj'[YTJ^CPFGf0*WC@n2xja"\\_GQN[C@c<8;0~lc RR]EWHYANm>%H<<4r`o,VVYA[DUMJi:!D031?wgj'[YTJ^CPFGf7*A4692xja"\\_GQN[C@c<'[:?6|nm.PP[CUJWOLo8#\JGg9qeh)U[VLXARHId732?wgj'[YTJ^CPFGf5*A753{kf#_]PFRO\BCb1&M;:>6|nm.PP[CUJWOLo:#J=169qeh)U[VLXARHId7,G6ZVSW9;<7ob/SQ\BVKXNOn="I5}al-QWZ@TEVLMh; ]EFd8vdk(ZZUM_@QIFe:25>tfe&XXSK]B_GDg<+B6:2xja"\\_GQN[C@c0'N:=?5}al-QWZ@TEVLMh5 K2058vdk(ZZUM_@QIFe:-@7YW\V::;6|nm.PP[CUJWOLo4#J=_QV\541)L;U[XR<>7:pbi*TTWOYFSKHk8/F1[URX;8=0~lc RR]EWHYANm2%H?Q_T^625>tfe&XXSK]B_GDg<+W6;2xja"\\_GQN[C@c0'XNKo5}al-QacBhfln0~lc RddGkkc)Ll1ym`!]egFlj`(C9l1ym`!]egFlj`(C:890~lc RddGkkc)L;U[XR>>3:pbi*TbnMeei#J=_QV\5a=uid%YikJ`nd,Rb>tfe&XnjIaae/PFC0=qienqMN4`6`?EF9jk1J7<51zQe0?7dl3:1=>:m1159e2g7sg;o;7?4n0f;>3=#9m:1=nk4}Rd0>4ec290:?9l>068b3d6:0yPb1<6km0;6<=;b024>d1f82|_:94?:082>6bfsZl?60j;l>4V0f0>7}r91=1=6{>8983?x"6k>0:4;5+19d95fev?8:019yl>7290/=4<57g9m5<7=821b;i4?:%3:6?1a3g;2=7?4;h5a>5<#9081;k5a18396>=n?00;6)?62;5e?k7>93907d98:18'5<4=?o1e=4?54:9j30<72-;2>79i;o3:5?3<3`oh6=44ie094?=nnm0;66g>o3ih0;66g>o38h0;66g;2b83>>o3?<0;66gi9;29?l2513:17d:n7;29?l02290/=4<57g9m5<7=l21b::4?:%3:6?1a3g;2=7m4;h4:>5<#9081;k5a1839f>=n>k0;6)?62;5e?k7>93k07d8k:18'5<4=?o1e=4?59:9j2c<72-;2>79i;o3:5?><3`=:6=4+18093c=i90;1;65f7283>!7>:3=m7c?61;48?l>5290/=4<57g9m5<7=m21d85750;9l7gb=831d8?h50;9l02>=831d?i650;9l0<>=831d?h950;9l016=831d8;k50;9l7d?=831d?k=50;9l7cd=831d8=j50;9(00b=831d=4m50;&2=7<6101e=4?5a:9l5d4=83.:5?4>989m5<7=?21d=l:50;&2=7<6101e=4?56:9l5d0=83.:5?4>989m5<7==21d=l650;&2=7<6101e=4?54:9l5de=83.:5?4>989m5<7=:21d=4k50;&2=7<6101e=4?59:9l5<1=83.:5?4>989m5<7=921d=lo50;&2=7<6101e=4?53:9l5d6=83.:5?4>989m5<7=021d=4o50;&2=7<6101e=4?50:9l5<3=83.:5?4>989m5<7=j21d?o>50;9l7fe=831d8<;50;9l076=831d89950;9l01c=831d88;50;9l033=831dh;4?::mf1?6=3k2:6=4>:183!7c:3;3i6F>c79j5=c=83.:h?4>8d9'5=3=9o1/=4>51g98yge?29096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ecji7o4}|~?xd0m3:1=7>50z&2`7<60l1C=n84i0:f>5<#9m81=5k4$0:6>4e<,83;6d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d9e>"3il0j7psr}:a3f<7280;6=u+1e095=c<@8i=7d?7e;29 4b5282n7)?75;3a?!7>83;i76smc783>7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:`9'0dc=i2wvqp5rb6c94?7=83:p(2c:4h4?:%3g6?7?m2.:484>a:&2=5<6i21vnn;50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7o4$5cf>d=zutw0qo97:182>5<7s-;o>7?7e:J2g3=n91o1<7*>d382<`=#91?1=45+18295<=7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0j7):ne;c8yx{z3th<:7>51;294~"6l;0:4h5G1b48m4>b290/=i<519g8 4>22820(<7?:0:8?xde<3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=i2.?mh4n;|~y>{emm0;6<4?:1y'5a4=91o0D<:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo7d=#t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?0<,=kn6;5r}|8yg`729096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5G1e38R4b328qG=i851zTe7}#4?:083>5}#9m81=5k4H0a5?l7?m3:1({el=0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga2?1/8lk56:~yx=zjm?1<7<50;2x 4b52m:0D<;:m27?7d:J2`4=Q9m>1=vB>d782S`?2;q/=4:519f8Rce=:r.?mk49;%6ba?08d9K5f06??4$0;3>77<3thmj7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>N6l81]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag85?!2fm3<0qpsr;|`0fg<7280;6=u+1e095=c<@8i=7d?7e;29 4b5282n7)?75;06?!7>838>76sm3ca94?4=83:p(5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382<`=O9j<0e<6j:18'5a4=91o0(<6::318 4?72;907pl;ab83>7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:`9'0dc=i2wvqp5rb2g6>5<6290;w)?k2;3;a>N6k?1b=5k50;&2`7<60l1/=5;5419'5<6=<910qo=j6;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382<`=O9j<0e<6j:18'5a4=91o0(<6::508 4?72=807pl;0b83>7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:`9'0dc=i2wvqp5rb50g>5<6290;w)?k2;3;a>N6k?1b=5k50;&2`7<60l1/=5;5259'5<6=:=10qo:=e;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=2c:4h4?:%3g6?7?m2.:484=6:&2=5<5>21vn998:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4n;%6ba?g8d9K5f06?>4$0;3>76<3thmn7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#o60l0;6)?k2;3;a>"60<0?j6*>9187b>=zj=8i6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0j7):ne;c8yx{z3th?m54?:083>5}#9m81=5k4H0a5?l7?m3:1(:7)?60;62?>{e<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3k0(9oj:`9~yx{:183!7c:3;3i6F>c79j5=c=83.:h?4>8d9'5=3=<=1/=4>54598yg>329096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ecji7o4}|~?xd103:1=7>50z&2`7<60l1C=n84i0:f>5<#9m81=5k4$0:6>1e<,83;69m4;|`;e?6=:3:1d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d9e>"3il0j7psr}:a2d<7280;6=u+1e095=c<@8i=7d?7e;29 4b5282n7)?75;33?!7>83;;76sm9183>7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:`9'0dc=i2wvqp5rb7a94?7=83:p(2c:4h4?:%3g6?7?m2.:484>1:&2=5<6921vn4850;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7o4$5cf>d=zutw0qo8j:182>5<7s-;o>7?7e:J2g3=n91o1<7*>d382<`=#91?1=?5+182957=7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0j7):ne;c8yx{z3th<<7>51;294~"6l;0:4h5G1b48m4>b290/=i<519g8 4>228>0(<7?:068?xdf:3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=i2.?mh4n;|~y>{e?;0;6<4?:1y'5a4=91o0D<:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo7d=#t$0f1>4>b3A;h:6g>8d83>!7c:3;3i6*>84822>"6190::65rb`g94?4=83:p(5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95825<6290;w)?k2;3;a>N6k?1b=5k50;&2`7<60l1/=5;53b9'5<6=;j10qom6:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4n;%6ba?g4<729q/=i<519g8L4e13f;3i7>5$0f1>4>b3-;397=i;%3:4?5a32wi85750;194?6|,8n96o68:0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>029Ubf<5s->jj764$5cf>==zutw0ek;50;&2`7{M3g2?7|^o21>v*>958e1>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd4jl0;6<4?:1y'5a4=91o0Dt$0f1>4e33A;h:6g>0283>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68:1]jn4={%6bb?><,=kn655r}|8mc3=83.:h?4i5:T2`1<6sE;o:7?tVg:96~"61=0m96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<519g8L4e13f;3i7>5$0f1>4>b3-;397:<;%3:4?2432wi8?h50;194?6|,8n96o68:0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>029Ubf<5s->jj764$5cf>==zutw0ek;50;&2`7{M3g2?7|^o21>v*>958e1>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3?00;6<4?:1y'5a4=91o0D=8391<7>t$0f1>4e33A;h:6g>0283>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68:1]jn4={%6bb?><,=kn655r}|8mc3=83.:h?4i5:T2`1<6sE;o:7?tVg:96~"61=0m96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<519g8L4e13f;3i7>5$0f1>4>b3-;397=k;%3:4?5c32wi?i650;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj764$5cf>==zutw0qo:69;295?6=8r.:h?4>8d9K5f068>4$0;3>06<3th?554?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn>k7:182>5<7s-;o>7?7e:J2g3=h91o1<7*>d382<`=#91?1?h5+18297`=52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#i60l0;6)?k2;3;a>"60<09m6*>9181e>=zj=>;6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io037):ne;:8yx{z3th?:k4?:083>5}#9m81=5k4H0a5?j7?m3:1({e<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn320(9oj:99~yx{51;294~"6l;0:4h5G1b48k4>b290/=i<519g8 4>22=20(<7?:5:8?xd4i00;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga211/8lk58:~yx=zj:l?6=4>:183!7c:3;3i6F>c79l5=c=83.:h?4>8d9'5=3=:01/=4>52898yg5a;3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=02.?mh47;|~y>{e;oi1<7?50;2x 4b5282n7E?l6:m2<`<72-;o>7?7e:&2<0<5:2.:5=4=2:9~f6`e29096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ecji764}|~?xd38l0;6<4?:1y'5a4=91o0D3-;2<7:6;:a05b=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?><,=kn655r}|8yg22m3:1=7>50z&2`7<60l1C=n84+0:f>5<#9m81=5k4$0:6>1c<,83;69k4;|`71a<72:0;6=u+1e095f2<@8i=7d??3;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77;2\mo7==#7h:;W3g0?7|D8n=6<3l>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?6d;295?6=8r.:h?4>8d9K5f06>>4$0;3>66<3th:5n4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn5<7s-;o>7?7e:J2g3=h91o1<7*>d382<`=#91?1>o5+18296g=7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#i60l0;6)?k2;3;a>"60<09h6*>9181`>=zj8k?6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io037):ne;:8yx{z3th:m:4?:083>5}#9m81=5k4H0a5?j7?m3:1({e9h<1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn320(9oj:99~yx{51;294~"6l;0:4h5G1b48k4>b290/=i<519g8 4>22:;0(<7?:238?xd6i10;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga211/8lk58:~yx=zj8ko6=4>:183!7c:3;3i6F>c79l5=c=83.:h?4>8d9'5=3=;<1/=4>53498yg7fk3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=02.?mh47;|~y>{e90l1<7?50;2x 4b5282n7E?l6:m2<`<72-;o>7?7e:&2<0<5m2.:5=4=e:9~f4?b29096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ecji764}|~?xd6110;6<4?:1y'5a4=91o0Dt$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?><,=kn655r}|8yg7fj3:1=7>50z&2`7<60l1C=n84o0:f>5<#9m81=5k4$0:6>65<,83;6>=4;|`2ed<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo7==#n54}c3b4?6=:3:1d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d9<>"3il037psr}:a5t$0f1>4>b3A;h:6a>8d83>!7c:3;3i6*>8480<>"61908465rb0;b>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag8;?!2fm320qpsr;|`2=3<7280;6=u+1e095=c<@8i=7b?7e;29 4b5282n7)?75;11?!7>839976sm18794?4=83:p(5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382<`=O9j<0c<6j:18'5a4=91o0(<6::558 4?72==07pl7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:99'0dc=02wvqp5rb2ag>5<6290;w)?k2;3;a>N6k?1d=5k50;&2`7<60l1/=5;54`9'5<6=d38246=2e:4h4?:%3g6?7?m2.:484;d:&2=5<3l21vn9?::181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk47;%6ba?>4<729q/=i<519g8L4e13f;3i7>5$0f1>4>b3-;397:m;%3:4?2e32wi8?>50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj764$5cf>==zutw0qo:;8;295?6=8r.:h?4>8d9K5f06>o4$0;3>6g<3th?8:4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn9:i:182>5<7s-;o>7?7e:J2g3=h91o1<7*>d382<`=#91?1?o5+18297g=?i7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#i60l0;6)?k2;3;a>"60<0856*>9180=>=zj=?>6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io037):ne;:8yx{z3th?:;4?:083>5}#9m81=5k4H0a5?j7?m3:1({e<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn320(9oj:99~yx{:183!7c:3;3i6F>c79l5=c=83.:h?4>8d9'5=3=;>1/=4>53698ygb129096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ecji764}|~?xdb>3:1=7>50z&2`7<60l1C=n84o0:f>5<#9m81=5k4$0:6>60<,83;6>84;|`f1?6=:3:1d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d9<>"3il037psr}:a0=b=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2?j3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e<1i1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{3i7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9e>"3il0j7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{297>56;294~"6l;0:<<5G1b48 4732l1b===50;&2`7<68:1]=i:51zN2`3<6s_;3874643_lh6?u+4`d95>"3il0:7psr}:ke5?6=,8n96k?4V0f7>4}K9m<1=vX>8581!7><3l:7[hl:3y'0d`=92.?mh4>;|~y>oa:3:1(7}#69uY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc2o;0(?o;:5ce?x"29=097pX>9281S`12;q/8lj5f39'6d2=4;f8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7d:2.9m94;ag9~ 0732j1vqp5f1`g94?"6l;0:mh5Y1e696~J6l?0:w[?74;0x 4?328kn7[hl:3y'0d`=k2.?mh4l;|~H4b228q]=nl52zTe2?4|,=ko6i60m0;6)?k2;3;`>=zj8:96=4>:183!7c:3;o56F>c79l5=b=83.:h?4>8e98yg7d03:1=7>50z&2`7<60k1C=n84o0:g>5<#9m81=5j4;|`74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb5;3>5<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qo:62;292?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?dg=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2>;3:147>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;h33`?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0e9Ubf<5s->jj7l4$5cf>g=zutw0e<>j:18'5a4=9990D;W3g0?7|D8n=6<3;;i6Xic;0x 1ga2k1/8lk5b:~yx=n99l1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511d8Rce=:r.?mk4m;%6ba?d0;29 4b528:87[?k4;3xH4b128q]j54={%3:0?7682\mo7g=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?5<4?:283>5}#9m81=5m4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=3=6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4;;%6ba?20(9oj:59~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a7f6=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5d:3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;jk1<7850;2x 4b528::7E?l6:&2515$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd4k:0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#3:1d3857>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=n99n1<7*>d38246=O9m;0Z46c3_lh6?u+4`d9f>"3il0i7psr}:k24`<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511g8Rce=:r.?mk4m;%6ba?d4=#0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8m46d290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:c9'0dc=j2wvqp5f11f94?"6l;0:<>5G1e38R4b328qG=i851zTek;Wd`>7}#ji7l4}|~?j7?l3:1({zut1vn>m7:184>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=n99n1<7*>d38246=O9m;0Z46c3_lh6?u+4`d9f>"3il0i7psr}:k24`<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511g8Rce=:r.?mk4m;%6ba?d2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>o68j0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a7fd=8391<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3>0(9oj:59~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo71=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th??>4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn9=>:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?77<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb517>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`77`<72?0;6=u+1e09557<@8i=7)?>4;g8m464290/=i<51118R4b328qG=i851zT2<1<5s-;287??3:Teg?4|,=km6<5+4`g95>{zut1bj<4?:%3g6?`63_;o87?tL0f5>4}Q91>1>v*>958e5>Pak38p(9oi:09'0dc=92wvqp5ff383>!7c:3l97[?k4;0xH4b128q]=5:52z&2=16=#uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mk5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mh5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;d2?!4f<3>jj6s+50696>{Q9091>vXi6;0x 1gc2o80(?o;:5ce?x"29=0o7psr;h3`6?6=,8n96c39'6d2=4;a8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7fm2.9m94;ag9~ 0732j1vqp5`19f94?"6l;0:4i54}c601?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#d3857>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?76<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#o50;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=9i6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg24k3:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo:<7;292?6=8r.:h?4>8b9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>95824a=Qnj09w):nf;38 1gb281vqps4i02f>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:n7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo:1<7*>d38246=O9m;0Z4633_lh6?u+4`d90>"3il0?7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4;;%6ba?24=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3?h0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj==i6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?;i4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn968:185>5<7s-;o>7??1:J2g3=#98>1i6g>0283>!7c:3;;?6X>d582I7c>3;pZ<6;:3y'5<2=9990Zkm52z&7ec<63->ji7?4}|~?l`6290/=i<5f09U5a2=9rF:h;4>{W3;0?4|,83?6k?4Vga96~"3io0:7):ne;38yx{z3`l96=4+1e09b7=Q9m>1>vB>d782S7?<38p(<7;:g08Rce=:r.?mk4<;%6ba?518lh4}Wd4>7}#1ga3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#1gb3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#v*;ae8e6>"5i=0?mk5r$437>a=zut1b=n<50;&2`7<6k;1]=i:52zN2`3<6s_;3874e53_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28i97){#=8>1o6sr}:k2e`<72-;o>7?ne:T2`1<5sE;o:7?tV0:7>7}#90>1=lk4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51`g8 7g32=km7p*:158`?x{z3f;3h7>5$0f1>4>c32wi8:k50;194?6|,8n96o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi85?50;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=296=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2?;3:1?7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7<1<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ecji7l4}|~?l77k3:1({M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e<1:1<7850;2x 4b5282h7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?7<,=kn6<5r}|8m46c290/=i<51118R4b328qG=i851zTek;Wd`>7}#{M3g2?7|^o21>v*>95824`=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e<121<7=50;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7:4$5cf>1=zutw0e<>::18'5a4=9990D;W3g0?7|D8n=6<3;;96Xic;0x 1ga2=1/8lk54:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?7b783>7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb0a2>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2h1/8lk5a:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?7c183>3<729q/=i<51138L4e13-;:87k4i020>5<#9m81===4V0f7>4}K9m<1=vX>8581!7><3;;?6Xic;0x 1ga281/8lk51:~yx=nn80;6)?k2;d2?S7c<3;p@{zut1bj?4?:%3g6?`53_;o874}Q91>1>v*>958e6>Pak38p(9oi:29'0dc=;2wvqA?k5;6xR4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3io1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3il1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7h>;%0b0?2fn2w/9<:59:U5<5=:r\m:7c4<,;k?69oi;|&651{zuE;o97?tV0aa>7}Qn?09w):nd;3ba>"5i=0?mk5r$437>f=zut1d=5j50;&2`7<60m10qo?m8;297?6=8r.:h?4>cg9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#i60m0;6)?k2;3;`>=zj8hi6=4::183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8m46c290/=i<51118R4b328qG=i851zTek;Wd`>7}#{M3g2?7|^o21>v*>9582d3857>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4m;%6ba?d5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ecji7l4}|~?l77k3:1({zut1b==j50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?me;291?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7e?3:1?7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;1<1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#>;I3`2>"69=0n7d??3;29 4b528:87[?k4;3xH4b128q]=5:52z&2=1<68:1]jn4={%6bb?7<,=kn6<5r}|8mc7=83.:h?4i1:T2`1<6sE;o:7?tV0:7>7}#90>1j<5Yfb81!2fn3;0(9oj:09~yx{d38e6>P6l=09wA?k6;3xR4>32;q/=4:5f39Ubf<5s->jj7=4$5cf>6=zutF:h84;{W3`7?5|^o<1>v*;ae8e6>"5i=0?mk5rVg596~"3im0:<>5+2`690d`v*;ae8e6>"5i=0?mk5rVg596~"3im0:<>5+2`690dcv*;ae8e6>"5i=0?mk5rVg596~"3im0m=6*=a587ec=z,<;?6?5rV0;0>7}Qn?09w):nd;d1?!4f<3>jj6s+5069`>{zu2c:o?4?:%3g6?7d:2\:h94={M3g2?7|^82?6?u+18695f4<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9j80(?o;:5ce?x"29=0h7psr;h3ba?6=,8n96ad9'6d2=4;a8yx{5}#9m81=nh4H0a5?l77<3:1({M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=78;295?6=8r.:h?4>d89K5f05}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;1h1<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2?1/8lk56:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb2:g>5<3290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:2n6=4;:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb2::>5<1290;w)?k2;3;g>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4>;%6ba?74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==k4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb2;3>52c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<03->ji794}|~?l77=3:1({zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=?2.?mh48;|~y>o68m0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag84?!2fm3=0qpsr;h33a?6=,8n96<><;W3g0?7|D8n=6<3;;i6Xic;0x 1ga2j1/8lk5c:~yx=n99l1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511d8Rce=:r.?mk4l;%6ba?e0;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:==5Yfb81!2fn3i0(9oj:b9~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e2383>6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn<<<:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38253;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0=7):ne;48yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb004>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?=9;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e2`83>6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn<5<7s-;o>7?k9:J2g3=h91n1<7*>d38253;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0=7):ne;48yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb00f>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<13->ji784}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;48 1gb2?1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e9;l1<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj89=6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7493:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji7m4}|~?l77k3:1({M3g2?7|^o21>v*>95824f=Qnj09w):nf;a8 1gb2j1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e9:81<7;50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2>1/8lk57:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9g>"3il0h7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:f=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th:?>4?:283>5}#9m81=5m4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj8l36=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th:jk4?:283>5}#9m81=nh4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8b?!2fm3k0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj8ln6=49:183!7c:3;;=6F>c79'542=m2c:<>4?:%3g6?77;2\:h94>{M3g2?7|^82?6?u+1869555<^oi1>v*;ag82?!2fm3;0qpsr;hd2>5<#9m81j<5Y1e695~J6l?0:w[?74;0x 4?32o;0Zkm52z&7ec<63->ji7?4}|~?l`5290/=i<5f39U5a2=:rF:h;4>{W3;0?4|,83?6k<4Vga96~"3io087):ne;18yx{K9m?18vX>c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=l:54`d8y!36<330q[?63;0xRc0=:r.?mi4i2:&1e1<3io1v(8?;:e9~yx=n9j81<7*>d382g7=Q9m>1>vB>d782S7?<38p(<7;:0a1?S`d2;q/8lh5c:&7e`4e53-8j87:nf:'142=k2wvq6g>ad83>!7c:3;ji6X>d581I7c>3;pZ<6;:3y'5<2=9ho0Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?j7?l3:1({e9ok1<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7aj3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`2bf<7280;6=u+1e095=d<@8i=7b?7d;29 4b5282o76sm1g;94?2=83:p(5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:?=1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;c8 1gb2h1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:?n1<7850;2x 4b528::7E?l6:&2515$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd5>00;6>4?:1y'5a4=9jl0D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{51;294~"6l;0:h45G1b48k4>c290/=i<519f8?xd5>k0;684?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>o68j0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0e<>k:18'5a4=9990Z46c3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#;h50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo<88;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=946;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn?9>:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn?9;:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb355>5<3290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;=86=4;:183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?9?:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?77<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3:0>5<1290;w)?k2;335>N6k?1/=<:5e:k246<72-;o>7??3:T2`1<6sE;o:7?tV0:7>7}#90>1===4Vga96~"3io0:7):ne;38yx{z3`l:6=4+1e09b4=Q9m>1=vB>d782S7?<38p(<7;:g38Rce=:r.?mk4>;%6ba?7c4<^oi1>v*;ag80?!2fm390qpsC1e790~P6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=km7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=kn7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj5f09'6d2=4;;8yS7>;38pZk852z&7eao6il0;6)?k2;3ba>P6l=09wA?k6;3xR4>32;q/=4:51`g8Rce=:r.?mk4l;%6ba?e2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`13f<7280;6=u+1e095a?<@8i=7b?7d;29 4b5282o76sm26g94?5=83:p(5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5?o0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo<70;290?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3:2>5<3290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;=o6=4::183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<63->ji7?4}|~?l77l3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`13d<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?d4=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6j<0;6;4?:1y'5a4=99;0DPak38p(9oi:09'0dc=92wvqp5ff083>!7c:3l:7[?k4;3xH4b128q]=5:52z&2=14=#7h=;W3g0?4|D8n=66Xic;0x 1ga2:1/8lk53:~yI7c=3>pZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ag9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ad9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?`63-8j87:nf:'142=12w]=4=52zTe2?4|,=ko6k<4$3c7>1ga3t.>=94k;|~?l7d:3:1(c39Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82g7=#:h>18lh4}%720?ef=#8e98yg7e93:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj8h96=4>:183!7c:3;o56F>c79l5=b=83.:h?4>8e98yg7e;3:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji7l4}|~?l77k3:1({M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e9k:1<7=50;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#=950;194?6|,8n96o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9e>"3il0j7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{56;294~"6l;0:<<5G1b48 4732l1b===50;&2`7<68:1]=i:51zN2`3<6s_;3874643_lh6?u+4`d95>"3il0:7psr}:ke5?6=,8n96k?4V0f7>4}K9m<1=vX>8581!7><3l:7[hl:3y'0d`=92.?mh4>;|~y>oa:3:1(7}#69uY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc2o;0(?o;:5ce?x"29=027pX>9281S`12;q/8lj5f39'6d2=4;f8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7d:2.9m94;ag9~ 0732j1vqp5f1`g94?"6l;0:mh5Y1e696~J6l?0:w[?74;0x 4?328kn7[hl:3y'0d`=k2.?mh4l;|~H4b228q]=nl52zTe2?4|,=ko6i60m0;6)?k2;3;`>=zj;:96=4<:183!7c:3;hj6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd58:0;6<4?:1y'5a4=9m30D0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4i02g>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=j2.?mh4m;|~y>o68l0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0d9Ubf<5s->jj7l4$5cf>g=zutw0e<>i:18'5a4=9990Z46a3_lh6?u+4`d9f>"3il0i7psr}:k255<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:==5Yfb81!2fn3h0(9oj:c9~yx{1383>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<69;1]jn4={%6bb?d<,=kn6o5r}|8m474290/=i<51118R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>958243=Qnj09w):nf;38 1gb281vqps4i024>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:<7[hl:3y'0d`=92.?mh4>;|~y>o6810;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>099Ubf<5s->jj7?4$5cf>4=zutw0e<>6:18'5a4=9990Z46>3_lh6?u+4`d95>"3il0:7psr}:k24d<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4793:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;`8 1gb2k1vqps4i02`>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qod38246=2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=i2.?mh4n;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=946;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn?>n:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th95}#9m81=i74H0a5?j7?l3:1({e:9i1<7?>:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8m46c290/=i<51118R4b328qG=i851zTek;Wd`>7}#{M3g2?7|^o21>v*>95824`=Qnj09w):nf;`8 1gb2k1vqps4i02e>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:m7[hl:3y'0d`=j2.?mh4m;|~y>o6990;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>119Ubf<5s->jj7l4$5cf>g=zutw0e:18'5a4=9990Z4763_lh6?u+4`d9f>"3il0i7psr}:k257<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:=?5Yfb81!2fn3h0(9oj:c9~yx{0783>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68?1]jn4={%6bb?d<,=kn6o5r}|8m460290/=i<51118R4b328qG=i851zTe8;Wd`>7}#{M3g2?7|^o21>v*>95824==Qnj09w):nf;38 1gb281vqps4i02:>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:27[hl:3y'0d`=92.?mh4>;|~y>o68h0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0`9Ubf<5s->jj7?4$5cf>4=zutw0e<>m:18'5a4=9990Z46e3_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{54;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>9582d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a64`=8391<7>t$0f1>4ea3A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:158:?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb33b>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi>{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;;26=4;:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb303>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`162<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0j7):ne;c8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb305>5<1290;w)?k2;335>N6k?1/=<:5e:k246<72-;o>7??3:T2`1<6sE;o:7?tV0:7>7}#90>1===4Vga96~"3io0:7):ne;38yx{z3`l:6=4+1e09b4=Q9m>1=vB>d782S7?<38p(<7;:g38Rce=:r.?mk4>;%6ba?7c4<^oi1>v*;ag80?!2fm390qpsC1e790~P6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=km7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=kn7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj5f09'6d2=4;;8yS7>;38pZk852z&7eao6il0;6)?k2;3ba>P6l=09wA?k6;3xR4>32;q/=4:51`g8Rce=:r.?mk4l;%6ba?e2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo<=3;295?6=8r.:h?4>d89K5f094?:283>5}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:;;1<7:50;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9f>"3il0i7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3k0(9oj:`9~yx{2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?5<7s-;o>7?k9:J2g3=h91n1<7*>d38254;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn?<6:187>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4m;%6ba?d4=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5;>0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4n;%6ba?g4=#o68:0;6)?k2;337>P6l=0:wA?k6;3xR4>32;q/=4:51118Rce=:r.?mk4>;%6ba?7:18'5a4=n81]=i:51zN2`3<6s_;387c7<^oi1>v*;ag82?!2fm3;0qpsr;hd1>5<#9m81j?5Y1e696~J6l?0:w[?74;0x 4?32o80Zkm52z&7ec<43->ji7=4}|O5a3=4<{Wd5>7}#3t\:o>4<{Wd5>7}#3t\:o>4<{Wd5>7}#18lh4}%720??6*=a587ec=z,<;?6i5r}|9j5f4=83.:h?4>c39U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0a1?!4f<3>jj6s+5069g>{zu2c:mh4?:%3g6?7fm2\:h94={M3g2?7|^82?6?u+18695dc<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9ho0(?o;:5ce?x"29=0h7psr;n3;`?6=,8n96<6k;:a664=8391<7>t$0f1>4ea3A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d382`<=O9j<0c<6k:18'5a4=91n07pl=3583>0<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>95824a=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e::;1<7:50;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9f>"3il0i7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3k0(9oj:`9~yx{2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?=m:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38256;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ecji7l4}|~?l77l3:1({zut1b==k50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955c<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;926=4;:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb363>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`102<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0j7):ne;c8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb365>5<1290;w)?k2;335>N6k?1/=<:5e:k246<72-;o>7??3:T2`1<6sE;o:7?tV0:7>7}#90>1===4Vga96~"3io0:7):ne;38yx{z3`l:6=4+1e09b4=Q9m>1=vB>d782S7?<38p(<7;:g38Rce=:r.?mk4>;%6ba?7c4<^oi1>v*;ag80?!2fm390qpsC1e790~P6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=km7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=kn7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj5f09'6d2=4;;8yS7>;38pZk852z&7eao6il0;6)?k2;3ba>P6l=09wA?k6;3xR4>32;q/=4:51`g8Rce=:r.?mk4l;%6ba?e2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo<;3;295?6=8r.:h?4>d89K5f05}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;`8 1gb2k1vqps4i02`>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>o68m0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0e9Ubf<5s->jj7l4$5cf>g=zutw0e<>j:18'5a4=9990Z46b3_lh6?u+4`d9f>"3il0i7psr}:k24c<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:=21<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;c8 1gb2h1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:=o1<7850;2x 4b528::7E?l6:&2515$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd54?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#d3857>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==k4Vga96~"3io0i7):ne;`8yx{z3`;;j7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33b>Pak38p(9oi:c9'0dc=j2wvqp5f10294?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=98:0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn?:6:187>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4m;%6ba?d4=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5=>0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4n;%6ba?g4=#o68:0;6)?k2;337>P6l=0:wA?k6;3xR4>32;q/=4:51118Rce=:r.?mk4>;%6ba?7:18'5a4=n81]=i:51zN2`3<6s_;387c7<^oi1>v*;ag82?!2fm3;0qpsr;hd1>5<#9m81j?5Y1e696~J6l?0:w[?74;0x 4?32o80Zkm52z&7ec<43->ji7=4}|O5a3=4<{Wd5>7}#3t\:o>4<{Wd5>7}#3t\:o>4<{Wd5>7}#18lh4}%720??6*=a587ec=z,<;?6i5r}|9j5f4=83.:h?4>c39U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0a1?!4f<3>jj6s+5069g>{zu2c:mh4?:%3g6?7fm2\:h94={M3g2?7|^82?6?u+18695dc<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9ho0(?o;:5ce?x"29=0h7psr;n3;`?6=,8n96<6k;:a604=8391<7>t$0f1>4ea3A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d382`<=O9j<0c<6k:18'5a4=91n07pl=5583><<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>95824a=Qnj09w):nf;`8 1gb2k1vqps4i02f>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:n7[hl:3y'0d`=j2.?mh4m;|~y>o68o0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0g9Ubf<5s->jj7l4$5cf>g=zutw0e4773_lh6?u+4`d9f>"3il0i7psr}:k254<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:=<5Yfb81!2fn3h0(9oj:c9~yx{5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:<21<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{j7>53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;c8 1gb2h1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:5$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd5=h0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8m46b290/=i<51118R4b328qG=i851zTej;Wd`>7}#{M3g2?7|^o21>v*>95824c=Qnj09w):nf;`8 1gb2k1vqps4i033>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328;;7[hl:3y'0d`=j2.?mh4m;|~y>o6980;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>109Ubf<5s->jj7l4$5cf>g=zutw0e4753_lh6?u+4`d9f>"3il0i7psr}:k256<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:=>5Yfb81!2fn3;0(9oj:09~yx{0683>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68>1]jn4={%6bb?7<,=kn6<5r}|8m46?290/=i<51118R4b328qG=i851zTe7;Wd`>7}#{M3g2?7|^o21>v*>95824<=Qnj09w):nf;38 1gb281vqps4i02b>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:j7[hl:3y'0d`=92.?mh4>;|~y>o68k0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0c9Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a60?=83>1<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo:ka;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn9j?:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn9j<:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{o87>54;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3l<0;694?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;h33g?6=,8n96<><;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?71<729q/=i<5629K5f0g=#029K5a7<^8n?60b83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb5f4>5<2290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;h33`?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0e9Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a0a>=83<1<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8m46c290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33`>Pak38p(9oi:c9'0dc=j2wvqp5f11g94?"6l;0:<>5G1e38R4b328qG=i851zTej;Wd`>7}#{M3g2?7|^o21>v*>9582d382;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?7<,=kn6<5r}|8m46b290/=i<51118R4b328qG=i851zTej;Wd`>7}#{M3g2?7|^o21>v*>95824c=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:8:1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;c8 1gb2h1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:8<1<7850;2x 4b528::7E?l6:&2515$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd59;0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8m46b290/=i<51118R4b328qG=i851zTej;Wd`>7}#{M3g2?7|^o21>v*>95824c=Qnj09w):nf;`8 1gb2k1vqps4i033>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328;;7[hl:3y'0d`=j2.?mh4m;|~y>o6980;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>109Ubf<5s->jj7l4$5cf>g=zutw0e4753_lh6?u+4`d9f>"3il0i7psr}:k256<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:=>5Yfb81!2fn3h0(9oj:c9~yx{0683>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68>1]jn4={%6bb?d<,=kn6o5r}|8m46?290/=i<51118R4b328qG=i851zTe7;Wd`>7}#{M3g2?7|^o21>v*>95824<=Qnj09w):nf;38 1gb281vqps4i02b>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:j7[hl:3y'0d`=92.?mh4>;|~y>o68k0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0c9Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a647=83>1<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#5:50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo<79;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?68:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38255;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8m46d290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:c9'0dc=j2wvqp5f11f94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99n0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn?6n:184>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj794$5cf>2=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d93>"3il0<7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:0d83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?1<,=kn6:5r}|8m46a290/=i<51118R4b328qG=i851zTei;Wd`>7}#{M3g2?7|^o21>v*>9582d3857>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a6t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4f;3:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7o4$5cf>d=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a6d4=83<1<7>t$0f1>4663A;h:6*>158f?l77;3:1(029Ubf<5s->jj7?4$5cf>4=zutw0ek?50;&2`7{M3g2?7|^82?6?u+1869b4=Qnj09w):nf;38 1gb281vqps4ig094?"6l;0m>6X>d581I7c>3;pZ<6;:3y'5<2=n;1]jn4={%6bb?5<,=kn6>5r}|N2`0<3s_;h?7=tVg496~"3im0m>6*=a587ec=z^o=1>v*;ae8246=#:h>18lh4}%720??6*=a587ec=z^o=1>v*;ae8246=#:h>18lk4}%720??6*=a587ec=z^o=1>v*;ae8e5>"5i=0?mk5r$437>7=z^8386?uYf781!2fl3l97){#=8>1h6sr}:k2g7<72-;o>7?l2:T2`1<5sE;o:7?tV0:7>7}#90>1=n<4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51b08 7g32=km7p*:158`?x{z3`;ji7>5$0f1>4gb3_;o874}Q91>1>v*>9582e`=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6il1/>l:54`d8y!36<3i0qps4o0:g>5<#9m81=5j4;|`1=f<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3;g>5<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qo<6f;291?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?00e83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0=7):ne;48yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3c3>5<1290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>o68m0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0e9Ubf<5s->jj784$5cf>3=zutw0e<>j:18'5a4=9990Z46b3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0:4n5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;?<1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9e>"3il0j7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{56;294~"6l;0:<<5G1b48 4732l1b===50;&2`7<68:1]=i:51zN2`3<6s_;3874643_lh6?u+4`d95>"3il0:7psr}:ke5?6=,8n96k?4V0f7>4}K9m<1=vX>8581!7><3l:7[hl:3y'0d`=92.?mh4>;|~y>oa:3:1(7}#69uY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc2o;0(?o;:5ce?x"29=097pX>9281S`12;q/8lj5f39'6d2=4;f8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7d:2.9m94;ag9~ 0732j1vqp5f1`g94?"6l;0:mh5Y1e696~J6l?0:w[?74;0x 4?328kn7[hl:3y'0d`=k2.?mh4l;|~H4b228q]=nl52zTe2?4|,=ko6i60m0;6)?k2;3;`>=zj:<<6=4<:183!7c:3;hj6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd4>10;6<4?:1y'5a4=9m30Dt$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo73=#029K5a7<^8n?60e83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0=7):ne;48yx{z3`;;i7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?d<,=kn6o5r}|8m46a290/=i<51118R4b328qG=i851zTei;Wd`>7}#{M3g2?7|^o21>v*>958255=Qnj09w):nf;`8 1gb2k1vqps4i032>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328;:7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=9b;29=?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d92>"3il0=7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk49;%6ba?03=#029K5a7<^8n?60g83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68o1]jn4={%6bb?d<,=kn6o5r}|8m477290/=i<51118R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>958254=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;?i1<7750;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj784$5cf>3=zutw0e<>::18'5a4=9990D;W3g0?7|D8n=6<3;;96Xic;0x 1ga2?1/8lk56:~yx=n99i1<7*>d38246=O9m;0Z46d3_lh6?u+4`d92>"3il0=7psr}:k24a<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==h4Vga96~"3io0i7):ne;`8yx{z3`;:<7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;324>Pak38p(9oi:c9'0dc=j2wvqp5f10394?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=98;0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn>8k:18:>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag85?!2fm3<0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj784$5cf>3=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2?1/8lk56:~yx=n99n1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511f8Rce=:r.?mk4m;%6ba?d1183>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<6991]jn4={%6bb?d<,=kn6o5r}|8m476290/=i<51118R4b328qG=i851zTe;Wd`>7}#{M3g2?7|^o21>v*>9582d382;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{zut1b==;50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=k2.?mh4l;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?;6;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb064>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a51>=83;1<7>t$0f1>4b>3A;h:6a>8e83>!7c:3;3h65rb06b>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?;b;297?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?d4=#0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=>2.?mh49;|~y>o68m0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj8>26=4::183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<63->ji7?4}|~?l77l3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`20c<72<0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d93>"3il0<7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4l;%6ba?ef=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7283:147>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;58 1gb2>1vqps4i02`>5<#9m81===4H0f2?S7c<3;p@{zut1b==j50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=?2.?mh48;|~y>o68l0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0d9Ubf<5s->jj7m4$5cf>f=zutw0e<>i:18'5a4=9990Z46a3_lh6?u+4`d9g>"3il0h7psr}:k255<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51028Rce=:r.?mk4l;%6ba?e4=#5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`211<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo74=#o68:0;6)?k2;337>P6l=0:wA?k6;3xR4>32;q/=4:51118Rce=:r.?mk4>;%6ba?7:18'5a4=n81]=i:51zN2`3<6s_;387c7<^oi1>v*;ag82?!2fm3;0qpsr;hd1>5<#9m81j?5Y1e696~J6l?0:w[?74;0x 4?32o80Zkm52z&7ec<43->ji7=4}|O5a3=4<{Wd5>7}#3t\:o>4<{Wd5>7}#3t\:o>4<{Wd5>7}#18lh4}%720?46*=a587ec=z,<;?6i5r}|9j5f4=83.:h?4>c39U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0a1?!4f<3>jj6s+5069g>{zu2c:mh4?:%3g6?7fm2\:h94={M3g2?7|^82?6?u+18695dc<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9ho0(?o;:5ce?x"29=0h7psr;n3;`?6=,8n96<6k;:a503=8391<7>t$0f1>4ea3A;h:6g>0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6=?0;6<4?:1y'5a4=9m30D=8391<7>t$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo73=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7213:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji7l4}|~?l77k3:1({M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e9<=1<7=50;2x 4b5282h7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4183:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:?<1<7850;2x 4b528::7E?l6:&2515$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd5>;0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0i7):ne;`8yx{z3`;;i7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33a>Pak38p(9oi:c9'0dc=j2wvqp5f11d94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99l0Zkm52z&7ecji7l4}|~?l7683:1(0:Teg?4|,=km6o5+4`g9f>{zut1b=v*;ag8a?!2fm3h0qpsr;h326?6=,8n96<><;W3g0?7|D8n=6<3;:>6Xic;0x 1ga2k1/8lk5b:~yx=n9891<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51018Rce=:r.?mk4m;%6ba?d2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==94Vga96~"3io0i7):ne;`8yx{z3`;;47>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33<>Pak38p(9oi:c9'0dc=j2wvqp5f11;94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=9930Zkm52z&7ec<63->ji7?4}|~?l77i3:1({zut1b==l50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955d<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;<:6=4;:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb27e>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`020<72?0;6=u+1e09557<@8i=7)?>4;g8m464290/=i<51118R4b328qG=i851zT2<1<5s-;287??3:Teg?4|,=km6<5+4`g95>{zut1bj<4?:%3g6?`63_;o87?tL0f5>4}Q91>1>v*>958e5>Pak38p(9oi:09'0dc=92wvqp5ff383>!7c:3l97[?k4;0xH4b128q]=5:52z&2=16=#uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mk5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mh5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;d2?!4f<3>jj6s+5069=>{Q9091>vXi6;0x 1gc2o80(?o;:5ce?x"29=0o7psr;h3`6?6=,8n96c39'6d2=4;a8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7fm2.9m94;ag9~ 0732j1vqp5`19f94?"6l;0:4i54}c155?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn>8<:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag85?!2fm3<0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj784$5cf>3=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a736=8391<7>t$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb250>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`03<<72?0;6=u+1e09557<@8i=7)?>4;g8m464290/=i<51118R4b328qG=i851zT2<1<5s-;287??3:Teg?4|,=km6<5+4`g95>{zut1bj<4?:%3g6?`63_;o87?tL0f5>4}Q91>1>v*>958e5>Pak38p(9oi:09'0dc=92wvqp5ff383>!7c:3l97[?k4;0xH4b128q]=5:52z&2=16=#uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mk5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mh5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;d2?!4f<3>jj6s+5069=>{Q9091>vXi6;0x 1gc2o80(?o;:5ce?x"29=0o7psr;h3`6?6=,8n96c39'6d2=4;a8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7fm2.9m94;ag9~ 0732j1vqp5`19f94?"6l;0:4i54}c141?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn>98:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag85?!2fm3<0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj784$5cf>3=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a722=8391<7>t$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb42`>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`656<72?0;6=u+1e09557<@8i=7)?>4;g8m464290/=i<51118R4b328qG=i851zT2<1<5s-;287??3:Teg?4|,=km6<5+4`g95>{zut1bj<4?:%3g6?`63_;o87?tL0f5>4}Q91>1>v*>958e5>Pak38p(9oi:09'0dc=92wvqp5ff383>!7c:3l97[?k4;0xH4b128q]=5:52z&2=16=#uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mk5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mh5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;d2?!4f<3>jj6s+50696>{Q9091>vXi6;0x 1gc2o80(?o;:5ce?x"29=0o7psr;h3`6?6=,8n96c39'6d2=4;a8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7fm2.9m94;ag9~ 0732j1vqp5`19f94?"6l;0:4i54}c73`?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#d3857>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj<;:6=4;:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th>5}#9m81=5m4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:nj6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8i>4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn>k=:185>5<7s-;o>7??1:J2g3=#98>1i6g>0283>!7c:3;;?6X>d582I7c>3;pZ<6;:3y'5<2=9990Zkm52z&7ec<63->ji7?4}|~?l`6290/=i<5f09U5a2=9rF:h;4>{W3;0?4|,83?6k?4Vga96~"3io0:7):ne;38yx{z3`l96=4+1e09b7=Q9m>1>vB>d782S7?<38p(<7;:g08Rce=:r.?mk4<;%6ba?518lh4}Wd4>7}#1ga3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#1gb3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#v*;ae8e6>"5i=0?mk5r$437>a=zut1b=n<50;&2`7<6k;1]=i:52zN2`3<6s_;3874e53_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28i97){#=8>1o6sr}:k2e`<72-;o>7?ne:T2`1<5sE;o:7?tV0:7>7}#90>1=lk4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51`g8 7g32=km7p*:158`?x{z3f;3h7>5$0f1>4>c32wi?il50;194?6|,8n96o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{51;294~"6l;0:h45G1b48k4>c290/=i<519f8?xd4ll0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=>2.?mh49;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:nm6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8i=4?:283>5}#9m81:>5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn>jk:187>5<7s-;o>7?7c:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb5;b>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7e5<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo74=#o68:0;6)?k2;337>P6l=0:wA?k6;3xR4>32;q/=4:51118Rce=:r.?mk4>;%6ba?7:18'5a4=n81]=i:51zN2`3<6s_;387c7<^oi1>v*;ag82?!2fm3;0qpsr;hd1>5<#9m81j?5Y1e696~J6l?0:w[?74;0x 4?32o80Zkm52z&7ec<43->ji7=4}|O5a3=4<{Wd5>7}#3t\:o>4<{Wd5>7}#3t\:o>4<{Wd5>7}#18lh4}%720?46*=a587ec=z,<;?6i5r}|9j5f4=83.:h?4>c39U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0a1?!4f<3>jj6s+5069g>{zu2c:mh4?:%3g6?7fm2\:h94={M3g2?7|^82?6?u+18695dc<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9ho0(?o;:5ce?x"29=0h7psr;n3;`?6=,8n96<6k;:a0t$0f1>4ea3A;h:6g>0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd31j0;6<4?:1y'5a4=9m30Dt$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo73=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0=7):ne;48yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:79'0dc=>2wvqp5f11f94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99n0Zkm52z&7ec<13->ji784}|~?l77m3:1({zut1b==h50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955`<^oi1>v*;ag85?!2fm3<0qpsr;h324?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>119Ubf<5s->jj784$5cf>3=zutw0e:18'5a4=9990Z4763_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{2j7>59;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0=7):ne;48yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:79'0dc=>2wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<13->ji784}|~?l77l3:1({zut1b==k50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955c<^oi1>v*;ag85?!2fm3<0qpsr;h33b?6=,8n96<><;W3g0?7|D8n=6<3;;j6Xic;0x 1ga2?1/8lk56:~yx=n98:1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51028Rce=:r.?mk4m;%6ba?d1;29 4b528:87[?k4;3xH4b128q]j54={%3:0?7692\mo7g=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?5i4?:283>5}#9m81=5m4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=k=6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2f93:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji7m4}|~?l77k3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7e7<72<0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d93>"3il0<7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4l;%6ba?ef=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2f;3:1?7>50z&2`7<60j1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a7`g=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5b13:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;o81<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5a93:1:7>50z&2`7<6881C=n84$037>`=n9991<7*>d38246=Q9m>1=vB>d782S7?<38p(<7;:020?S`d2;q/8lh51:&7e`<63twvq6gi1;29 4b52o;0Z"3il0:7psr}:ke6?6=,8n96k<4V0f7>7}K9m<1=vX>8581!7><3l97[hl:3y'0d`=;2.?mh4<;|~H4b22=q]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:nf:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:ne:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;7c7<,;k?69oi;|&651<53t\:5>4={Wd5>7}#{zuE;o97?tV0aa>7}Qn?09w):nd;3`6>"5i=0?mk5r$437>f=zut1b=lk50;&2`7<6il1]=i:52zN2`3<6s_;3874gb3_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28kn7){#=8>1o6sr}:m27?7d:9~f6ce29086=4?{%3g6?7dn2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`0af<7280;6=u+1e095a?<@8i=7b?7d;29 4b5282o76sm3dg94?2=83:p(5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#ji7l4}|~?j7?l3:1({zut1vn>ki:186>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990Z46d3_lh6?u+4`d9f>"3il0i7psr}:k24a<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511f8Rce=:r.?mk4m;%6ba?d4=#5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`0=6<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo74=#o68:0;6)?k2;337>P6l=0:wA?k6;3xR4>32;q/=4:51118Rce=:r.?mk4>;%6ba?7:18'5a4=n81]=i:51zN2`3<6s_;387c7<^oi1>v*;ag82?!2fm3;0qpsr;hd1>5<#9m81j?5Y1e696~J6l?0:w[?74;0x 4?32o80Zkm52z&7ec<43->ji7=4}|O5a3=4<{Wd5>7}#3t\:o>4<{Wd5>7}#3t\:o>4<{Wd5>7}#18lh4}%720?46*=a587ec=z,<;?6i5r}|9j5f4=83.:h?4>c39U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0a1?!4f<3>jj6s+5069g>{zu2c:mh4?:%3g6?7fm2\:h94={M3g2?7|^82?6?u+18695dc<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9ho0(?o;:5ce?x"29=0h7psr;n3;`?6=,8n96<6k;:a7<2=8391<7>t$0f1>4ea3A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d382`<=O9j<0c<6k:18'5a4=91n07pl<9683>6<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:3=6=4<:183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd41k0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:k86=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8m54?:783>5}#9m81==?4H0a5?!76<3o0e<><:18'5a4=9990Z4=#7h>;W3g0?7|D8n=65+4`g97>{zuE;o97:tV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587ec=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587e`=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3l:7){#=8>1>6sY18196~Pa>38p(9ok:g08 7g32=km7p*:158g?x{z3`;h>7>5$0f1>4e53_;o874}Q91>1>v*>9582g7=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6k;1/>l:54`d8y!36<3i0qps4i0cf>5<#9m81=lk4V0f7>7}K9m<1=vX>8581!7><3;ji6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?ne:&1e1<3io1v(8?;:b9~yx=h91n1<7*>d38253;294~"6l;0:ok5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn>7k:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38253;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd4i90;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:k:6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5f:3:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=6e;291?6=8r.:h?4>8b9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>95824a=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;h>1<7:50;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7m4$5cf>f=zutw0e<>::18'5a4=9990D;W3g0?7|D8n=6<3;;96Xic;0x 1ga2j1/8lk5c:~yx=n99i1<7*>d38246=O9m;0Z46d3_lh6?u+4`d9g>"3il0h7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{55;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0<7):ne;58yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?e<,=kn6n5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#ji7m4}|~?j7?l3:1({zut1vn>o9:180>5<7s-;o>7?7c:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8?>4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn>=m:185>5<7s-;o>7??1:J2g3=#98>1j6g>0283>!7c:3;;?6X>d582I7c>3;pZ<6;:3y'5<2=9990Zkm52z&7ec<63->ji7?4}|~?l`6290/=i<5f09U5a2=9rF:h;4>{W3;0?4|,83?6k?4Vga96~"3io0:7):ne;38yx{z3`l96=4+1e09b7=Q9m>1>vB>d782S7?<38p(<7;:g08Rce=:r.?mk4<;%6ba?518lh4}Wd4>7}#1ga3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#1gb3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#v*;ae8e6>"5i=0?mk5r$437>a=zut1b=n<50;&2`7<6k;1]=i:52zN2`3<6s_;3874e53_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28i97){#=8>1o6sr}:k2e`<72-;o>7?ne:T2`1<5sE;o:7?tV0:7>7}#90>1=lk4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51`g8 7g32=km7p*:158`?x{z3f;3h7>5$0f1>4>c32wi?>:50;194?6|,8n96o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi?>950;194?6|,8n96;=4H0a5?l77<3:1({M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`07=<72<0;6=u+1e0926=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9f>"3il0i7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:g=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8?44?:483>5}#9m81:>5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4i02g>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`073<72=0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd49?0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:;n6=49:183!7c:3;;=6F>c79'542=m2c:<>4?:%3g6?77;2\:h94>{M3g2?7|^82?6?u+1869555<^oi1>v*;ag82?!2fm3;0qpsr;hd2>5<#9m81j<5Y1e695~J6l?0:w[?74;0x 4?32o;0Zkm52z&7ec<63->ji7?4}|~?l`5290/=i<5f39U5a2=:rF:h;4>{W3;0?4|,83?6k<4Vga96~"3io087):ne;18yx{K9m?18vX>c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=l:54`d8y!36<380q[?63;0xRc0=:r.?mi4i2:&1e1<3io1v(8?;:e9~yx=n9j81<7*>d382g7=Q9m>1>vB>d782S7?<38p(<7;:0a1?S`d2;q/8lh5c:&7e`4e53-8j87:nf:'142=k2wvq6g>ad83>!7c:3;ji6X>d581I7c>3;pZ<6;:3y'5<2=9ho0Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?j7?l3:1({e;8=1<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5603:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`05d<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn>?l:187>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990D;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=O9m;0Z46d3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{54;294~"6l;0:4n5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4i02`>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qod38246=5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb3c`>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi>lk50;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag85?!2fm3<0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;h;6=4::183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4l;%6ba?ef=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0h7):ne;a8yx{z3`;;h7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33`>Pak38p(9oi:b9'0dc=k2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5jl0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;i<6=4<:183!7c:3;hj6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:`9'0dc=i2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5k?0;6;4?:1y'5a4=99;0DPak38p(9oi:09'0dc=92wvqp5ff083>!7c:3l:7[?k4;3xH4b128q]=5:52z&2=14=#7h=;W3g0?4|D8n=66Xic;0x 1ga2:1/8lk53:~yI7c=3>pZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ag9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ad9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?`63-8j87:nf:'142=:2w]=4=52zTe2?4|,=ko6k<4$3c7>1ga3t.>=94k;|~?l7d:3:1(c39Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82g7=#:h>18lh4}%720?ef=#8e98yg4en3:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;i;6=4>:183!7c:3;o56F>c79l5=b=83.:h?4>8e98yg4d:3:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;48 1gb2?1vqps4i02`>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo1<7*>d38246=O9m;0Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==k4Vga96~"3io0=7):ne;48yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3a7>5<1290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;`8 1gb2k1vqps4i02`>5<#9m81===4H0f2?S7c<3;p@{zut1b==j50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=j2.?mh4m;|~y>o68l0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955c<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;i:6=4;:183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn>?i:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?73<729q/=i<51138L4e13-;:87k4i020>5<#9m81===4V0f7>4}K9m<1=vX>8581!7><3;;?6Xic;0x 1ga281/8lk51:~yx=nn80;6)?k2;d2?S7c<3;p@{zut1bj?4?:%3g6?`53_;o874}Q91>1>v*>958e6>Pak38p(9oi:29'0dc=;2wvqA?k5;6xR4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3io1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3il1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7h>;%0b0?2fn2w/9<:52:U5<5=:r\m:7c4<,;k?69oi;|&651{zuE;o97?tV0aa>7}Qn?09w):nd;3ba>"5i=0?mk5r$437>f=zut1d=5j50;&2`7<60m10qo==0;297?6=8r.:h?4>cg9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#i60m0;6)?k2;3;`>=zj:896=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4c<3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:mn1<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4ck3:1:7>50z&2`7<6881C=n84$037>`=n9991<7*>d38246=Q9m>1=vB>d782S7?<38p(<7;:020?S`d2;q/8lh51:&7e`<63twvq6gi1;29 4b52o;0Z"3il0:7psr}:ke6?6=,8n96k<4V0f7>7}K9m<1=vX>8581!7><3l97[hl:3y'0d`=;2.?mh4<;|~H4b22=q]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:nf:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:ne:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;7c7<,;k?69oi;|&651<53t\:5>4={Wd5>7}#{zuE;o97?tV0aa>7}Qn?09w):nd;3`6>"5i=0?mk5r$437>f=zut1b=lk50;&2`7<6il1]=i:52zN2`3<6s_;3874gb3_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28kn7){#=8>1o6sr}:m27?7d:9~f7b229086=4?{%3g6?7dn2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`1`3<7280;6=u+1e095a?<@8i=7b?7d;29 4b5282o76sm2e:94?2=83:p(5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ecji7l4}|~?l77k3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`1`<<72=0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{g=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th9hl4?:583>5}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#ji784}|~?l77k3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`1`2<72=0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd4:<0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:8j6=49:183!7c:3;;=6F>c79'542=m2c:<>4?:%3g6?77;2\:h94>{M3g2?7|^82?6?u+1869555<^oi1>v*;ag82?!2fm3;0qpsr;hd2>5<#9m81j<5Y1e695~J6l?0:w[?74;0x 4?32o;0Zkm52z&7ec<63->ji7?4}|~?l`5290/=i<5f39U5a2=:rF:h;4>{W3;0?4|,83?6k<4Vga96~"3io087):ne;18yx{K9m?18vX>c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=l:54`d8y!36<380q[?63;0xRc0=:r.?mi4i2:&1e1<3io1v(8?;:e9~yx=n9j81<7*>d382g7=Q9m>1>vB>d782S7?<38p(<7;:0a1?S`d2;q/8lh5c:&7e`4e53-8j87:nf:'142=k2wvq6g>ad83>!7c:3;ji6X>d581I7c>3;pZ<6;:3y'5<2=9ho0Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?j7?l3:1({e;;<1<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg55?3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`06=<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb20`>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi??h50;794?6|,8n96;=4H0a5?l77<3:1({M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;h33`?6=,8n96<><;W3g0?7|D8n=6<3;;h6Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?70<729q/=i<5629K5f0g=#029K5a7<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8m46c290/=i<51118R4b328qG=i851zTek;Wd`>7}#{M3g2?7|^o21>v*>9582d382;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a6a5=83<1<7>t$0f1>4663A;h:6*>158f?l77;3:1(029Ubf<5s->jj7?4$5cf>4=zutw0ek?50;&2`7{M3g2?7|^82?6?u+1869b4=Qnj09w):nf;38 1gb281vqps4ig094?"6l;0m>6X>d581I7c>3;pZ<6;:3y'5<2=n;1]jn4={%6bb?5<,=kn6>5r}|N2`0<3s_;h?7=tVg496~"3im0m>6*=a587ec=z^o=1>v*;ae8246=#:h>18lh4}%720??6*=a587ec=z^o=1>v*;ae8246=#:h>18lk4}%720??6*=a587ec=z^o=1>v*;ae8e5>"5i=0?mk5r$437>7=z^8386?uYf781!2fl3l97){#=8>1h6sr}:k2g7<72-;o>7?l2:T2`1<5sE;o:7?tV0:7>7}#90>1=n<4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51b08 7g32=km7p*:158`?x{z3`;ji7>5$0f1>4gb3_;o874}Q91>1>v*>9582e`=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6il1/>l:54`d8y!36<3i0qps4o0:g>5<#9m81=5j4;|`1g<<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4di3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`1gf<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5kl0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;im6=4;:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3aa>5<2290;w)?k2;3;g>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th9h<4?:783>5}#9m81:>5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:b9'0dc=k2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#ji7m4}|~?l77l3:1({M3g2?7|^o21>v*>95824a=Qnj09w):nf;a8 1gb2j1vqps4i02f>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:n7[hl:3y'0d`=k2.?mh4l;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qod38246=2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=i2.?mh4n;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn?ji:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th9i=4?:083>5}#9m81=i74H0a5?j7?l3:1({e:l81<7:50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d92>"3il0=7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ecji7l4}|~?l77k3:1({zut1b==j50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag85?!2fm3<0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;o?6=4::183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8m46c290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33`>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5m<0;684?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;h33g?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0e<>k:18'5a4=9990Z46c3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{55;294~"6l;0:4n5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4i02`>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=92.?mh4>;|~y>o68m0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0e9Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a5`>=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7bm3:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7o4$5cf>d=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a5`b=83<1<7>t$0f1>4663A;h:6*>158f?l77;3:1(029Ubf<5s->jj7?4$5cf>4=zutw0ek?50;&2`7{M3g2?7|^82?6?u+1869b4=Qnj09w):nf;38 1gb281vqps4ig094?"6l;0m>6X>d581I7c>3;pZ<6;:3y'5<2=n;1]jn4={%6bb?5<,=kn6>5r}|N2`0<3s_;h?7=tVg496~"3im0m>6*=a587ec=z^o=1>v*;ae8246=#:h>18lh4}%720??6*=a587ec=z^o=1>v*;ae8246=#:h>18lk4}%720??6*=a587ec=z^o=1>v*;ae8e5>"5i=0?mk5r$437>7=z^8386?uYf781!2fl3l97){#=8>1h6sr}:k2g7<72-;o>7?l2:T2`1<5sE;o:7?tV0:7>7}#90>1=n<4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51b08 7g32=km7p*:158`?x{z3`;ji7>5$0f1>4gb3_;o874}Q91>1>v*>9582e`=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6il1/>l:54`d8y!36<3i0qps4o0:g>5<#9m81=5j4;|`2a<<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb0gb>5<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qo?jb;291<7*>d38246=O9m;0Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8m46b290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33a>Pak38p(9oi:c9'0dc=j2wvqp5f11d94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99l0Zkm52z&7ecji7l4}|~?l7683:1(0:Teg?4|,=km6o5+4`g9f>{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`2ac<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo74=#5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`2b3<72?0;6=u+1e09557<@8i=7)?>4;g8m464290/=i<51118R4b328qG=i851zT2<1<5s-;287??3:Teg?4|,=km6<5+4`g95>{zut1bj<4?:%3g6?`63_;o87?tL0f5>4}Q91>1>v*>958e5>Pak38p(9oi:09'0dc=92wvqp5ff383>!7c:3l97[?k4;0xH4b128q]=5:52z&2=16=#uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mk5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mh5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;d2?!4f<3>jj6s+50696>{Q9091>vXi6;0x 1gc2o80(?o;:5ce?x"29=0o7psr;h3`6?6=,8n96c39'6d2=4;a8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7fm2.9m94;ag9~ 0732j1vqp5`19f94?"6l;0:4i54}c3e4?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==k4Vga96~"3io0i7):ne;`8yx{z3`;;j7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33b>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6n=0;6:4?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;h33g?6=,8n96<><;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=n99n1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511f8Rce=:r.?mk4m;%6ba?dg=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th:j?4?:283>5}#9m81=5m4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj<::6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th>5}#9m81==?4H0a5?!76<3l0e<><:18'5a4=9990Z4=#7h>;W3g0?7|D8n=65+4`g97>{zuE;o97:tV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587ec=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587e`=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3l:7){#=8>1>6sY18196~Pa>38p(9ok:g08 7g32=km7p*:158g?x{z3`;h>7>5$0f1>4e53_;o874}Q91>1>v*>9582g7=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6k;1/>l:54`d8y!36<3i0qps4i0cf>5<#9m81=lk4V0f7>7}K9m<1=vX>8581!7><3;ji6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?ne:&1e1<3io1v(8?;:b9~yx=h91n1<7*>d3827>53;294~"6l;0:ok5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn8><:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38253;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb425>5<2290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>o68m0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj<:<6=49:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3`;;h7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8m46b290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33a>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd2810;6;4?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;h33g?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0e<>k:18'5a4=9990D;W3g0?7|D8n=6<3;;h6Xic;0x 1ga2k1/8lk5b:~yx=n99o1<7*>d38246=O9m;0Z46b3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{56;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5G1e38R4b328qG=i851zTel;Wd`>7}#ji7l4}|~?l77m3:1({M3g2?7|^o21>v*>95824`=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e=9>1<7850;2x 4b5282h7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?7<,=kn6<5r}|8m46c290/=i<51118R4b328qG=i851zTek;Wd`>7}#{M3g2?7|^o21>v*>95824`=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e98?1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{56;294~"6l;0:<<5G1b48 4732l1b===50;&2`7<68:1]=i:51zN2`3<6s_;3874643_lh6?u+4`d95>"3il0:7psr}:ke5?6=,8n96k?4V0f7>4}K9m<1=vX>8581!7><3l:7[hl:3y'0d`=92.?mh4>;|~y>oa:3:1(7}#69uY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc2o;0(?o;:5ce?x"29=097pX>9281S`12;q/8lj5f39'6d2=4;f8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7d:2.9m94;ag9~ 0732j1vqp5f1`g94?"6l;0:mh5Y1e696~J6l?0:w[?74;0x 4?328kn7[hl:3y'0d`=k2.?mh4l;|~H4b228q]=nl52zTe2?4|,=ko6i60m0;6)?k2;3;`>=zj8;=6=4<:183!7c:3;hj6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd69>0;6<4?:1y'5a4=9m30Dt$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb03b>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?>b;297?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th:=n4?:283>5}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e98n1<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?d4=#0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn5<7s-;o>7?7c:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0:7):ne;38yx{z3`;;h7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33`>Pak38p(9oi:09'0dc=92wvqp5f11g94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99o0Zkm52z&7ec<63->ji7?4}|~?l77n3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`043<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo74=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd48m0;6;4?:1y'5a4=99;0DPak38p(9oi:09'0dc=92wvqp5ff083>!7c:3l:7[?k4;3xH4b128q]=5:52z&2=14=#7h=;W3g0?4|D8n=66Xic;0x 1ga2:1/8lk53:~yI7c=3>pZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ag9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ad9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?`63-8j87:nf:'142=:2w]=4=52zTe2?4|,=ko6k<4$3c7>1ga3t.>=94k;|~?l7d:3:1(c39Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82g7=#:h>18lh4}%720?ef=#8e98yg57?3:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a75>=83;1<7>t$0f1>4b>3A;h:6a>8e83>!7c:3;3h65rb22:>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=?b;291?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d9g>"3il0h7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3i0(9oj:b9~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?e<,=kn6n5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=>5;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn>>i:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8==4?:083>5}#9m81=i74H0a5?j7?l3:1({e;881<7:50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9f>"3il0i7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;8;1<7=50;2x 4b5282h7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg52;3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;<21<7850;2x 4b528::7E?l6:&2515$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd4==0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#d3857>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?77<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb2:1>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`0<0<72?0;6=u+1e09557<@8i=7)?>4;g8m464290/=i<51118R4b328qG=i851zT2<1<5s-;287??3:Teg?4|,=km6<5+4`g95>{zut1bj<4?:%3g6?`63_;o87?tL0f5>4}Q91>1>v*>958e5>Pak38p(9oi:09'0dc=92wvqp5ff383>!7c:3l97[?k4;0xH4b128q]=5:52z&2=16=#uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mk5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mh5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;d2?!4f<3>jj6s+50696>{Q9091>vXi6;0x 1gc2o80(?o;:5ce?x"29=0o7psr;h3`6?6=,8n96c39'6d2=4;a8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7fm2.9m94;ag9~ 0732j1vqp5`19f94?"6l;0:4i54}c14f?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn>9j:18;>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag85?!2fm3<0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj784$5cf>3=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2?1/8lk56:~yx=n99n1<7*>d38246=O9m;0Z46c3_lh6?u+4`d92>"3il0=7psr}:k24`<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511g8Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1=<>4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb25e>52c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<13->ji784}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;48 1gb2?1vqps4i02`>5<#9m81===4H0f2?S7c<3;p@{zut1b==j50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=>2.?mh49;|~y>o68l0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955c<^oi1>v*;ag8a?!2fm3h0qpsr;h33b?6=,8n96<><;W3g0?7|D8n=6<3;;j6Xic;0x 1ga2k1/8lk5b:~yx=n98:1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51028Rce=:r.?mk4m;%6ba?d4=#729036=4?{%3g6?043A;h:6g>0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0=7):ne;48yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?0<,=kn6;5r}|8m46d290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:79'0dc=>2wvqp5f11f94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99n0Zkm52z&7ecji7l4}|~?l77m3:1({M3g2?7|^o21>v*>95824`=Qnj09w):nf;`8 1gb2k1vqps4i02e>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:m7[hl:3y'0d`=j2.?mh4m;|~y>o6990;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>119Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a7=7=8321<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo73=#029K5a7<^8n?60e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8m46b290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33a>Pak38p(9oi:c9'0dc=j2wvqp5f11d94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99l0Zkm52z&7ecji7l4}|~?l7683:1(0:Teg?4|,=km6o5+4`g9f>{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`03a<72<0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:09'0dc=92wvqp5f11f94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99n0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn>6<:18;>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag84?!2fm3=0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2>1/8lk57:~yx=n99i1<7*>d38246=O9m;0Z46d3_lh6?u+4`d93>"3il0<7psr}:k24a<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511f8Rce=:r.?mk48;%6ba?1f=#029U5a2=9rF:h;4>{Wd;>7}#90>1==h4Vga96~"3io0h7):ne;a8yx{z3`;:<7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<6991]jn4={%6bb?e<,=kn6n5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#650;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?;1;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=i2.?mh4n;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?;4;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn<=6:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn<=l:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6;l0;694?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2?1/8lk56:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?73g83>1<729q/=i<5629K5f0g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:79'0dc=>2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6<90;694?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?73c83>3<729q/=i<519a8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?l77k3:1({zut1b==j50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag82?!2fm3;0qpsr;h33a?6=,8n96<><;W3g0?7|D8n=6<3;;i6Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74383>=<729q/=i<5629K5f02=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0<7):ne;58yx{z3`;;o7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?1<,=kn6:5r}|8m46c290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33`>Pak38p(9oi:69'0dc=?2wvqp5f11g94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99o0Zkm52z&7ecji7m4}|~?l77n3:1({zut1b=<>50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328;;7[hl:3y'0d`=k2.?mh4l;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?99;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=i2.?mh4n;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?85;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn<8n:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn<8k:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6>o0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a526=83>1<7>t$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3`;;o7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?0<,=kn6;5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{zut1b==;50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>o68j0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a524=83>1<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?0<,=kn6;5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;h33g?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a53e=8321<7>t$0f1>4>d3A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;38 1gb281vqps4i02g>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=92.?mh4>;|~y>o68l0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0d9Ubf<5s->jj7?4$5cf>4=zutw0e<>i:18'5a4=9990Z46a3_lh6?u+4`d95>"3il0:7psr}:k255<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:==5Yfb81!2fn3;0(9oj:09~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb3d5>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi>k650;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=o<6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?io4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn9h?:185>5<7s-;o>7??1:J2g3=#98>1i6g>0283>!7c:3;;?6X>d582I7c>3;pZ<6;:3y'5<2=9990Zkm52z&7ec<63->ji7?4}|~?l`6290/=i<5f09U5a2=9rF:h;4>{W3;0?4|,83?6k?4Vga96~"3io0:7):ne;38yx{z3`l96=4+1e09b7=Q9m>1>vB>d782S7?<38p(<7;:g08Rce=:r.?mk4<;%6ba?518lh4}Wd4>7}#1ga3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#1gb3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#v*;ae8e6>"5i=0?mk5r$437>a=zut1b=n<50;&2`7<6k;1]=i:52zN2`3<6s_;3874e53_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28i97){#=8>1o6sr}:k2e`<72-;o>7?ne:T2`1<5sE;o:7?tV0:7>7}#90>1=lk4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51`g8 7g32=km7p*:158`?x{z3f;3h7>5$0f1>4>c32wi8h650;194?6|,8n96o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{n57>51;294~"6l;0:h45G1b48k4>c290/=i<519f8?xd3mh0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a0`e=83<1<7>t$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo7f=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0<7):ne;58yx{z3`;;o7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?e<,=kn6n5r}|8m46c290/=i<51118R4b328qG=i851zTek;Wd`>7}#ji7m4}|~?j7?l3:1({zut1vn9kk:185>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7m4$5cf>f=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9g>"3il0h7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:f=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2bm3:1?7>50z&2`7<60j1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a0c1=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg3783:1:7>50z&2`7<6881C=n84$037>`=n9991<7*>d38246=Q9m>1=vB>d782S7?<38p(<7;:020?S`d2;q/8lh51:&7e`<63twvq6gi1;29 4b52o;0Z"3il0:7psr}:ke6?6=,8n96k<4V0f7>7}K9m<1=vX>8581!7><3l97[hl:3y'0d`=;2.?mh4<;|~H4b22=q]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:nf:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:ne:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;7c7<,;k?69oi;|&651<53t\:5>4={Wd5>7}#{zuE;o97?tV0aa>7}Qn?09w):nd;3`6>"5i=0?mk5r$437>f=zut1b=lk50;&2`7<6il1]=i:52zN2`3<6s_;3874gb3_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28kn7){#=8>1o6sr}:m27?7d:9~f1`?29086=4?{%3g6?7dn2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7b<<7280;6=u+1e095a?<@8i=7b?7d;29 4b5282o76sm4g`94?3=83:p(5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4i02g>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7bf<72?0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3<0(9oj:79~yx{g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0i7):ne;`8yx{z3`;;i7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>o68j0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0e<>k:18'5a4=9990D;W3g0?7|D8n=6<3;;h6Xic;0x 1ga2k1/8lk5b:~yx=n99o1<7*>d38246=O9m;0Z46b3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{mi7>56;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ecji7l4}|~?l77l3:1({M3g2?7|^o21>v*>95824a=Qnj09w):nf;`8 1gb2k1vqps4i02f>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7bd<72<0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:09'0dc=92wvqp5f11f94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99n0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn8?50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo;m:185>5<7s-;o>7??1:J2g3=#98>1i6g>0283>!7c:3;;?6X>d582I7c>3;pZ<6;:3y'5<2=9990Zkm52z&7ec<63->ji7?4}|~?l`6290/=i<5f09U5a2=9rF:h;4>{W3;0?4|,83?6k?4Vga96~"3io0:7):ne;38yx{z3`l96=4+1e09b7=Q9m>1>vB>d782S7?<38p(<7;:g08Rce=:r.?mk4<;%6ba?518lh4}Wd4>7}#1ga3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#1gb3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#v*;ae8e6>"5i=0?mk5r$437>a=zut1b=n<50;&2`7<6k;1]=i:52zN2`3<6s_;3874e53_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28i97){#=8>1o6sr}:k2e`<72-;o>7?ne:T2`1<5sE;o:7?tV0:7>7}#90>1=lk4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51`g8 7g32=km7p*:158`?x{z3f;3h7>5$0f1>4>c32wi9?4?:283>5}#9m81=nh4H0a5?l77<3:1({M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo;<:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d3826=48:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo7g=#029K5a7<^8n?60e83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0i7):ne;`8yx{z3`;;i7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?d<,=kn6o5r}|8m46a290/=i<51118R4b328qG=i851zTei;Wd`>7}#{M3g2?7|^o21>v*>95825<0290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;`8 1gb2k1vqps4i02`>5<#9m81===4H0f2?S7c<3;p@{zut1b==j50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=j2.?mh4m;|~y>o68l0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955c<^oi1>v*;ag8a?!2fm3h0qpsr;h33b?6=,8n96<><;W3g0?7|D8n=6<3;;j6Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?71<7*>d38246=O9m;0Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?dg=#029K5a7<^8n?60g83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68o1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#5}#9m81:>5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#ji7l4}|~?l77l3:1({M3g2?7|^o21>v*>95824a=Qnj09w):nf;`8 1gb2k1vqps4i02f>5<#9m81===4H0f2?S7c<3;p@{zut1b==h50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:m7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo;6:18;>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=n99n1<7*>d38246=O9m;0Z46c3_lh6?u+4`d9f>"3il0i7psr}:k24`<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:g=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg33290=6=4?{%3g6?7?k2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag82?!2fm3;0qpsr;h33`?6=,8n96<><;W3g0?7|D8n=6<3;;h6Xic;0x 1ga281/8lk51:~yx=n99o1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511g8Rce=:r.?mk4>;%6ba?74=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6>10;6;4?:1y'5a4=99;0DPak38p(9oi:09'0dc=92wvqp5ff083>!7c:3l:7[?k4;3xH4b128q]=5:52z&2=14=#7h=;W3g0?4|D8n=66Xic;0x 1ga2:1/8lk53:~yI7c=3>pZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ag9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ad9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?`63-8j87:nf:'142=:2w]=4=52zTe2?4|,=ko6k<4$3c7>1ga3t.>=94k;|~?l7d:3:1(c39Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82g7=#:h>18lh4}%720?ef=#8e98yg72l3:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj8?n6=4>:183!7c:3;o56F>c79l5=b=83.:h?4>8e98yg7183:1?7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`224<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d3857>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?76583>6<729q/=i<5629K5f00483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb046>5<3290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<13->ji784}|~?l77=3:1({zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?96;290?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg72n3:147>50z&2`7<60j1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0e<>l:18'5a4=9990Z46d3_lh6?u+4`d95>"3il0:7psr}:k24a<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:0g83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68o1]jn4={%6bb?7<,=kn6<5r}|8m477290/=i<51118R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>9582d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a5=5=83<1<7>t$0f1>4663A;h:6*>158f?l77;3:1(029Ubf<5s->jj7?4$5cf>4=zutw0ek?50;&2`7{M3g2?7|^82?6?u+1869b4=Qnj09w):nf;38 1gb281vqps4ig094?"6l;0m>6X>d581I7c>3;pZ<6;:3y'5<2=n;1]jn4={%6bb?5<,=kn6>5r}|N2`0<3s_;h?7=tVg496~"3im0m>6*=a587ec=z^o=1>v*;ae8246=#:h>18lh4}%720??6*=a587ec=z^o=1>v*;ae8246=#:h>18lk4}%720??6*=a587ec=z^o=1>v*;ae8e5>"5i=0?mk5r$437>7=z^8386?uYf781!2fl3l97){#=8>1h6sr}:k2g7<72-;o>7?l2:T2`1<5sE;o:7?tV0:7>7}#90>1=n<4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51b08 7g32=km7p*:158`?x{z3`;ji7>5$0f1>4gb3_;o874}Q91>1>v*>9582e`=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6il1/>l:54`d8y!36<3i0qps4o0:g>5<#9m81=5j4;|`23=<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7013:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`23g<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn<9k:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990D;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?77d83>6<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{zut1b==;50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?70;290?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?0g=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7?93:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?8a;298b9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>95824a=Qnj09w):nf;38 1gb281vqps4i02f>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:n7[hl:3y'0d`=92.?mh4>;|~y>o68o0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0g9Ubf<5s->jj7?4$5cf>4=zutw0e4773_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?j1;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th:hn4?:083>5}#9m81=i74H0a5?j7?l3:1({e9mn1<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2?1/8lk56:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk49;%6ba?04=#0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0<7):ne;58yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?e<,=kn6n5r}|8m46d290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:b9'0dc=k2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd50m0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;396=49:183!7c:3;;=6F>c79'542=m2c:<>4?:%3g6?77;2\:h94>{M3g2?7|^82?6?u+1869555<^oi1>v*;ag82?!2fm3;0qpsr;hd2>5<#9m81j<5Y1e695~J6l?0:w[?74;0x 4?32o;0Zkm52z&7ec<63->ji7?4}|~?l`5290/=i<5f39U5a2=:rF:h;4>{W3;0?4|,83?6k<4Vga96~"3io087):ne;18yx{K9m?18vX>c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=l:54`d8y!36<380q[?63;0xRc0=:r.?mi4i2:&1e1<3io1v(8?;:e9~yx=n9j81<7*>d382g7=Q9m>1>vB>d782S7?<38p(<7;:0a1?S`d2;q/8lh5c:&7e`4e53-8j87:nf:'142=k2wvq6g>ad83>!7c:3;ji6X>d581I7c>3;pZ<6;:3y'5<2=9ho0Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?j7?l3:1({e:1o1<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4?n3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`1=5<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d92>"3il0=7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3<0(9oj:79~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3k0(9oj:`9~yx{2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?l::182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38255;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#ji7l4}|~?j7?l3:1({zut1vn?l7:184>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=O9m;0Z46d3_lh6?u+4`d9f>"3il0i7psr}:k24a<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511f8Rce=:r.?mk4m;%6ba?d8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4e13:1;7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji7l4}|~?l77k3:1({M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4i02g>5<#9m81===4H0f2?S7c<3;p@{zut1b==k50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:n7[hl:3y'0d`=j2.?mh4m;|~y>o68o0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0g9Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a6gg=83=1<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo7g=#029K5a7<^8n?60e83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0i7):ne;`8yx{z3`;;i7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?d<,=kn6o5r}|8m46a290/=i<51118R4b328qG=i851zTei;Wd`>7}#{M3g2?7|^o21>v*>9582d382;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#h750;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qod38246=2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?km:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38253;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0=7):ne;48yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:79'0dc=>2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5ml0;694?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=k2.?mh4l;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8`?!2fm3i0qpsr;h33g?6=,8n96<><;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2j1/8lk5c:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?71<729q/=i<5629K5f0f=#029K5a7<^8n?60b83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0h7):ne;a8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3d3>5<2290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;58 1gb2>1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8`?!2fm3i0qpsr;h33`?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0e9Ubf<5s->jj7m4$5cf>f=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a6c7=8321<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3=0(9oj:69~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0<7):ne;58yx{z3`;;h7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?1<,=kn6:5r}|8m46b290/=i<51118R4b328qG=i851zTej;Wd`>7}#{M3g2?7|^o21>v*>95824c=Qnj09w):nf;a8 1gb2j1vqps4i033>5<#9m81===4H0f2?S7c<3;p@0:Teg?4|,=km6n5+4`g9g>{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`1b7<72<0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:09'0dc=92wvqp5f11f94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99n0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?hm:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?73<729q/=i<51138L4e13-;:87k4i020>5<#9m81===4V0f7>4}K9m<1=vX>8581!7><3;;?6Xic;0x 1ga281/8lk51:~yx=nn80;6)?k2;d2?S7c<3;p@{zut1bj?4?:%3g6?`53_;o874}Q91>1>v*>958e6>Pak38p(9oi:29'0dc=;2wvqA?k5;6xR4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3io1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3il1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7h>;%0b0?2fn2w/9<:52:U5<5=:r\m:7c4<,;k?69oi;|&651{zuE;o97?tV0aa>7}Qn?09w):nd;3ba>"5i=0?mk5r$437>f=zut1d=5j50;&2`7<60m10qocg9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#kj50;394?6|,8n96i60m0;6)?k2;3;`>=zj;lm6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5783:1?7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`044<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#ji7l4}|~?j7?l3:1({zut1vn>><:18;>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=n99n1<7*>d38246=O9m;0Z46c3_lh6?u+4`d9f>"3il0i7psr}:k24`<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511g8Rce=:r.?mk4m;%6ba?d8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4am3:1:7>50z&2`7<60j1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0e<>l:18'5a4=9990Z46d3_lh6?u+4`d95>"3il0:7psr}:k24a<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg54k3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;=:1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{56;294~"6l;0:<<5G1b48 4732l1b===50;&2`7<68:1]=i:51zN2`3<6s_;3874643_lh6?u+4`d95>"3il0:7psr}:ke5?6=,8n96k?4V0f7>4}K9m<1=vX>8581!7><3l:7[hl:3y'0d`=92.?mh4>;|~y>oa:3:1(7}#69uY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc2o;0(?o;:5ce?x"29=097pX>9281S`12;q/8lj5f39'6d2=4;f8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7d:2.9m94;ag9~ 0732j1vqp5f1`g94?"6l;0:mh5Y1e696~J6l?0:w[?74;0x 4?328kn7[hl:3y'0d`=k2.?mh4l;|~H4b228q]=nl52zTe2?4|,=ko6i60m0;6)?k2;3;`>=zj:9o6=4<:183!7c:3;hj6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd4;l0;6<4?:1y'5a4=9m30Dt$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb262>5<3290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7m4}|~?l77=3:1({zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8`?!2fm3i0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:>96=4;:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3i0(9oj:b9~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo7f=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg53;3:1:7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7m4}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;a8 1gb2j1vqps4i02`>5<#9m81===4H0f2?S7c<3;p@{zut1b==j50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=k2.?mh4l;|~y>o68l0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955c<^oi1>v*;ag8`?!2fm3i0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:>?6=4;:183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn>:8:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?73<729q/=i<51138L4e13-;:87k4i020>5<#9m81===4V0f7>4}K9m<1=vX>8581!7><3;;?6Xic;0x 1ga281/8lk51:~yx=nn80;6)?k2;d2?S7c<3;p@{zut1bj?4?:%3g6?`53_;o874}Q91>1>v*>958e6>Pak38p(9oi:29'0dc=;2wvqA?k5;6xR4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3io1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3il1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7h>;%0b0?2fn2w/9<:52:U5<5=:r\m:7c4<,;k?69oi;|&651{zuE;o97?tV0aa>7}Qn?09w):nd;3ba>"5i=0?mk5r$437>f=zut1d=5j50;&2`7<60m10qo=;8;297?6=8r.:h?4>cg9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#i60m0;6)?k2;3;`>=zj:>j6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?05$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb26f>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi?8>50;194?6|,8n96;=4H0a5?l77<3:1({M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo:j1;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn9k<:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d382n87>53;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3n80;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=l=6=49:183!7c:3;;=6F>c79'542=m2c:<>4?:%3g6?77;2\:h94>{M3g2?7|^82?6?u+1869555<^oi1>v*;ag82?!2fm3;0qpsr;hd2>5<#9m81j<5Y1e695~J6l?0:w[?74;0x 4?32o;0Zkm52z&7ec<63->ji7?4}|~?l`5290/=i<5f39U5a2=:rF:h;4>{W3;0?4|,83?6k<4Vga96~"3io087):ne;18yx{K9m?18vX>c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=l:54`d8y!36<380q[?63;0xRc0=:r.?mi4i2:&1e1<3io1v(8?;:e9~yx=n9j81<7*>d382g7=Q9m>1>vB>d782S7?<38p(<7;:0a1?S`d2;q/8lh5c:&7e`4e53-8j87:nf:'142=k2wvq6g>ad83>!7c:3;ji6X>d581I7c>3;pZ<6;:3y'5<2=9ho0Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?j7?l3:1({e7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2a;3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`7b1<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:158:?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb5ab>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a0fd=83;1<7>t$0f1>4b>3A;h:6a>8e83>!7c:3;3h65rb5a`>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7g<<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d92>"3il0=7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?d4=#t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg06290=6=4?{%3g6?7792B:o;5+1069a>o68:0;6)?k2;337>P6l=0:wA?k6;3xR4>32;q/=4:51118Rce=:r.?mk4>;%6ba?7:18'5a4=n81]=i:51zN2`3<6s_;387c7<^oi1>v*;ag82?!2fm3;0qpsr;hd1>5<#9m81j?5Y1e696~J6l?0:w[?74;0x 4?32o80Zkm52z&7ec<43->ji7=4}|O5a3=4<{Wd5>7}#3t\:o>4<{Wd5>7}#3t\:o>4<{Wd5>7}#18lh4}%720?46*=a587ec=z,<;?6i5r}|9j5f4=83.:h?4>c39U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0a1?!4f<3>jj6s+5069g>{zu2c:mh4?:%3g6?7fm2\:h94={M3g2?7|^82?6?u+18695dc<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9ho0(?o;:5ce?x"29=0h7psr;n3;`?6=,8n96<6k;:a1a<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb4g94?7=83:p(2e:4i4?:%3g6?7?l21vn8h50;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj8o96=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th:i:4?:783>5}#9m81==?4H0a5?!76<3o0e<><:18'5a4=9990Z4=#7h>;W3g0?7|D8n=65+4`g97>{zuE;o97:tV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587ec=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587e`=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3l:7){#=8>1>6sY18196~Pa>38p(9ok:g08 7g32=km7p*:158g?x{z3`;h>7>5$0f1>4e53_;o874}Q91>1>v*>9582g7=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6k;1/>l:54`d8y!36<3i0qps4i0cf>5<#9m81=lk4V0f7>7}K9m<1=vX>8581!7><3;ji6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?ne:&1e1<3io1v(8?;:b9~yx=h91n1<7*>d38253;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e9l>1<7?50;2x 4b528n27E?l6:m27?7d:9~f4c229086=4?{%3g6?043A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a6t$0f1>4663A;h:6*>158f?l77;3:1(029Ubf<5s->jj7?4$5cf>4=zutw0ek?50;&2`7{M3g2?7|^82?6?u+1869b4=Qnj09w):nf;38 1gb281vqps4ig094?"6l;0m>6X>d581I7c>3;pZ<6;:3y'5<2=n;1]jn4={%6bb?5<,=kn6>5r}|N2`0<3s_;h?7=tVg496~"3im0m>6*=a587ec=z^o=1>v*;ae8246=#:h>18lh4}%720??6*=a587ec=z^o=1>v*;ae8246=#:h>18lk4}%720??6*=a587ec=z^o=1>v*;ae8e5>"5i=0?mk5r$437>7=z^8386?uYf781!2fl3l97){#=8>1h6sr}:k2g7<72-;o>7?l2:T2`1<5sE;o:7?tV0:7>7}#90>1=n<4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51b08 7g32=km7p*:158`?x{z3`;ji7>5$0f1>4gb3_;o874}Q91>1>v*>9582e`=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6il1/>l:54`d8y!36<3i0qps4o0:g>5<#9m81=5j4;|`1=1<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3;6>5<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qo<67;292?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d92>"3il0=7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3<0(9oj:79~yx{3=#029K5a7<^8n?60d83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==k4Vga96~"3io0=7):ne;48yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3;;>5<1290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<13->ji784}|~?l77=3:1({zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=>2.?mh49;|~y>o68m0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag85?!2fm3<0qpsr;h33a?6=,8n96<><;W3g0?7|D8n=6<3;;i6Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?76<729q/=i<519a8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?o::181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?73<729q/=i<51138L4e13-;:87k4i020>5<#9m81===4V0f7>4}K9m<1=vX>8581!7><3;;?6Xic;0x 1ga281/8lk51:~yx=nn80;6)?k2;d2?S7c<3;p@{zut1bj?4?:%3g6?`53_;o874}Q91>1>v*>958e6>Pak38p(9oi:29'0dc=;2wvqA?k5;6xR4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3io1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3il1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7h>;%0b0?2fn2w/9<:52:U5<5=:r\m:7c4<,;k?69oi;|&651{zuE;o97?tV0aa>7}Qn?09w):nd;3ba>"5i=0?mk5r$437>f=zut1d=5j50;&2`7<60m10qocg9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#l950;394?6|,8n96i60m0;6)?k2;3;`>=zj;k36=4<:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?05$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb27b>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi?8m50;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=?m6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?:94?:783>5}#9m81==?4H0a5?!76<3o0e<><:18'5a4=9990Z4=#7h>;W3g0?7|D8n=65+4`g97>{zuE;o97:tV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587ec=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587e`=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3l:7){#=8>1>6sY18196~Pa>38p(9ok:g08 7g32=km7p*:158g?x{z3`;h>7>5$0f1>4e53_;o874}Q91>1>v*>9582g7=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6k;1/>l:54`d8y!36<3i0qps4i0cf>5<#9m81=lk4V0f7>7}K9m<1=vX>8581!7><3;ji6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?ne:&1e1<3io1v(8?;:b9~yx=h91n1<7*>d382=<7>53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e7?7d:9~f10529086=4?{%3g6?043A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3j90;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=h?6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?nn4?:783>5}#9m81==?4H0a5?!76<3o0e<><:18'5a4=9990Z4=#7h>;W3g0?7|D8n=65+4`g97>{zuE;o97:tV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587ec=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587e`=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3l:7){#=8>1>6sY18196~Pa>38p(9ok:g08 7g32=km7p*:158g?x{z3`;h>7>5$0f1>4e53_;o874}Q91>1>v*>9582g7=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6k;1/>l:54`d8y!36<3i0qps4i0cf>5<#9m81=lk4V0f7>7}K9m<1=vX>8581!7><3;ji6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?ne:&1e1<3io1v(8?;:b9~yx=h91n1<7*>d382i=7>53;294~"6l;0:ok5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn9l=:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d382i?7>53;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3j<0;694?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=?2.?mh48;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8`?!2fm3i0qpsr;h33g?6=,8n96<><;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2j1/8lk5c:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?71<729q/=i<5629K5f00483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0h7):ne;a8yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:b9'0dc=k2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3j>0;694?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=?2.?mh48;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8`?!2fm3i0qpsr;h33g?6=,8n96<><;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2j1/8lk5c:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?71<729q/=i<5629K5f00483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0h7):ne;a8yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:b9'0dc=k2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3j00;6:4?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag84?!2fm3=0qpsr;h33g?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj794$5cf>2=zutw0e<>k:18'5a4=9990D;W3g0?7|D8n=6<3;;h6Xic;0x 1ga2>1/8lk57:~yx=n99o1<7*>d38246=O9m;0Z46b3_lh6?u+4`d9g>"3il0h7psr}:k24c<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>o68j0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7?4$5cf>4=zutw0e<>k:18'5a4=9990Z46c3_lh6?u+4`d95>"3il0:7psr}:k24`<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb5`f>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi8n?50;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=i96=4::183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3`;;h7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag85?!2fm3<0qpsr;h33g?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0e<>k:18'5a4=9990Z46c3_lh6?u+4`d9f>"3il0i7psr}:k24`<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511g8Rce=:r.?mk4m;%6ba?d4=#0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0=7):ne;48yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ecji7l4}|~?l77l3:1({M3g2?7|^o21>v*>95824a=Qnj09w):nf;`8 1gb2k1vqps4i02f>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7g0<72?0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{0e83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0i7):ne;`8yx{z3`;;i7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#50;494?6|,8n96<6l;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:0d83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo:j0;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn9jl:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?hi4?:083>5}#9m81=i74H0a5?j7?l3:1({e<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?d4=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd35<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga2<1/8lk55:~yx=zj=>86=4<:183!7c:3;hj6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#i60m0;6)?k2;3;`>=zj=>>6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?03=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?;=4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn99;:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4:;%6ba?36<729q/=i<51bd8L4e13`;;87>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d382`<=O9j<0c<6k:18'5a4=91n07pl;7283>6<729q/=i<5629K5f03=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5fj3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;hl1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3?0(9oj:49~yx{53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;hn1<7?50;2x 4b528n27E?l6:m27?7d:9~f6gb29086=4?{%3g6?043A;h:6g>0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=ia;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn>h9:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8j:4?:083>5}#9m81=i74H0a5?j7?l3:1({e;o21<7=50;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990D;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?77<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb52:>5<1290;w)?k2;335>N6k?1/=<:5e:k246<72-;o>7??3:T2`1<6sE;o:7?tV0:7>7}#90>1===4Vga96~"3io0:7):ne;38yx{z3`l:6=4+1e09b4=Q9m>1=vB>d782S7?<38p(<7;:g38Rce=:r.?mk4>;%6ba?7c4<^oi1>v*;ag80?!2fm390qpsC1e790~P6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=km7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=kn7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj5f09'6d2=4;08yS7>;38pZk852z&7eao6il0;6)?k2;3ba>P6l=09wA?k6;3xR4>32;q/=4:51`g8Rce=:r.?mk4l;%6ba?e2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=if;295?6=8r.:h?4>d89K5f05}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e<981<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?0<,=kn6;5r}|8m462290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd38=0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=>2.?mh49;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=:>6=4;:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb525>5<3290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=>2.?mh49;|~y>o68j0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=:<6=4;:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?03=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2783:147>50z&2`7<60j1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0e<>l:18'5a4=9990Z46d3_lh6?u+4`d95>"3il0:7psr}:k24a<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:0g83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68o1]jn4={%6bb?7<,=kn6<5r}|8m477290/=i<51118R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>9582d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a042=83<1<7>t$0f1>4663A;h:6*>158f?l77;3:1(029Ubf<5s->jj7?4$5cf>4=zutw0ek?50;&2`7{M3g2?7|^82?6?u+1869b4=Qnj09w):nf;38 1gb281vqps4ig094?"6l;0m>6X>d581I7c>3;pZ<6;:3y'5<2=n;1]jn4={%6bb?5<,=kn6>5r}|N2`0<3s_;h?7=tVg496~"3im0m>6*=a587ec=z^o=1>v*;ae8246=#:h>18lh4}%720??6*=a587ec=z^o=1>v*;ae8246=#:h>18lk4}%720??6*=a587ec=z^o=1>v*;ae8e5>"5i=0?mk5r$437>7=z^8386?uYf781!2fl3l97){#=8>1h6sr}:k2g7<72-;o>7?l2:T2`1<5sE;o:7?tV0:7>7}#90>1=n<4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51b08 7g32=km7p*:158`?x{z3`;ji7>5$0f1>4gb3_;o874}Q91>1>v*>9582e`=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6il1/>l:54`d8y!36<3i0qps4o0:g>5<#9m81=5j4;|`755<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2693:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`757<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3thoh7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vnh=50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7;4$5cf>0=zutw0qok?:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3thn=7>51;294~"6l;0:h45G1b48k4>c290/=i<519f8?xdb:3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`f0?6=;3:1d3857>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=<2.?mh4;;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag87?!2fm3>0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj1?1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0>7):ne;78yx{z3th3:7>53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e0>0;6<4?:1y'5a4=9m30D;:18'5a4=9990Z4633_lh6?u+4`d92>"3il0=7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3<0(9oj:79~yx{7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb9d94?4=83:p(5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95825<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?7d89K5f053;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0=7):ne;48yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:79'0dc=>2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd>93:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e1<0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga2<1/8lk55:~yx=zj081<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg?4290:6=4?{%3g6?7c12B:o;5`19f94?"6l;0:4i54}c;7>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=>2.?mh49;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo78:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?7d38246=6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn4750;394?6|,8n96i60m0;6)?k2;3;`>=zj0k1<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2?1/8lk56:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk49;%6ba?04=#t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8ygg629096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<23->ji7;4}|~?xd>m3:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a=c<7280;6=u+1e095a?<@8i=7b?7d;29 4b5282o76sma183>6<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?0<,=kn6;5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vnl950;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7;4$5cf>0=zutw0qoo;:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3thj97>51;294~"6l;0:h45G1b48k4>c290/=i<519f8?xdf>3:1?7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<13->ji784}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`b=?6=:3:1d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:aea<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo70=#t$0f1>4ea3A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95825<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qool:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj784$5cf>3=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d92>"3il0=7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3thi?7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#5}#9m81=nh4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zjk;1<7?50;2x 4b528n27E?l6:m27?7d:9~fg4=8391<7>t$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo73=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0=7):ne;48yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rbc794?4=83:p(5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95825<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag86?!2fm3?0qpsr;|`a2?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{4<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32win54?:283>5}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;48 1gb2?1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{ejk0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zjkl1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3?0(9oj:49~yx{c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xdel3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`aa?6=;3:1d3857>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag85?!2fm3<0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2?1/8lk56:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?7d38246=7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:49'0dc==2wvqp5rbb394?5=83:p(2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qom=:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d3827??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8ygef29096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xddm3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`==2.?mh4:;|~y>{ekk0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#t$0f1>4b>3A;h:6a>8e83>!7c:3;3h65rbbf94?5=83:p(5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:79'0dc=>2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<13->ji784}|~?j7?l3:1({zut1vn>l=:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?7d38246=2.:=94i;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d382`<=O9j<0c<6k:18'5a4=91n07pl6<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`0f0<72:0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb2af>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|``b?6=:3:1d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a7a2=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5c?3:1:7>50z&2`7<6881C=n84$037>c=n9991<7*>d38246=Q9m>1=vB>d782S7?<38p(<7;:020?S`d2;q/8lh51:&7e`<63twvq6gi1;29 4b52o;0Z"3il0:7psr}:ke6?6=,8n96k<4V0f7>7}K9m<1=vX>8581!7><3l97[hl:3y'0d`=;2.?mh4<;|~H4b22=q]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:nf:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:ne:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;7c7<,;k?69oi;|&651<53t\:5>4={Wd5>7}#{zuE;o97?tV0aa>7}Qn?09w):nd;3`6>"5i=0?mk5r$437>f=zut1b=lk50;&2`7<6il1]=i:52zN2`3<6s_;3874gb3_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28kn7){#=8>1o6sr}:m27?7d:9~f6ea29086=4?{%3g6?7dn2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;m:1<7?50;2x 4b528n27E?l6:m27?7d:9~f6b5290?6=4?{%3g6?043A;h:6g>0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5G1e38R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>9582d3857>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990Z46d3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0:4n5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;m?1<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2>1/8lk57:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9g>"3il0h7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{:;7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7;4$5cf>0=zutw0qo:>8;297?6=8r.:h?4>cg9K5f04=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb53:>5<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qo:>b;290?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?08e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg26k3:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<13->ji784}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;48 1gb2?1vqps4i02`>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=>2.?mh49;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo:>d;290?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?08e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg26m3:197>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji784}|~?l77k3:1({zut1b==j50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=;j6=4::183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<63->ji7?4}|~?l77l3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`767<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo74=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<23->ji7;4}|~?xd3::0;6>4?:1y'5a4=9jl0D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{987>51;294~"6l;0:h45G1b48k4>c290/=i<519f8?xd3:?0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=8<6=4::183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb506>5<4290;w)?k2;3;g>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?77<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb56g>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag86?!2fm3?0qpsr;|`70d<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg23j3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`70f<72<0;6=u+1e0926=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga2?1/8lk56:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d92>"3il0=7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:3=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?9=4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn9;;:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4:;%6ba?36<729q/=i<51bd8L4e13`;;87>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d382`<=O9j<0c<6k:18'5a4=91n07pl;5283>0<729q/=i<5629K5f03=#029K5a7<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8m46c290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33`>Pak38p(9oi:79'0dc=>2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3=>0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=?h6=49:183!7c:3;;=6F>c79'542=n2c:<>4?:%3g6?77;2\:h94>{M3g2?7|^82?6?u+1869555<^oi1>v*;ag82?!2fm3;0qpsr;hd2>5<#9m81j<5Y1e695~J6l?0:w[?74;0x 4?32o;0Zkm52z&7ec<63->ji7?4}|~?l`5290/=i<5f39U5a2=:rF:h;4>{W3;0?4|,83?6k<4Vga96~"3io087):ne;18yx{K9m?18vX>c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=l:54`d8y!36<380q[?63;0xRc0=:r.?mi4i2:&1e1<3io1v(8?;:e9~yx=n9j81<7*>d382g7=Q9m>1>vB>d782S7?<38p(<7;:0a1?S`d2;q/8lh5c:&7e`4e53-8j87:nf:'142=k2wvq6g>ad83>!7c:3;ji6X>d581I7c>3;pZ<6;:3y'5<2=9ho0Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?j7?l3:1({e<<21<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2213:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`71d<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{=;7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7;4$5cf>0=zutw0qo:98;297?6=8r.:h?4>cg9K5f04=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb54:>5<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qo:9b;291?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d92>"3il0=7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3<0(9oj:79~yx{3=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg21k3:197>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji784}|~?l77k3:1({M3g2?7|^o21>v*>95824f=Qnj09w):nf;48 1gb2?1vqps4i02g>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`72d<72:0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rbe:94?4=83:p(5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95825<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag86?!2fm3?0qpsr;|`g=?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{4<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wiho4?:083>5}#9m81=i74H0a5?j7?l3:1({em>0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zjlh1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3?0(9oj:49~yx{c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xdb13:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`fe?6=93:1d382=91n01>6k:027?85>83;;863=8`8241=:;?k1==:4=24a>463349=o7??4:?02a<68=16=9j51168943728:?70:6e;330>;31o0:<95236g9552<5:=m6<>;;<1;4?77<2784<4>059>7=5=99>01<:=:027?84a93;;863=968241=::021==:4=5`6>46334>i57??4:?746<68=168{t?m0;6>u27d82<`=Y?m16o:4>029~wf1=83;ow0m8:0:g?85?m3;;863<918240=::1k1==;4=24b>462349=n7??5:?02f<68<16?;j51178942c28:>70?:0;331>;31l0:<85248d9553<5:=n6<>:;<14b?77=2784=4>049>7=7=99?01>6<:026?873:3;;963>dg8241=::o;1==;4=3;4>462348247??5:?7f3<68=168o751178916228:?70:?6;330>;38>0:<95240g9552<5=:;|q4f?6=;r7;d>3;;?6s|c783>46|5j<1=5j4=2;3>46d3483m7??c:?215<68j1684k511a891?a28:h70=73;33g>;6<;0:l;<0:0b9>053=99?019?l:027?821j3;;o63;6b8240=z{>31<7=t=6c95=c301n;51118yve2290:;4190:k;<6:a?77l27?5k4>0e9>7=5=99n01<:=:02g?84a93;;h63=96824a=::021==j4=5`:>46c34>;:7??5:?75a<68=168;l511f8910d28:h7p}87;297~;003;3i6P87:?ae?77;2wxnl4?:9y>fd<60m16>5o511g891?b28:n70:6f;33a>;em3;;863me;331>;d;3;;863l3;331>{t?<0;6>u27782<`=Y?<16n94>029~wg2=83f28:m70:6e;33b>;31o0:;5il0:<85rsdd94?4|5ll1=5j4=5;6>c44>c34;9:7h=;<301?`5348h:7h=;<0gg?`5348o?7h=;<0f3?`5348m87h=;<17g?`53tyo>7>54z?g7?7?m2To>63k4;337>;c=3;;?6s|d583>c}:l=0:4i5232`9b7=:;8o1j?522c09b7=:;;>1j?5233c9b7=:;:81j?521329b7=:;9n1j?523079b7=::ok1j?525c8e6>;5jj0m>63<048e6>;46s|d483>0}:l<0:4i523bc9b7=:<:o1j?524959b7=:<8>1j?5rsgf94?2|5oo1=5k4^gf89c`=99901<>?:020?xuan3:18=u2fg8221j?522919b7=:9k?1j?522149b7=::9o1j?5220g9b7=::;<1j?5223g9b7=:::<1j?5222g9b7=::=<1j?5225g9b7=::<<1j?5224g9b7=:;1j?521419b7=:9?1j?524g29b7=:=9:1j?5217:9b7=:9191j?521d39b7=::081j?523409b7=:;6m>0m>63=9`8e6>;4=l0m>63;658e6>;3jj0m>63;c68e6>;3m90m>63;088e6>;4j00m>63;3=j0m>6s|11294?5|58:;6<6k;<0be?`5349mm7h=;|q0fd<72:q6?ol519g8Z6df349io7??3:p7ge=838iw0=mc;3;`>;40k0:<9521209552<5=n?6<>;;<6g059>6d6=99>01>8n:02`?851j3;;o63<6g8241=:9=l1==:4=07;>463349oi7??4:?7=`<699168l85116891g128:>70:n2;330>;4i<0:<9525159553<5<:36<>;;<14a?77k278;k4>0b9>0`e=99?019hl:026?82al3;;863=f18241=:4633482;7??e:?1e=<68=16>l65117891e428:>70:l4;330>;3<<0:<9524619552<5=:?6<>;;<1g1?77<27?=o4>049>04e=99?019?k:026?826m3;;963;4b8241=:<<91==:4}r6be?6=;r7?mo4>8d9]0dg<5=kh6<><;|q7ef<728=p19ol:0:g?851i3;;h63<6c824a=:;?i1==m4=24g>46d34;?h7??c:?03`<68m16?:h511f896>728:h70=71;33g>;6><0:<9521929552<5=h<6<>;;<6a049>025=99?019>8:026?826j3;;o63;1b824f=:<8n1==m4=53f>46d34>?o7??5:?716<68<1v>k;:18085b=3;3i6P7`0=9990q~=j6;297~;4m?0:4i521369552<588?6<>:;|q71a<72=q6=5751118913b282n7S::d:?71a<60m1v<66:18687?13;3h63>2e8241=:9;n1==;4=3;e>46c348j<7??d:p05g=839p19>m:0:f?[27i27?029~w16d2908w0:?c;3;`>;6:l0:<95213g95539o7>53z?76a<60l1U8?m4=50f>4643ty?>h4?:7y>07c=91n01>8<:027?851;3;;963>3d824f=:9:l1==m4=56`>46c3ty?;84?:2y>020=91o0R99:;<643?77;2wx8:950;4x9110282o70=87;330>;4?>0:<852162955e<58=96<>l;<667?77l2wxj44?:2y>bd<60l1Uj452fc8246=z{oh1<7mt=g`95=b<5;i96<>:;<0`7?77m279h54>0b9>6ag=99?01?mj:027?84b:3;;963=e2824a=:9mn1==:4=0fg>462348no7??4:?1af<68<1v9<6:180825i3;3i6P;289>07d=9990q~:=b;297~;3:k0:4i522829552<5;3;6<>:;|q7e2<72:q68l6519g8Z1g034>j57??3:p0d?=839p19o6:0:g?853i3;;863<4`8240=z{??1<7=t=7495=c32908w06;:0:g?8>?28:?7067:026?xu1?3:1?v398;3;a>X1?273m7??3:p8e9><`<68=164h4>049~w3?=839p1;o519g8Z3?<50:1===4}r;3>5<4s43;6<6k;<;7>463343?6<>:;|q5f?6=;r7=o7?7e:\5f>;>>3;;?6s|9783>6}:1?0:4i529`8241=:1h0:<85rs7f94?5|5?o1=5k4^7f897p}9f;297~;083;3i6P9f:?b6?77;2wxm?4?:2y>e7<60m16m;4>059>e3<68<1v:?50;1x924=91o0R:?4=`:95554>c34kh6<>;;4623ty53z?40?7?m2T{til0;6>u2ad822908w0m6:0:g?8ec28:?70mk:026?xu3000;6>u249c95=c{t<1n1<746434>3h7?7d:p0=e=838p1966:g7891>d282o7p}6}:;ko1=5k4^2`g?85el3;3h6s|3b394?4|5:ho6<><;<1`5?7?l2wx?n>50;0x96dc2o?01>m?:0:g?xu3:o0;6>u242295=c{t<:91<746434>8?7?7d:p064=838p196}:<>31=5k4^55;?82003;3h6s|46a94?4|5==36<><;<64g?7?l2wx8:l50;0x911?2o?0199m:0:g?xu4l10;6>u23e;95=c{t;mk1<7464349om7?7d:p0<>=839p1976:0:f?[2>027?554>8e9~w1?f2909w0:68;337>;31h0:4i5rs2g4>5<4s49n47?7e:\0a2=:;l=1=5j4}r1fe?6=:r78i:4>029>7`g=91n0q~:;0;297~;3<80:4h5Q45289127282o7p};4383>7}:<=:1===4=561>4>c3ty?:h4?:2y>03`=91o0R98j;<65a?7?l2wx8:>50;0x910b28:870:80;3;`>{t;h31<7=t=2cb>4>b3W9j5638e9~w6`42908w0=i4;3;a>X4n:16?k=519f8yv5a=3:1>v38d9]7cd<5:li6<6k;|q0ba<72;q6?kl5111896`c282o7p};0e83>6}:<9o1=5k4^52g?827l3;3h6s|41d94?4|5=:o6<><;<63b?7?l2wxhh4?:3y>00b=99901ik519f8yvbc2909w0::d;d6?8bc282o7p}>9b83>6}:90n1=5k4^0;`?87>k3;3h6s|8483>7}:90i1===4=9795=b7>53z?2e6<60l1U=l<4=0c1>4>c3ty3n7>52z?2e7<68:164o4>8e9~w4g32908w0?n5;3;a>X6i=16=l:519f8yv?62909w0?n4;337>;>93;3h6s|1`494?5|58k<6<6j;_3b2>;6i?0:4i5rs8594?4|58k=6<><;<;4>4>c3ty:m54?:2y>5d?=91o0R5d>=999014j519f8yv7fk3:1?v3>ae82<`=Y9hi01v3>ab8246=:i:0:4i5rs0;f>5<4s4;2j7?7e:\2=`=:90o1=5j4}rc:>5<5s4;2i7??3:?b=?7?l2wx=4950;1x94??282n7S?67:?2=2<60m1vlh50;0x94?028:870oi:0:g?xu6ih0;6>u21``95=c{tj<0;6?u21`c9555<5k?1=5j4}r3b4?6=;r7:m<4>8d9]5d6<58k;6<6k;|qaf?6=:r7:m=4>029>fg<60m1v<7n:18087>j3;3i6P>9`9>5i3;;?63l0;3;`>{t90?1<7=t=0;5>4>b3W;2963>948246434ij6<6k;|q0f5<72:q6?o?519g8Z6d7349i<7?7d:p7g4=838p1>l?:020?85e:3;3h6s|3ba94?5|5:io6<6j;_1`g>;4kj0:4i5rs2af>5<5s49ho7??3:?0g`<60m1v9?::180826>3;3i6P;149>043=91n0q~:>7;296~;39<0:<>5240595=b9<7>53z?764<60l1U8?>4=503>4>c3ty?>?4?:3y>076=999019<=:0:g?xu3<>0;6>u245:95=c<70:;7;3;`>{t<=31<746434>?57?7d:p01c=839p19:i:0:f?[23m27?8h4>8e9~w1372909w0:;e;337>;3=90:4i5rs576>5<4s4>>:7?7e:\710=:<029>001=91n0q~:95;297~;3>?0:4h5Q47789102282o7p};6683>7}:4>c3tyo:7>53z?g3?7?m2To:63k6;3;`>{tl10;6?u2d78246=:l10:4i5rsd794?5|5l<1=5k4^d789`3=91n0q~k8:1818c228:870k8:0:g?xu31=0;69u249f9555<5=2i6<><;<6:3?77=27?584>8e9~w1>e290?w0:7b;3;`>;31;0:<9524819552<5;n:6<>;;|q7<`<72;q685m5111891>b282o7p};9783>7}:<1o1===4=5;5>4>c3ty?4k4?:3y>0<1=99>0196i:0:g?xu31>0;6?u248595=b<5=3>6<><;|q247<72k2p1<>=:0:g?82>=3;h>63;9482e`=:;jk1=n<4=2ab>4gb34>8i7?l2:?77`<6il1685951b0891>028kn70?l0;3`6>;6k90:mh5238095f4<5:396;4>c39>561=99?01<=::0a1?87am3;h>63>fd82e`=::?n1=n<4=34g>4gb348<47?l2:?13=<6il16>5=51b0897>428kn70?m5;3`6>;6j<0:mh5221495f4<5;:=6ad9>64c=9j801??j:0cf?845>3;h>63=2782e`=::;o1=n<4=30f>4gb3488:7?l2:?173<6il16>>k51b08975b28kn70<;6;3`6>;5n6ad9>60c=9j801?;j:0cf?82ci3;h>63;d`82e`=::8<1=n<4=335>4gb3483o7?l2:?1l<51b0897g528kn70=81;3`6>;4?80:mh5214195f4<58?86ad9>630=9j801?89:0cf?851=3;h>63<6482e`=:;>31=n<4=25:>4gb34?:?7?l2:?656<6il16?h<51b0896c528kn70:n5;3ba>;4n80:o?523g395dc<5:3j6c39>7d>=9ho01>=m:0a1?854j3;ji63<1d82g7=:;8o1=lk4=3`1>4e5348i>7?ne:?1g3<6k;16>n851`g8964328i970==4;3ba>;5lj0:o?522ea95dc<5:8j6c39>764=9ho01?j<:0a1?84c;3;ji63=e682g7=::l=1=lk4=0gg>4e534;nh7?ne:?2b3<6k;16=k851`g8906e28i970;?b;3ba>;6:90:o?5213295dc<5::o6c39>743=9ho01>;7:0a1?85203;ji63<8482g7=:;1?1=lk4=067>4e534;?87?ne:?230<6k;16=:;51`g897`f28i970;3n90:o?524g295dc<5<:;6n7?l2:?6f?7fm27::54>c39>53>=9ho01<6<:0a1?87?;3;ji63>e082g7=:9l;1=lk4=3;1>4e53482>7?ne:?1ff<6k;16>om51`g897`328i970;48<0:o?5231795dc<5:>=6c39>71e=9ho01>;=:0a1?852:3;ji63;e782g7=:4e534>m:7?ne:?7g`<6k;168nk51`g8937=9j801;?51`g894c028i970?j7;3ba>;51h0:o?5228c95dc<5;kj6c39>70c=9ho0198;:0a1?821<3;ji63;bb82g7=:4e534>h;7?ne:?7a5<6k;168h>51`g896`f28i970=ia;3ba>;3800:o?5241;95dc<5=;?6c39>7g?=9ho01>j8:0a1?85c?3;ji63;5b82g7=:<mn:g38915b2o;01968:g3896?52o;01<<9:g3894522o;01?>;:025?847<3;;;63=05824==::9>1==74=327>46f348;87??b:?14f<68>16>=m511:8976d28:270;58j0:9;<06g?77?2799n4>099>60e=99301?;l:02b?842k3;;n63;d`8e5>;59=0:<552206955?<5;;?6<>n;<020?77j279m?4i1:?0344i1:?21g089>632=99k01?8;:02a?836;3l:70=j2;d2?82f=3l:70=i1;d2?85>i3l:70=n8;d2?854j3l:70=>e;d2?84e:3l:705;d2?85203l:70=75;d2?873<3l:70?85;d2?84ai3l:70:i0;d2?83783l:70;m:g38940?2o;01<6<:g3894c62o;01?7=:g3897dd2o;01?h;:g3896622o;01>:9:g38962d2o;01>;=:g3891c12o;019h9:g38937=n816=h95f09>6lo5f09>70c=n8168;:5f09>0ge=n8168n95f09>0`6=n816?ko5f09>05?=n8168<:5f09>7g?=n816?i95f09>00e=n81v97?:18182?n3;;863;91828e9~w7db290mw0:62;331>;31:0:<8522cg95=b<5;i96<>;;<0`7?77<279o94>059>6a>=99>01?j6:027?84dk3;;863=ce8241=::jl1==:4=3f2>462348n?7??4:?1a1<68=16>h;51168yv4c<3:1nv3;93824f=:<091==m4=3a0>462348h87??5:?1`1<60m16>io5116897ea28:>70;5m:0:<8522d69553<5;o>6<>:;|q1g=<72mq684<511f891?428:o70;5k=0::;<0`049>6fb=99?01?j>:02g?84b;3;;o63=e5824f=::l?1==m4}r0ga?6=1r7?5?4>0d9>0<5=99o01?m<:02g?84d<3;;h63=cg824f=::m;1==k4=3ff>4>c348n>7??4:?1a0<68m1v97=:18182>:3;3h63;908241=z{8;>6=4:{<6:7?77n27:=84>8e9>700=99>01>;9:026?853;3;;86s|35594?4|5=386{tko0;6<:t=5;5>46334>2:7??5:?0gg<68=16?nl51178915a28:?70:;3010:<95249:9553<5:936<>;;<10=?77<278>k4>059>766=99>01;4<80:<952cg8246334>9;7??4:p7f?=839p1>m>:020?85en3;;?63:4>059>571=99?01<=9:027?874>3;;96s|3b094?4|5:i;6<><;<1`6?7?l2wx?nl50;0x96e528:870=lb;3;`>{t;j91<7464349h?7?7d:p7f2=838p1>m<:027?85d<3;3h6s|3b794?4|5:i86<>:;<1`1?7?l2wx?<850;3485d>3;;86346334>3>7??4:?053<60m16?d;330>;49;0:<9523019552<5463348i57??4:?1fd<68=16?==51168962528:?70=;3;331>;39;0:<95rs3ca>5751178967e28:>70;5j90:<95233d9553<5:9;6<>:;<32`?77=278059>744=99?01>?<:026?84e?3;;863=b98240=:;9;1==:4}r12b?6=9=q6?n8511a896e028:>70=l8;331>;3;h0:<9524919552<5:;j6<>;;<12e?77=278=k4>8e9>54?=99>0170;7:026?83>28:>70;5j00:<8522cc9553<5::86<>:;<626?77=2wx??;50;3085d>3;;h6346334>387??4:?067<68=16??<511789642282o70?>a;330>;69h0:<85254824a=:=?0:46d348im7??c:?046<68j1v>k;<60g?77<27?484>059>77>=99>01><7:026?855j3;3h63>1c8241=:98h1==;4=47955c<5<<1==j4=45955b<5<21==j4=4;955b<5;h36<>j;<0a=?77l279nl4>0e9>755=99n0q~=l6;296~;4k?0:4i523b79552514y>7f1=99o01>=<:0:g?854?3;;863=b18240=:;;l1==m4=213>46d34;:i7??5:?04g<68<16984>0g9>13<68l169:4>0d9>1=<68l16944>0d9>6g1=99?01?l6:02f?85783;;963<008240=:;981==:4=220>46b349?=7??5:?006<68j1v>m8:18185d?3;3h636=4n{<1`0b9>6g6=99i01>:;<613?77=2wx?>m50;cx96e?28:m70=<9;33g>;4;90:46b349;>7??c:?07f<60m16?9?511a8914028:h7p}7}:;j21=5j4=2a6>46d3ty??i4?:2y>065=999019=>:020?824m3;3h6s|42394?4|5=9:6<6k;<60g?77k2wx8>:50;0x915528:870:<4;3;`>{t<:l1<746434>8j7?7d:p063=838p19=j:020?824=3;3h6s|42494?4|5=9>6<>;;<602?7?l2wx8>950;0x915228:>70:<7;3;`>{t;9k1<7=t=51;>46334>847??5:?04d<60m1v9=7:18182403;3h63;368241=z{8936=4n{<60=?77=27??l4>049>06d=99?019=l:026?857j3;;h63>3982463348ni7??4:?1ac<68=1v9=6:18182413;3h63;368240=z{=9j6=4={<60e?7?l27??:4>0b9~w15e2909w0:;3;>0:5<5s4>8o7?7d:?772<68l1v969:180820k3;;?63;7`8246=:<1=1=5j4}r64e?6=:r7?;l4>8e9>0=3=99i0q~:8d;296~;3?k0:<>5246f95=b347>52z?73a<68:16856519f8yv20m3:1>v3;868246=:<>o1=5j4}r64b?6=:r7?;h4>059>02`=91n0q~:70;296~;3?l0:<85249295=b53z?7<4<68=1685?5117897ga282o7p};8083>7}:<1;1=5j4=5:3>4633ty::44?:`y>0=4=99?0196<:026?82?<3;;963;848240=:9:;1==:4=3`3>46c34;=57?7d:?235<68=16=:?51168941528:?7p};8383>7}:<181=5j4=5:3>4623ty?4>4?:3y>0=5=91n0196?:02`?xu30=0;6?u249695=b<5=2;6<>k;|q7<0<72;q685;519f891>728:n7p}>bg83>6}:9k<1===4=0a2>46234;h<7?7d:p5g0=83np1b2824f=:46c34?:<7??4:?643<68=169=95116891cd28:?70:ib;330>;3nj0:<9524b09552<5=i86<>;;|q2f=<72;q6=n?5116894d?282o7p}>c083>7}:9j;1=5j4=0a3>4643ty:n:4?:3y>5f6=n816=o9519f8yv7e13:1>v3>b98241=:9k31=5j4}r3ae?6=:r7:n54>049>5gg=91n0q~<97;29e~;6jk0:<9521ca9552<58ho6<>;;<053?7?l279:o4>059>622=99>01?9::027?840m3;;863=818241=::121==:4}r05b?6=ir7:no4>049>5ge=99?01?1==;4=35e>4633483<7??5:?2f6<68=16>5651178yv4013:15v3>bc824f=:9ki1==m4=0`g>46d348<57?7d:?13`<68<16>:h5117897>728:h70?m3;331>;5010:5<6nr7:no4>0e9>5gc=99>01463348<<7??4:?1<4<68=16>:o5116894d728:?70;5800:<95220;9552<5;8:6<>;;<01=?77<279?<4>059>66?=99>01?:>:027?84313;;863=508241=::<31==:4=332>463348397??4:?124<68=16=hl5116894`428:?70?i4;330>;6lh0:4i521d79552<58o>6<>:;|q2fg<72;q6=ol519f894df28:?7p}>e383>4b|58hh6<>k;<3aa?77=27:n:4>049>5c?=99?01?8m:02`?84103;;963=778240=::>:1==;4=3:2>462348=?51178976>28:>70<>9;331>;5:80:<85223;9553<5;9:6<>:;<00=?77=2798<4>049>61?=99?01?;>:026?84213;;963=108240=::1?1==;4=342>46234;nn7??5:?2b6<68<16=k:5117894c5282o7p}>bb83>7}:9ki1=5j4=0`b>4623ty9494?:05x94dc28:o70?me;33`>;6n00:l;<0;5?77k279<<4>0b9>65?=99i01??6:02`?84593;;o63=28824f=:::;1==m4=31:>46d348?=7??c:?10<<68j16>8?511a8973>28:h70<>1;33g>;50=0:4i52273955e<58oi6<>l;<3e7?77k27:j94>0b9~w4dc2909w0?md;3;`>;6jh0:5<5s4;ii7?7d:?2fd<68m1v>7>:18185?>3;;?63<93828z?0<3<60m16?5m5116896>c28:>70=7e;331>;4>h0:j;<15`?77m27:8o4>059>7a`=99>01>o=:027?850m3;;i63<7g824`=:;1:1==k4=2:2>46b34;?<7??4:?236<68=16=;85116894>628:?70:m5;331>;3j?0:<8524c59553<5=h36<>:;<6a=?77m2wx?4>50;0x96>a28:870=60;3;`>{t;1l1<7=t=2:e>4>c34;=<7??4:?225<68<1v>68:18185>:3;;?63<86828e9~w6>>2909w0=77;331>;4000:4i5rs5`7>5<4s493m7??4:?0v3<8`8270:k5;330>;51o0:<8522`29553<5:k;<15`?77l278:k4>049>51`=99?01<;7:026?85cm3;;963;9g8255=:46234?;;7??c:?64<<68=16?5>511f896>628:o70:jc;33g>;3mm0:<9524ga955e<5=ln6<>;;<0e4?77=27?on4>049>0f?=99?01?77:02f?84f=3;3h63;c2824f=:462349o97??5:p7=d=838p1>6m:0:g?85?13;;96s|6383>71|5:2h6<>:;<1:4?77m27:?<4>049>564=99i01>8n:02e?851j3;;j63<6b824c=:;?n1==h4=06e>46d34;><7??e:?21<<68=168l?5116891g528:h70=n2;331>;4i=0:<9523`7955e<5:=n6<>i;<14b?77n2784=4>0g9>7=7=99l01>6<:02f?874m3;;963>3g8240=:9=:1==;4=061>46b34;<<7??5:?234<68<16=:<51178941428:>70?95;331>;6>?0:<8521929553<582:6<>:;<0fa?77=279ik4>049>6c6=99i01?h>:02f?805282o70=m7;330>{t;1i1<74>c349357??c:p7<5=83;?w0=7d;33g>;40l0:059>7d6=99>01>o>:027?850m3;:<63<818255=:9:i1==:4=01`>46234;=h7??4:?22a<68<16=;<51168940428:?70?8d;330>;6?l0:<9523429552<5=h<6<>l;|q0>28:o7p}<8d83>7}:;1o1=5j4=2::>46b3ty95o4?:cy>7<6=99l01?7m:0:g?84>n3;;o63=a1824f=:9<:1==h4=2:0>46a34;?>7??f:?1b4<68o168o7511d8917b28:o70:9c;33`>{t;0h1<7<:{<1:4?76827:??4>0e9>73d=98:01>8k:033?873n3;;h63>518255=:46c3492;7??4:?0=2<68<16?4l519f896g728:>70=n2;33g>;4i=0:<8523`7955b<5:=m64>119>516=99i01<:=:033?870;3;;o63>638240=:9?>1==:4=046>46d34;=:7??c:?23a<68<16=:h5116894>728:h70?71;33g>;5n90::;<7e>46334?m6<>:;<6a1?77k27?n;4>0b9>0g>=99i0q~?=5;296~;6:80:<>5213495=b55z?264<60m16?h>51168967d28:>70=>2;33g>;4<;0:<85rs004>5<5s4;947??4:?262<60m1v<<7:18187503;3h63>2782e`=z{8896=4={<312?77;27:>?4>8e9~w4442909w0?=2;330>;6::0:4i5rs007>5<5s4;9>7??5:?261<60m1v<=;:18187513;;?63>34820b9>745=99i01>:=:02`?852k3;;863<5b8240=z{8986=4={<304?77;27:?>4>8e9~w4572908w0?<0;3;`>;6>l0:<95217g955352z?272<68=16=>8519f8yv74?3:1>v3>3682029>57g=91n0q~?=b;296~;6:h0:<95213`95=b52z?26d<68<16=?m519f8yv75l3:1>v3>2e82h4>8e9>57e=99?0q~6}:9:;1==m4=250>4>c34;<=7??c:p567=838p1<=>:0:g?874;3;;86s|12094?4|58996<6k;<307?77=2wx=kj50;1x94`?28:870?if;331>;6nl0:4i5rs0d;>5=m51168977d28:?70<>c;331>;5:=0:<95223a9552<5;9?6<>;;<00g?77<279894>059>61e=99>01?;;:027?842k3;;863=158241=::?>1==:4}r3ee?6=:r7:jk4>059>5cg=91n0q~?if;296~;6no0:4i521gg955552z?2b`8e9~w4`e2909w0?ia;330>;6nk0:4i5rs0d`>5<5s4;mm7??5:?2bf<60m1v?8l:180841?3;;?63=6d8240=::?n1=5j4}r05=?6=:r79:h4>059>63?=91n0q~<9e;296~;5>l0:4i5227f955552z?12a8e9~w70f2909w0<99;330>;5>h0:4i5rs34a>5<5s48=57??5:?12g<60m1v?98:181841n3;;?63=79828e9~w7172909w0<88;d2?84083;3h6s|26094?4|5;=:6<>;;<046?7?l2wx>:=50;0x971628:>70<83;3;`>{t:>>1<74>c3485<50;0x971>28:870<73;3;`>{t:>h1<74643487}::>h1==:4=35`>4>c3ty9;i4?:3y>62d=99?01?9k:0:g?xu5?l0;6?u226g95=b<5;=o6<>;;|q13c<72;q6>:h519f8971c28:>7p}=8183>7}::1:1=5j4=35g>46d3ty94<4?:3y>6=7=91n01?9k:02g?xu6j=0;6?u21`d9555<58h>6<6k;|q2ec<72hq6=lh519f891b?28:>70;>0;331>;2810:<85251;9553<5=oo6<>:;<6e`?77=27?jh4>049>0f2=99?019m::026?xu6j80;6?u21c79555<58h:6<6k;|q2f5<72;q6=o;5f09>5g6=91n0q~?m2;296~;6j80:<9521c095=b52z?2f4<68<16=o=519f8yv47=3:1?v3=018246=::9=1==;4=325>4>c3ty9<=4?:5y>656=91n01?>l:025?846<3;;:63=658243=z{;:96=4={<033?77<2798e9~w7602909w0;58?0:<>5rs322>5<5s48;:7h>;<035?7?l2wx>==50;0x976528:?70{t:9>1<7462348;87?7d:p64>=83np1?>;:026?847k3;;963=19821==;4=30`>462348887??5:?17f<68<16>9:51178972d28:>70<:4;331>;5=j0:<8522069553<5;:;|q165<72jq6>=:511a8976d28:h70<=0;3;`>;5:j0:l;<070?77k2798n4>0b9>602=99i01?;l:02`?846<3;;o63=65824f=z{;836=4m{<030?77l2790e9>67>=91n01?=;:02g?844k3;;h63=45824a=::=i1==j4=377>46c348>o7??d:?151<68m16>;:511f8yv4483:1mv3=05824`=::9i1==k4=313>4>c3488o7??e:?101<68l16>9m511g8973328:n70<:c;33a>;59=0:59z?141<68o16>=m511d8975?282o70<;4;33b>;5i;<020?77n279:94>0g9~w7272903w0;58j0:==5225295=b<5;>h6119>642=98:01?8;:033?xu5<10;6:u22169547<5;:h6;<07109>60e=98;01??;:032?841<3;:=6s|24294?0|5;:?68e9>60e=98801??;:031?841<3;:>6s|24:94?3|5;:?68e9>642=98901?8;:030?xu58m0;6>u221:9555<5;:m6<>:;<03a?7?l2wx>=650;1x976?282o70<>4;333>;5>=0:<:5rs32b>5<5s48;j7??4:?14d<60m1v?>i:181847n3;3h63=0d8246=z{;:26=4={<03a?`6348;57?7d:p65d=838p1?>n:027?847j3;3h6s|21a94?4|5;:j6<>:;<03g?7?l2wx>f;331>;59l0:4i5rs33b>5<5s48:j7??4:?15d<60m1v??i:181846n3;3h63=1d8246=z{;;26=4={<02a?`6348:57?7d:p64d=838p1??n:027?846j3;3h6s|20a94?4|5;;j6<>:;<02g?7?l2wx>?;50;1x974728:870<=7;331>;5:?0:4i5rs301>5<5s489;7??4:?167<60m1v?<8:181845?3;3h63=278246=z{;8:6=4={<012?`63489=7?7d:p675=838p1?<=:027?845;3;3h6s|23694?4|5;896<>:;<010?7?l2wx>?j50;1x974?28:870<=f;331>;5:l0:4i5rs30b>5<5s489j7??4:?16d<60m1v?:;<01g?7?l2wx>>;50;1x975728:870<<7;331>;5;?0:4i5rs311>5<5s488;7??4:?177<60m1v?=8:181844?3;3h63=378246=z{;9:6=4={<002?`63488=7?7d:p665=838p1?==:027?844;3;3h6s|22694?4|5;996<>:;<000?7?l2wx>>j50;1x975?28:870<;5;l0:4i5rs31b>5<5s488j7??4:?17d<60m1v?=i:181844n3;3h63=3d8246=z{;926=4={<00a?`6348857?7d:p66d=838p1?=n:027?844j3;3h6s|22a94?4|5;9j6<>:;<00g?7?l2wx>9;50;1x972728:870<;7;331>;55<5s48?;7??4:?107<60m1v?:8:181843?3;3h63=478246=z{;>:6=4={<072?`6348?=7?7d:p615=838p1?:=:027?843;3;3h6s|25694?4|5;>96<>:;<070?7?l2wx>9j50;1x972?28:870<;f;331>;55<5s48?j7??4:?10d<60m1v?:i:181843n3;3h63=4d8246=z{;>26=4={<07a?`6348?57?7d:p61d=838p1?:n:027?843j3;3h6s|25a94?4|5;>j6<>:;<07g?7?l2wx>8;50;1x973728:870<:7;331>;5=?0:4i5rs371>5<5s48>;7??4:?117<60m1v?;8:181842?3;3h63=578246=z{;?:6=4={<062?`6348>=7?7d:p605=838p1?;=:027?842;3;3h6s|24694?4|5;?96<>:;<060?7?l2wx>8j50;1x973?28:870<:f;331>;5=l0:4i5rs37b>5<5s48>j7??4:?11d<60m1v?;i:181842n3;3h63=5d8246=z{;?26=4={<06a?`6348>57?7d:p60d=838p1?;n:027?842j3;3h6s|24a94?4|5;?j6<>:;<06g?7?l2wx8i750;0x91ea28:870:ka;3;`>{t4>c34>o87??5:?7`0<68<168i85116891b028:>70;?6;331>;3nk0:<8524b09553<5=>h6<>l;<667?77k2wx8i>50;0x91bf28:870:k0;3;`>{t46334>o=7?7d:p0a4=838p19j?:026?82c:3;3h6s|4d`94?5|5=n86<>;;<6g7?77=27?io4>8e9~w1b42909w0:k3;3;`>;3l;0:<95rs5a;>5<4s4>o87??c:?7`0<68j168n6519f8yv2c<3:1>v3;d5828e9>0a4=99i0q~;?1;29b~;3l?0:<8524e5955e<5=n36<>l;<735?7?l27><84>059>0`e=99n019kk:02`?82aj3;;o63;fb824a=:46d34>h>7??c:?7g6<68m168n:511a891e228:h7p};f083>2}:46234?;:7??c:?642<68m169=6511a8906>28:h70:i1;3;`>{t4>c34>o>7??d:p15e=83;9w0:k7;33`>;3l10:;;<732?77l27><:4>0d9>15>=99n018>6:02g?82bk3;;i63;ee824a=:46b34>mh7??d:?7b`<68m168n<511f891e428:n70:l4;33`>;3k<0:5<5s4>o;7?7d:?7`7<68l1v9l?:18:82c03;;i63:09824`=:=931==k4=5gg>46b34>mh7??e:?7b`<68l168o>519f891e328:n70:l5;33a>{t4>c34>o>7??f:p643=839p1???:020?846?3;;963=1782099~w7752909w0<>7;330>;59;0:4i5rs334>5<5s48:;7?7d:?153<68:1v??>:181846>3l:70<>1;3;`>{t:891<7463348:?7?7d:p642=838p1??=:026?846<3;3h6s|29`94?4|5;2?6<><;<0;g?7?l2wx>5o50;0x97>>28:870<7a;3;`>{t:131<7=t=3::>4>c34>;=7??4:?744<68<1v?69:18184?k3;;?63=87826=4={<0;g?`6348397?7d:p6=1=838p1?69:027?84??3;3h6s|29:94?4|5;2=6<>:;<0;l?50;1x97?e28:870;5i;0:4i5rs3;`>5<5s48j?7??4:?1=f<60m1v?o<:18184f;3;3h63=a38246=z{;3o6=4={<0:g?77<2795i4>8e9~w7?b2909w0<6c;331>;51l0:4i5rs3;e>5<5s482j7?7d:?1=`<68=1v?7<:18184f83;;i63=9282049~w6172908w0=96;337>;4?;0:<85236395=b57z?023<60m16?;o51038960e28;:70=9c;325>;4>m0:=<524`3955e<5:k?6<>l;|q02c<72;q6?;k51118960a282o7p}<6d83>6}:;?o1=5j4=06b>46334;?m7??5:p731=838p1>9=:027?851?3;3h6s|36094?4|5:=96<6k;<145?77;2wx?;650;0x960028:?70=98;3;`>{t;?31<7462349=57?7d:p73g=838p1>8n:0:g?85113;;86s|37`94?4|5:{t;?n1<74>c349=57??d:p504=838p1<:9:020?872;3;3h6s|15494?d|58>=6<6k;<37f?77=27:8n4>059>51b=99n0197j:032?82>n3;:=63>dg8240=:<991==;4=526>46d34>;:7??c:?742<68j1v<;>:181873m3;;?63>5082n6=4<{<37a?7?l2785k4>059>7<`=99?0q~?;7;296~;6=:0:<>5215595=b52z?202<68=16=96519f8yv7313:1>v3>468240=:9=31=5j4}r37e?6=:r7:8l4>8e9>51?=99>0q~?;b;296~;657>52z?20f<68<16?87519f8yv73k3:1>v3>4b828e9>51?=99n0q~?;f;296~;6<7>52z?215<60m16=8?51178yv72i3:1>v3>558246=:98e9>50?=99?016<6k;|q213<72;q6=8;511689431282o7p}>5683>7}:94>c3ty:954?:3y>50>=91n01<;8:027?xu4u214;955e<5:>o6<6k;<1a3?77=2wx=8750;0x943>282o70?:7;331>{t:??1<7464348=:7?7d:p636=83>p1?8?:0:g?87bj3;;h63>f2824a=:9o>1==j4}r056?6=:r79:;4>029>634=91n0q~<91;296~;5>?0m=63=60824>8e9~w7032909w0<92;331>;5>=0:4i5rs247>5<5s49>j7??3:?020<60m1v>;i:180852n3;3h63=ed824f=::ll1==m4}r155?6=:r78:84>029>737=91n0q~=90;296~;4><0m=63<61828e9~w6042909w0=91;331>;4>:0:4i5rs25b>5<0s49=<7??4:?025<68<16?::51168961328:>70=8a;3;`>;4j?0:<9523c4955352z?036<68:16?:7519f8yv50=3:1>v3<788246=:;>?1=5j4}r140?6=:r78;44i1:?031<60m1v>99:181850=3;;863<77828e9~w0752909w0;?c;337>;29:0:4i5rs42g>5<5s4?:?7??3:?64a<60m1v8>j:181837l3;;863:0d828e9~w0772909w0;>0;3;`>;28o0:<95rs5g4>5<2s4?:=7??5:?7a2<60m168h:5116891c328:>70::a;330>{t46d34>n=7?7d:?7``<68=168ik51178yv3693:1>v3:1082029>7`5=99901>k=:0:g?xu4m:0;6>u23d195=b<5:nm6<>:;<1f4?77=2wx?il50;0x96c528:870=kb;3;`>{t;mi1<7463349oo7?7d:p7ab=838p1>jm:026?85cl3;3h6s|3eg94?4|5:nn6<6k;<1g`?77<2wx?ih50;0x96ba282o70=kd;331>{t;l:1<74>c349oh7??c:p0d2=838p197n:020?82f=3;3h6s|4`194?4|5=k;6<><;<6b7?7?l2wx8l>50;1x91g7282o70?8b;330>;6?k0:<85rs5;a>5<5s4>j97??3:?7=g<60m1v9o9:18182f=3;h>63;a7828e9~w1?c2909w0:6b;331>;31m0:4i5rs5;f>5<5s4>2i7?7d:?7=a<68=1v97i:18182>n3;3h63;9e8240=z{=k:6=4={<6b5?7?l27?m>4>059~w1g52909w0:n2;3;`>;3i:0:<85rs2d3>5<3s49nm7??3:?0a<<68:16?k<5117896`6282o7p}1}:;l31=5j4=2gf>463349nj7??4:?0`6<68=1v>km:18185a:3;;863029~w6cd2909w0=jb;330>;4mj0:4i5rs2gg>5<5s49nn7??5:?0aa<60m1v46b34;m?7??e:?2b1<68l168;<5116896b528:>70=k3;331>{t9ll1<77t=2gf>46d349nj7??c:?2ag<68o16=hh519f894`428:m70?i4;33b>;3>;0:<8523e0955e<5:n86<>l;|q0a`<72;q6?hk519f896cc28:?7p}=8e83>6}:;ll1==j4=0ga>4773483h7?7d:p7``=838p1>ki:0:g?85bl3;;96s|38;94?4|5:386<><;<1:e?7?l2wx?4:50;0x96?f28:870=64;3;`>{t;0?1<7463349297?7d:p7<0=838p1>7;:026?85>>3;3h6s|38594?4|5:3<6<6k;<1:2?77<2wx?8=50;7x96??28:>70=n1;331>;4=:0:4i521719553<58=n6<>:;|q0==<72;q6?46519f896?128:>7p}7}:;0h1===4=2c;>4>c3ty8m;4?:3y>7d5=99901>o9:0:g?xu4i:0;6>u23`195=b<58<:6<>;;<355?77=2wx?4m50;0x96g?28:870=6c;3;`>{t;0n1<74633492h7?7d:p77l:026?85>m3;3h6s|38d94?4|5:3m6<6k;<1:a?77<2wx?l>50;0x96g7282o70=6e;331>{t;h;1<74>c3492i7??c:p7d4=838p1>o=:0:g?85>m3;;h6s|3`694?4|5:k?6<6k;<1b2?77<2wx?l;50;0x96g2282o70=n6;331>{t;:k1<74643498n7?7d:p762=838p1>=m:020?854<3;3h6s|32794?4|5:9?6<>;;<101?7?l2wx?>850;0x965328:>70=<6;3;`>{t;9<1<76t=214>462349847??d:?07<<68m16?=8519f8900=99l01?hi:027?84an3;;963<42824a=z{:9<6=4={<103?7?l278?;4>059~w65?2909w0=<8;3;`>;4;?0:<85rs21:>5<5s49857?7d:?073<68j1v>?k:181856>3;;?63<1d828e9~w67?2909w0=>7;330>;4910:4i5rs23:>5<5s49:;7??5:?05<<60m1v>?n:181856i3;3h63<188241=z{:;i6=4={<12f?7?l278=44>049~w67d2909w0=>c;3;`>;4900:5<5s48jn7??3:?1f7<60m1v?l?:18184fn3;;?63=b1828e9~w7gc2909w0;5im0:4i5rs3cf>5<5s48jo7??5:?1e`<60m1v?m::18084em3;;?63=c68240=::j<1=5j4}r0ab?6=:r79o:4>059>6g`=91n0q~0:4i522b4955552z?1fc<68=16>n>519f8yv4d93:1>v3=bg8240=::j;1=5j4}r0f=?6=0r79o?4>0b9>6f2=99o01?j6:02`?84ci3;;o63=cd8240=::l81==m4=3g7>46c348n57?7d:p6f4=838p1?m=:0:g?84d93;;86s|2b194?4|5;i86<6k;<0`5?77=2wx>n:50;0x97e3282o70{t;;91<7464349987?7d:p776=838p1><;:020?85583;3h6s|33394?4|5:8;6<>;;<115?7?l2wx??<50;0x964728:>70==2;3;`>{t:mh1<7=t=3f7>464348oh7??5:?1`f<60m1v?j::18184cl3;;863=d482029~w7b12909w0;5l?0:4i5rs3f4>5<5s48o97??5:?1`2<60m1v?j7:18184c03;3h63=d68241=z{;n26=4={<0g=?7?l279h:4>049~w7bf2909w0;5l>0:5<5s49997??3:?06d<60m1v><9:181855i3;;?63<2782:4>8e9~w64?2909w0==6;331>;4:10:4i5rs212>5<5s499n7??3:?077<60m1v>i4>8e9~w64b2909w0==c;331>;4:l0:4i5rs20e>5<5s499j7?7d:?06`<68=1v>=?:18185483;3h63<2d8240=z{;n96=4={<0`4>8e9~w7b62909w0;5l80:4i5rs3a:>5<5s48o?7??3:?1g<<60m1v?mn:18184d13;;863=c`828e9~w7ed2909w0;5kk0:<95rs3ag>5<5s48hh7?7d:?1gg<68<1v?mj:18184dm3;3h63=cc824f=z{;im6=4={<0`b?7?l279oo4>0e9~w7c12908w0;5m10:<8522d595=b52z?1a=<68=16>ih519f8yv4b03:1>v3=e982059>6`6=91n0q~7>52z?1a7<60m16>h?51168yv4b;3:1>v3=e2828e9>6`7=99i0q~53z?2a=<68:16=hk5117894cc282o7p}>e883>7}:9lo1==:4=0g:>4>c3ty:ih4?:3y>5`c=91n01f483>6}:9ll1===4=0d4>46234;m:7?7d:p5c6=838p1{t9o81<746234;m>7?7d:p5c5=838p1{t=981<746434?;>7?7d:p155=838p18>=:027?837;3;3h6s|51694?4|5<:96<>:;<730?7?l2wx9=;50;0x9062282o70;?4;330>{t=9<1<74>c34?;87??5:p151=838p18>8:0:g?837<3;;o6s|51:94?4|5<:36<6k;<730?77l2wx9=750;0x906>282o70;?4;33a>{t98l1<746434;9<7?7d:p540=838p1<3;3h6s|10594?4|58;=6<>;;<323?7?l2wx=<650;0x947128:>70?>8;3;`>{t9831<74>c34;:47??4:p54g=838p150;1x947d28:?70?>c;331>;4<90:4i5rs03`>5<5s4;:o7?7d:?25=<68m1v19824`=z{8;n6=4={<32a?7?l27:=54>0g9~w66d2909w0=?6;337>;48m0:4i5rs22a>5<5s49;m7??3:?04g<60m1v>>8:181857l3;;?63<06828e9~w66>2909w0=?7;331>;4800:4i5rs22f>5<1s49;57??4:?04<<68<16?=k519f8901=99l01>><:02e?853;3;;i6s|30694?4|5::n6<><;<121?7?l2wx?=h50;0x967228:870=?f;3;`>{t;8:1<7463349:<7?7d:p747=838p1>>i:026?85693;3h6s|30094?4|5:;96<6k;<125?77<2wx?<=50;0x9674282o70=>1;331>{t;<=1<7464349>47?7d:p702=838p1>;7:020?852<3;3h6s|34794?4|5:??6<>;;<161?7?l2wx?8850;0x963328:>70=:6;3;`>{t;1>1<7464349397?7d:p7=5=838p1>6=:020?85?;3;3h6s|39094?5|5:296<6k;<34g?77<27:;n4>049~w61e2909w0=75;337>;4?k0:4i5rs25`>5<5s499k:181850j3;;963<7e82059~w61a2909w0=8f;3;`>;4?m0:<85rs2:3>5<5s493<7?7d:?03a<68j1v>6>:18185?93;3h63<7e824a=z{8>86=4<{<30049>512=91n0q~?;2;296~;6<80:<>5215095=b53z?204<60m16=;h51168940a28:>7p}>3883>7}:9=?1==:4=01:>4>c3ty:884?:3y>513=91n01<:;:020?xu6;h0;6?u212;9552<589j6<6k;|q27g<72;q6=>751178945e282o7p}>3b83>7}:9:i1=5j4=01a>4633ty9ii4?:2y>56b=99>01<=k:026?84bl3;3h6s|12f94?4|589o6<6k;<30f?77=2wx=>k50;0x945b282o70?{t9:l1<74>c34;8n7??d:p516=838p1<:?:0:g?874j3;;i6s|16694?5|58<26<><;<342?77=27:;84>8e9~w40f2909w0?86;330>;6>h0:4i5rs055>5<5s4;<:7?7d:?230<68:1v<8m:181871i3;;863>6c828e9~w40c2909w0?9d;3;`>;6>j0:<95rs04f>5<5s4;=i7?7d:?22f<68<1v<8i:181871n3;3h63>6b824f=z{8=;6=4={<344?7?l27::n4>0e9~w4162909w0?81;3;`>;6>j0:5<5s4;<>7?7d:?22f<68o1v<9<:181870;3;3h63>6b8255=z{;l26=4={<0e1?77;279jl4>8e9~w7`12909w0;5n?0:4i5rs3d4>5<5s48m:7??4:?1b2<60m1v?h7:18184a>3;;963=f982049>50e=91n01<8;:026?xu3mo0;6?u24d59555<5=l;6<6k;|q7a`<72;q68hl5111891cb282o7p};e983>7}:4>c3ty?i44?:3y>0`>=99>019k6:0:g?xu3mh0;6?u24d:9553<5=oj6<6k;|q7b2<72?q68ho5116891cf28:>70:i7;3;`>;3k80:<9524b39553<5=?j6<>:;|q7af<72;q68hm519f891cb28:?7p};ee83>7}:4623ty?jk4?:3y>0c1=999018>?:0:g?xu3n10;6?u25129555<5=l36<6k;|q7b<<72;q68k65116891`>282o7p};f`83>7}:4>c3ty?jo4?:3y>0cd=91n019hn:027?xu3nj0;6?u24ga95=b<5=lj6<>:;|q7ba<72;q68kj519f891`f28:h7p};fd83>7}:46c3ty>m7>52z?65?77;27>n7?7d:p14<72:q69<4>8e9>1=<68o16?lk51168yv352909w0;m:020?835282o7p}:3;296~;2:3;;863:3;3;`>{t==0;6?u2538240=:==0:4i5rs4794?4|54>c34??6<>:;|q63?6=:r7>;7?7d:?60?77k2wx954?:3y>1=<60m16994>0e9~w0?=838p187519f8902=99o0q~?97;296~;6=j0:<>5217:95=bh7>52z?22=<68:16=8j519f8yv72m3:1>v3>5e8241=:9049>50`=91n0q~?90;296~;6>90:4i5214d955252z?224<60m16=8h51178yv71:3:1>v3>63824>8e9>50`=99n0q~?94;296~;6>=0:4i5214d955c52z?220<60m16=8h511d8yv71>3:1>v3>67824}r3;6?6=:r7:;:4>029>5=5=91n0q~?87;290~;6?>0:4i5216d9553<5:9m6<>;;<10b?77=2wx=:650;0x94>428:870?88;3;`>{t9>31<746334;<57?7d:p52g=838p1<97:026?870i3;3h6s|16`94?4|58=i6<6k;<34e?77<2wx=:m50;0x941d282o70?8a;331>{t9>n1<74>c34;50;0x94>7282o70?8a;33b>{t91;1<74>c34;0:p5`6=838p1<;<3gb?7?l2wx=ik50;1x94bb282o70:?2;330>;38;0:<85rs0fa>5<5s4;n=7??3:?2`g<60m1vdb828e9~w7?62909w0<7d;337>;51;0:4i5rs3:f>5<5s482>7??3:?1<`<60m1v?6i:18184?m3;;863=8g828e9~w7de2908w0;5jm0:<8522ca95=b56z?1f6<60m16>o9511f897d?28:m70;5jh0:52z?1fa<68=16>o:519f8yv4el3:1>v3=be82059>6g3=91n0q~52z?1f2<60m16>o851168yv4e03:1>v3=b9828e9>6g0=99i0q~52z?1a<<68:16>k:519f8yv4a:3:1>v3=ee8246=::o81=5j4}r0fe?6=:r79j94>029>6`g=91n0q~52z?1ad<68<16>hm519f8yv4bm3:1>v3=ed828e9>6c4=99?0q~52z?1b4<60m16>k<511f8yv57<3:1>v3=fc8246=:;9?1=5j4}r0ef?6=;r79jo4>8e9>755=98:019<8:02g?xu5nj0;6?u23179555<5;lh6<6k;|q1ba<72;q6>km5116897`c282o7p}=fd83>7}::oi1==;4=3df>4>c3ty9jk4?:3y>6c`=91n01?hj:027?xu4890;6?u231295=b<5;ln6<>:;|q044<72;q6?=?519f897`b28:h7p}<0383>7}:;981=5j4=3df>46c3ty8<>4?:3y>755=91n01?hj:02f?xu4<<0;6?u232a9555<5:>=6<6k;|q001<72;q6?9>511189623282o7p}<3e83>7}:;=<1===4=21g>4>c3ty8?h4?:3y>76b=99>01>=j:0:g?xu4;o0;6?u232f9553<5:9m6<6k;|q004<72;q6?9?519f8962328:?7p}<4383>7}:;=81=5j4=267>4623ty88>4?:3y>715=91n01>:;:02`?xu4h6<6k;|q00=<72;q6?9m51118962?282o7p}<4883>7}:;=21==:4=26:>4>c3ty88l4?:3y>71>=99?01>:n:0:g?xu4=80;6?u235f9555<5:?96<6k;|q00`<72;q6?8<51118962b282o7p}<4g83>7}:;=o1==:4=26e>4>c3ty89=4?:3y>71c=99?01>;?:0:g?xu3m<0;6?u24d39555<5=o=6<6k;|q7a7<72;q68h85111891c5282o7p};e283>7}:4>c3ty?i94?:3y>0`4=99?019k;:0:g?xu3n<0;6?u24g39555<5=l=6<6k;|q7b7<72;q68k85111891`5282o7p};f283>7}:4>c3ty?j94?:3y>0c4=99?019h;:0:g?xu3lk0;6>u24g69552<5=l?6<>:;<6gf?7?l2wx8nj50;0x91e?28:870:le;3;`>{t46434>hm7?7d:p0f?=838p19mj:g3891e>282o7p};cc83>7}:4>c3ty?on4?:3y>0fg=99?019ml:0:g?xu183:1>v3:c;337>;193;3h6s|5b83>7}:=j0:4i523`g955346434?o6<6k;|q6a?6=:r7>h7??4:?6a?7?l2wx9k4?:3y>1a<68<169k4>8e9~w4c12909w0?j2;337>;6m>0:4i5rs0g0>5<5s4;n;7??3:?2a6<60m1ve5826=4={<3f7?77=27:i84>8e9~w7?>2909w0<63;337>;51h0:4i5rs3;7>5<5s482m7??3:?1=1<60m1v?7::18184><3;;863=94828e9~w7?02909w0<67;3;`>;51?0:<95rs3;;>5<5s48247?7d:?1=3<68<1v?o6:18184f=3;;?63=a`828e9~w7g02909w0;5i>0:4i5rs3c;>5<5s48j:7??5:?1e=<60m1v>;k:18185213;;?63<5d828e9~w63e2909w0=:a;330>;4=k0:4i5rs27`>5<5s49>m7??5:?01f<60m1v98<:181822n3;;?63;658250;0x910328:870:90;3;`>{t46334>==7?7d:p034=838p198?:026?821:3;3h6s|4c`94?4|5=h;6<><;<6ag?7?l2wx8oo50;0x91d328:870:ma;3;`>{t46434>i=7?7d:p0g4=838p19l>:027?82e:3;3h6s|4c194?4|5=h:6<>:;<6a7?7?l2wx?i:50;1x91d428:?70:m3;331>;4l=0:4i5rs5`6>5<5s4>i97?7d:?7fd<68=1v9l9:18182e>3;3h63;b`8240=z{=h<6=4={<6a3?7?l27?nl4>0b9~w1d?2909w0:m8;3;`>;3jh0:5<5s4>i57?7d:?7fd<68l1v9m9:18182el3;;?63;c682059>7c>=99?0q~:me;296~;3k>0:<>524cg95=bij7>52z?7f`<68=168oh519f8yv2d83:1>v3;bd8240=:8e9>0f6=99>0q~:l2;296~;3k;0:4i524b29553h?7>52z?7g6<60m168n>511a8yv2d<3:1>v3;c5828e9>0f6=99o0q~:kf;296~;3lk0:<>524d295=boo7>52z?7a5<68:168im519f8yv2cl3:1>v3;db8241=:049>0ac=91n0q~:;6;296~;3<;0:<>5245495=b??7>52z?703<68:1689=519f8yv23<3:1>v3;428241=:<=>1=5j4}r671?6=:r7?8>4>049>013=91n0q~:84;296~;3?90:<>5246695=b<=7>52z?731<68:168:?519f8yv20:3:1>v3;708241=:<>81=5j4}r647?6=:r7?;<4>049>025=91n0q~=nf;296~;4ik0:<>523`d95=b52z?0ec<68:16?lm519f8yv5fl3:1>v3049>7dc=91n0q~=i9;296~;4n<0:<>523gc95=b52z?0bd<68:16?k8519f8yv5a?3:1>v3049>7c>=91n0q~:?8;296~;4nm0:<>5241;95=b52z?74<<68:16?kk519f8yv5an3:1>v3049>056=91n0q~:?1;296~;3880:4i524129552;>7>52z?747<60m168=>51178yv27;3:1>v3;02828e9>056=99n0q~:?5;296~;38<0:4i52412955c;:7>52z?743<60m168=>511d8yv27?3:1>v3;06824}r627?6=:r7?029>042=91n0q~:>0;296~;39=0:<>5240295=b:=7>52z?755<68=168v3;118240=:<881=5j4}rg0>5<5s4nn6<><;4>c3tyoj7>52z?g`?77;27oj7?7d:pa1<72;q6hk4>029>a1<60m1vh>50;0x9`5=99901h>519f8yvc62909w0k?:027?8c6282o7p}j2;296~;b83;;963j2;3;`>{t000;6?u2848246=:000:4i5rs9494?4|5131===4=9495=b463342<6<6k;|q;8e9~w=e=838p15h511189=e=91n0q~6k:1818>d28:?706k:0:g?xu?m3:1>v37c;331>;?m3;3h6s|9483>7}:180:<>5294825<5s4396<>;;<;0>4>c3ty287>52z?:6?77=27287?7d:p=g<72;q65:4>029>=g<60m1v4650;0x92909w077:027?8?>282o7p}6a;296~;>03;;9636a;3;`>{ti80;6?u29e8246=:i80:4i5rs8g94?4|5h;1===4=8g95=b463343m6<6k;|qb4?6=:r72i7??5:?b4?7?l2wxm:4?:3y>e6<68:16m:4>8e9~wd2=838p1l9511189d2=91n0q~o::1818g328:?70o::0:g?xuf>3:1>v3n4;331>;f>3;3h6s|ae83>7}:i00:<>52ae825<5s4kj6<>;;4>c3tyjo7>52z?be?77=27jo7?7d:pf6<72;q6mk4>029>f6<60m1vo>50;0x9g5=99901o>519f8yvd62909w0l?:027?8d6282o7p}m2;296~;e83;;963m2;3;`>{tj00;6?u2b48246=:j00:4i5rsc494?4|5k31===4=c495=b46334h<6<6k;|qafg<68:16nk4>8e9~wge=838p1oh511189ge=91n0q~lk:1818dd28:?70lk:0:g?xuem3:1>v3mc;331>;em3;3h6s|c583>7}:k90:<>52c5825<5s4i:6<>;;4>c3tyh?7>52z?`5?77=27h?7?7d:pg`<72;q6ol4>029>g`<60m1vnl50;0x9fc=99901nl519f8yved2909w0mm:027?8ed282o7p}ld;296~;dj3;;963ld;3;`>{t;k21<7=t=2`1>46434<96<><;<1a=?7?l2wx?o=50;0x96d>28:870=m3;3;`>{t;k>1<7463349i87?7d:p7g3=838p1>l<:026?85e=3;3h6s|3c494?4|5:h=6<6k;<1a1?77<2wx?o950;0x96d0282o70=m5;331>{t;m<1<7=t=2af>46434im6<><;<1g3?7?l2wx?i;50;0x96b328:870=k5;3;`>{t;jl1<7464349hj7?7d:p7a6=838p1>mi:027?85c83;3h6s|3e394?4|5:im6<>:;<1g5?7?l2wx?i<50;0x96b5282o70=k1;330>{t;m91<74>c349o=7??5:p04`=838p19?8:020?826n3;3h6s|40:94?4|5=;m6<><;<629;3;`>{t<8k1<746234>:m7?7d:p04d=838p19?m:0:g?826i3;;86s|40a94?4|5=;h6<6k;<62e?77=2wx8a;33g>{t<8o1<74>c34>:m7??d:p07>=838p19<=:020?82503;3h6s|43194?4|5=836<><;<617?7?l2wx8?:50;0x914428:?70:=4;3;`>{t<;?1<746234>997?7d:p070=838p19<9:0:g?825=3;;86s|43594?4|5=8<6<6k;<611?77=2wx89j50;0x912>28:870:;d;3;`>{t<=k1<746434>?m7?7d:p01d=838p19:n:027?823j3;3h6s|45a94?4|5=>j6<>:;<67g?7?l2wx88:50;0x913728:870::4;3;`>{t<<;1<746434>>=7?7d:p004=838p19;>:027?822:3;3h6s|44194?4|5=?:6<>:;<667?7?l2wx88l50;0x913028:870::c;3;`>{t<<21<746434>>47?7d:p00?=838p19;7:027?82213;3h6s|44c94?4|5=?36<>:;<66e?7?l2wx8;j50;0x910028:870:9d;3;`>{t46434>=47?7d:p03?=838p1987:027?82113;3h6s|47c94?4|5=<36<>:;<65e?7?l2wx8;l50;0x910e282o70:9a;330>{t4>c34>=m7??5:p`f<72;q6h54>029>`f<60m1vi750;0x9ae=99901i7519f8yvbf2909w0j6:027?8bf282o7p}kb;296~;c13;;963kb;3;`>{tmk0;6?u2e68246=:mk0:4i5rsd:94?4|5lh1===4=d:95=b46334o26<6k;|qfe?6=:r7n47??5:?fe?7?l2wvb9<68;295~{i<;326=4>{|l764}zf=82o7>51zm07?c290:wp`;28g94?7|ug>95k4?:0y~j14f83:1=vsa43c2>5<6std?>l<50;3xyk25i:0;6{|l76dg=83;pqc:=ac83>4}zf=8jo7>51zm07gc290:wp`;2`g94?7|ug>9mk4?:0y~j14e83:1=vsa43`2>5<6std?>o<50;3xyk25j:0;6{|l76gg=83;pqc:=bc83>4}zf=8io7>51zm07dc290:wp`;2cg94?7|ug>9nk4?:0y~j14d83:1=vsa43a2>5<6std?>n<50;3xyk25k:0;6{|l76fg=83;pqc:=cc83>4}zf=8ho7>51zm07ec290:wp`;2bg94?7|ug>9ok4?:0y~j14c83:1=vsa43f2>5<6std?>i<50;3xyk25l:0;6{|l76ag=83;pqc:=dc83>4}zf=8oo7>51zm07bc290:wp`;2eg94?7|ug>9hk4?:0y~j14b83:1=vsa43g2>5<6std?>h<50;3xyk25m:0;6{|l76`g=83;pqc:=ec83>4}zf=8no7>51zm07cc290:wp`;2dg94?7|ug>9ik4?:0y~j14a83:1=vsa43d2>5<6std?>k<50;3xyk25n:0;6{|l76cg=83;pqc:=fc83>4}zf=8mo7>51zm07`c290:wp`;2gg94?7|ug>9jk4?:0y~j15783:1=vsa4222>5<6std??=<50;3xyk248:0;6>::182xh3;9<1<7?t}o6042<728qvb9=?8;295~{i<::26=4>{|l775g=83;pqc:<0c83>4}zf=9;o7>51zm066c290:wp`;31g94?7|ug>85<6std??<<50;3xyk249:0;6?::182xh3;8<1<7?t}o6052<728qvb9=>8;295~{i<:;26=4>{|l774g=83;pqc:<1c83>4}zf=9:o7>51zm067c290:wp`;30g94?7|ug>8=k4?:0y~j15583:1=vsa4202>5<6std???<50;3xyk24::0;6<::182xh3;;<1<7?t}o6062<728qvb9==8;295~{i<:826=4>{|l777g=83;pqc:<2c83>4}zf=99o7>51zm064c290:wp`;33g94?7|ug>8>k4?:0y~j15483:1=vsa4212>5<6std??><50;3xyk24;:0;6=::182xh3;:<1<7?t}o6072<728qvb9=<8;295~{i<:926=4>{|l776g=83;pqc:<3c83>4}zf=98o7>51zm065c290:wp`;32g94?7|ug>8?k4?:0y~j15383:1=vsa4262>5<6std??9<50;3xyk24<:0;6:::182xh3;=<1<7?t}o6002<728qvb9=;8;295~{i<:>26=4>{|l771g=83;pqc:<4c83>4}zf=9?o7>51zm062c290:wp`;35g94?7|ug>88k4?:0y~j15283:1=vsa4272>5<6std??8<50;3xyk24=:0;6;::182xh3;<<1<7?t}o6012<728qvb9=:8;295~{i<:?26=4>{|l770g=83;pqc:<5c83>4}zf=9>o7>51zm063c290:wp`;34g94?7|ug>89k4?:0y~j15183:1=vsa4242>5<6std??;<50;3xyk24>:0;68::182xh3;?<1<7?t}o6022<728qvb9=98;295~{i<:<26=4>{|l773g=83;pqc:<6c83>4}zf=9=o7>51zm060c290:wp`;37g94?7|ug>8:k4?:0y~j15083:1=vsa4252>5<6std??:<50;3xyk24?:0;69::182xh3;><1<7?t}o6032<728qvb9=88;295~{i<:=26=4>{|l772g=83;pqc:<7c83>4}zf=951zm061c290:wp`;36g94?7|ug>8;k4?:0y~j15?83:1=vsa42:2>5<6std??5<50;3xyk240:0;66::182xh3;1<1<7?t}o60<2<728qvb9=78;295~{i<:226=4>{|l77=g=83;pqc:<8c83>4}zf=93o7>51zm06>c290:wp`;39g94?7|ug>84k4?:0y~j15>83:1=vsa42;2>5<6std??4<50;3xyk241:0;67::182xh3;0<1<7?t}o60=2<728qvb9=68;295~{i<:326=4>{|l774}zf=92o7>51zm06?c290:wp`;38g94?7|ug>85k4?:0y~j15f83:1=vsa42c2>5<6std??l<50;3xyk24i:0;6o::182xh3;h<1<7?t}o60e2<728qvb9=n8;295~{i<:k26=4>{|l77dg=83;pqc:4}zf=9jo7>51zm06gc290:wp`;3`g94?7|ug>8mk4?:0y~j15e83:1=vsa42`2>5<6std??o<50;3xyk24j:0;6l::182xh3;k<1<7?t}o60f2<728qvb9=m8;295~{i<:h26=4>{|l77gg=83;pqc:4}zf=9io7>51zm06dc290:wp`;3cg94?7|ug>8nk4?:0y~j15d83:1=vsa42a2>5<6std??n<50;3xyk24k:0;6m::182xh3;j<1<7?t}o60g2<728qvb9=l8;295~{i<:i26=4>{|l77fg=83;pqc:4}zf=9ho7>51zm06ec290:wp`;3bg94?7|ug>8ok4?:0y~j15c83:1=vsa42f2>5<6std??i<50;3xyk24l:0;6j::182xh3;m<1<7?t}o60`2<728qvb9=k8;295~{i<:n26=4>{|l77ag=83;pqc:4}zf=9oo7>51zm06bc290:wp`;3eg94?7|ug>8hk4?:0y~j15b83:1=vsa42g2>5<6std??h<50;3xyk24m:0;6k::182xh3;l<1<7?t}o60a2<728qvb9=j8;295~{i<:o26=4>{|l77`g=83;pqc:4}zf=9no7>51zm06cc290:wp`;3dg94?7|ug>8ik4?:0y~j15a83:1=vsa42d2>5<6std??k<50;3xyk24n:0;6h::182xh3;o<1<7?t}o60b2<728qvb9=i8;295~{i<:l26=4>{|l77cg=83;pqc:4}zf=9mo7>51zm06`c290:wp`;3gg94?7|ug>8jk4?:0y~j12783:1=vsa4522>5<6std?8=<50;3xyk238:0;6::182xh3<9<1<7?t}o6742<728qvb9:?8;295~{i<=:26=4>{|l705g=83;pqc:;0c83>4}zf=>;o7>51zm016c290:wp`;41g94?7|ug>?5<6std?8<<50;3xyk239:0;68;295~{i<=;26=4>{|l704g=83;pqc:;1c83>4}zf=>:o7>51zm017c290:wp`;40g94?7|ug>?=k4?:0y~j12583:1=vsa4502>5<6std?8?<50;3xyk23::0;6{|l707g=83;pqc:;2c83>4}zf=>9o7>51zm014c290:wp`;43g94?7|ug>?>k4?:0y~j12483:1=vsa4512>5<6std?8><50;3xyk23;:0;6{|l706g=83;pqc:;3c83>4}zf=>8o7>51zm015c290:wp`;42g94?7|ug>??k4?:0y~j12383:1=vsa4562>5<6std?89<50;3xyk23<:0;626=4>{|l701g=83;pqc:;4c83>4}zf=>?o7>51zm012c290:wp`;45g94?7|ug>?8k4?:0y~j12283:1=vsa4572>5<6std?88<50;3xyk23=:0;6{|l700g=83;pqc:;5c83>4}zf=>>o7>51zm013c290:wp`;44g94?7|ug>?9k4?:0y~j12183:1=vsa4542>5<6std?8;<50;3xyk23>:0;6{|l703g=83;pqc:;6c83>4}zf=>=o7>51zm010c290:wp`;47g94?7|ug>?:k4?:0y~j12083:1=vsa4552>5<6std?8:<50;3xyk23?:0;6<1<7?t}o6732<728qvb9:88;295~{i<==26=4>{|l702g=83;pqc:;7c83>4}zf=>51zm011c290:wp`;46g94?7|ug>?;k4?:0y~j12?83:1=vsa45:2>5<6std?85<50;3xyk230:0;6{|l70=g=83;pqc:;8c83>4}zf=>3o7>51zm01>c290:wp`;49g94?7|ug>?4k4?:0y~j12>83:1=vsa45;2>5<6std?84<50;3xyk231:0;6{|l704}zf=>2o7>51zm01?c290:wp`;48g94?7|ug>?5k4?:0y~j12f83:1=vsa45c2>5<6std?8l<50;3xyk23i:0;6{|l70dg=83;pqc:;ac83>4}zf=>jo7>51zm01gc290:wp`;4`g94?7|ug>?mk4?:0y~j12e83:1=vsa45`2>5<6std?8o<50;3xyk23j:0;6{|l70gg=83;pqc:;bc83>4}zf=>io7>51zm01dc290:wp`;4cg94?7|ug>?nk4?:0y~j12d83:1=vsa45a2>5<6std?8n<50;3xyk23k:0;6{|l70fg=83;pqc:;cc83>4}zf=>ho7>51zm01ec290:wp`;4bg94?7|ug>?ok4?:0y~j12c83:1=vsa45f2>5<6std?8i<50;3xyk23l:0;6{|l70ag=83;pqc:;dc83>4}zf=>oo7>51zm01bc290:wp`;4eg94?7|ug>?hk4?:0y~j12b83:1=vsa45g2>5<6std?8h<50;3xyk23m:0;6{|l70`g=83;pqc:;ec83>4}zf=>no7>51zm01cc290:wp`;4dg94?7|ug>?ik4?:0y~j12a83:1=vsa45d2>5<6std?8k<50;3xyk23n:0;6{|l70cg=83;pqc:;fc83>4}zf=>mo7>51zm01`c290:wp`;4gg94?7|ug>?jk4?:0y~j13783:1=vsa4422>5<6std?9=<50;3xyk228:0;6::182xh3=9<1<7?t}o6642<728qvb9;?8;295~{i<<:26=4>{|l715g=83;pqc::0c83>4}zf=?;o7>51zm006c290:wp`;51g94?7|ug>>5<6std?9<<50;3xyk229:0;68;295~{i<<;26=4>{|l714g=83;pqc::1c83>4}zf=?:o7>51zm007c290:wp`;50g94?7|ug>>=k4?:0y~j13583:1=vsa4402>5<6std?9?<50;3xyk22::0;6{|l717g=83;pqc::2c83>4}zf=?9o7>51zm004c290:wp`;53g94?7|ug>>>k4?:0y~j13483:1=vsa4412>5<6std?9><50;3xyk22;:0;6{|l716g=83;pqc::3c83>4}zf=?8o7>51zm005c290:wp`;52g94?7|ug>>?k4?:0y~j13383:1=vsa4462>5<6std?99<50;3xyk22<:0;626=4>{|l711g=83;pqc::4c83>4}zf=??o7>51zm002c290:wp`;55g94?7|ug>>8k4?:0y~j13283:1=vsa4472>5<6std?98<50;3xyk22=:0;6{|l710g=83;pqc::5c83>4}zf=?>o7>51zm003c290:wp`;54g94?7|ug>>9k4?:0y~j13183:1=vsa4442>5<6std?9;<50;3xyk22>:0;6{|l713g=83;pqc::6c83>4}zf=?=o7>51zm000c290:wp`;57g94?7|ug>>:k4?:0y~j13083:1=vsa4452>5<6std?9:<50;3xyk22?:0;6<1<7?t}o6632<728qvb9;88;295~{i<<=26=4>{|l712g=83;pqc::7c83>4}zf=?51zm001c290:wp`;56g94?7|ug>>;k4?:0y~j13?83:1=vsa44:2>5<6std?95<50;3xyk220:0;6{|l71=g=83;pqc::8c83>4}zf=?3o7>51zm00>c290:wp`;59g94?7|ug>>4k4?:0y~j13>83:1=vsa44;2>5<6std?94<50;3xyk221:0;6{|l714}zf=?2o7>51zm00?c290:wp`;58g94?7|ug>>5k4?:0y~j13f83:1=vsa44c2>5<6std?9l<50;3xyk22i:0;6{|l71dg=83;pqc::ac83>4}zf=?jo7>51zm00gc290:wp`;5`g94?7|ug>>mk4?:0y~j13e83:1=vsa44`2>5<6std?9o<50;3xyk22j:0;6{|l71gg=83;pqc::bc83>4}zf=?io7>51zm00dc290:wp`;5cg94?7|ug>>nk4?:0y~j13d83:1=vsa44a2>5<6std?9n<50;3xyk22k:0;6{|l71fg=83;pqc::cc83>4}zf=?ho7>51zm00ec290:wp`;5bg94?7|ug>>ok4?:0y~j13c83:1=vsa44f2>5<6std?9i<50;3xyk22l:0;6{|l71ag=83;pqc::dc83>4}zf=?oo7>51zm00bc290:wp`;5eg94?7|ug>>hk4?:0y~j13b83:1=vsa44g2>5<6std?9h<50;3xyk22m:0;6{|l71`g=83;pqc::ec83>4}zf=?no7>51zm00cc290:wp`;5dg94?7|ug>>ik4?:0y~j13a83:1=vsa44d2>5<6std?9k<50;3xyk22n:0;6{|l71cg=83;pqc::fc83>4}zf=?mo7>51zm00`c290:wp`;5gg94?7|ug>>jk4?:0y~j10783:1=vsa4722>5<6std?:=<50;3xyk218:0;6::182xh3>9<1<7?t}o6542<728qvb98?8;295~{i{|l725g=83;pqc:90c83>4}zf=<;o7>51zm036c290:wp`;61g94?7|ug>=5<6std?:<<50;3xyk219:0;68<1<7?t}o6552<728qvb98>8;295~{i{|l724g=83;pqc:91c83>4}zf=<:o7>51zm037c290:wp`;60g94?7|ug>==k4?:0y~j10583:1=vsa4702>5<6std?:?<50;3xyk21::0;6;<1<7?t}o6562<728qvb98=8;295~{i{|l727g=83;pqc:92c83>4}zf=<9o7>51zm034c290:wp`;63g94?7|ug>=>k4?:0y~j10483:1=vsa4712>5<6std?:><50;3xyk21;:0;6:<1<7?t}o6572<728qvb98<8;295~{i{|l726g=83;pqc:93c83>4}zf=<8o7>51zm035c290:wp`;62g94?7|ug>=?k4?:0y~j10383:1=vsa4762>5<6std?:9<50;3xyk21<:0;6=<1<7?t}o6502<728qvb98;8;295~{i26=4>{|l721g=83;pqc:94c83>4}zf=51zm032c290:wp`;65g94?7|ug>=8k4?:0y~j10283:1=vsa4772>5<6std?:8<50;3xyk21=:0;6<<1<7?t}o6512<728qvb98:8;295~{i{|l720g=83;pqc:95c83>4}zf=<>o7>51zm033c290:wp`;64g94?7|ug>=9k4?:0y~j10183:1=vsa4742>5<6std?:;<50;3xyk21>:0;6?<1<7?t}o6522<728qvb9898;295~{i{|l723g=83;pqc:96c83>4}zf=<=o7>51zm030c290:wp`;67g94?7|ug>=:k4?:0y~j10083:1=vsa4752>5<6stwvqMNL{5c7g?>f8hn:=ksO@Cy3yEFWstJK \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngc b/cpld/XC95144XL/WarpSE.ngc index 50c09c9..37abb90 100644 --- a/cpld/XC95144XL/WarpSE.ngc +++ b/cpld/XC95144XL/WarpSE.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$57:4=79;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?0167?DJB9j1J@H?P_np3457c3HFN=RQ`r123542GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5=2HJOY|9;CGQVw`>3KOY^hPN1c8F@TUzoUE<6L;8G40JW@H^J;5L21OFJg=D:9GNBRAMUG48G73JMGh0O?;BEO]LFP@43J2F46M7M^KAQC4EI\01HC@CFTUGG3>EUMH^NH?5LS79@h`gu9o1H`ho}1^]bja6789;0H:5KT@AHAK`<>4DUC@O@HXWfx;<=><119GPDELMGUTc>?01624>BSIJANBRQ`r12340773M^JOFKA_^mq4567>8:0HYOLKDL\[jt789:<;6J[ABIqbc=C\HI@~kQaou2344773M^JOF|i_omw45669>1Omyo30?58@drf484=7IaztNGg?Air|FOTc>?01g8@jssGLUd~=>?00g8@jssGLUd~=>?03g8@jssGLUd~=>?02g8@jssGLUd~=>?05g8@jssGLUd~=>?04g8@jssGLUd~=>?07g8@jssGLUd~=>?06g8@jssGLUd~=>?0938A0=BNhfg:6KIamn22>CAief996K\<1<6?@U;97?0I^2=>49FW959=2OX090;;DQ\4a=B[V:TSl`k0123a>CTW9UTmcj?0122a>CTW9UTmcj?0121a>CTW9UTmcj?0120a>CTW9UTmcj?0127a>CTW9UTmcj?0126a>CTW9UTmcj?01250>CTW8n0I^Q>_^cm`5678l1N_R?P_`lg45679l1N_R?P_`lg4567:=1N_RCTW:UTmcj?012f?@UX;VUjbi>?013f?@UX;VUjbi>?0107?@UXPMymq[Wct}e~7?3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1:1189FWZKflmUTmij?012\[dhc89:;=l5JS^Ob`aYXimn;<=>P_`lg45679830I^QBaef\[dbc89:;SRoad12354g?103:?@UXEhnoSRokd1234ZYffm:;?0^]bja678;;o7H]PQ^3\kw6789o0I^Q^_0]lv56788o0I^Q^_0]lv5678;o0I^Q^_0]lv5678:o0I^QPaef3456;97o0I^QPaef3456;:7o0I^QPaef3456;;7o0I^QPaef3456;<7k0I^Qnne2345d?013`?@UXign;<=>>0b9FWZgil9:;<o5JS^cm`5678;h0I^Qnne23455e3LYTmcj?0127f>CTWhdo<=>?5c9FWZgil9:;<;l4ER]bja6789=i7H]Paof3456?j2OXSl`k0123=0=B\HI@h6K[ABI\ekb789:n7HZNCJ]bja6789;n7HZNCJ]bja67898n7HZNCJ]bja67899n7HZNCJ]bja6789>n7HZNCJ]bja6789?n7HZNCJ]bja6789<=7HQFBTD1?@t43Lx996K}<1<6?@t;97>0IQ?4:Gq[42?5F339J07=N=;1B:?5F759JEFU23@KH_<;4I@AP60=NIJY886GMUG;8MKOS[]K_I:5FNHVPPF>e:KMMQUXNZGTJKj>109JJLRTWOYFSKHk1,Km57=NF@^XSK]B_GDg5(Oi9880ECG[S^DPIZ@Al8'Bb?k4IOKWWZ@TEVLMh??>;HLJPVYA[DUMJi<"Io31?LHN\ZUM_@QIFe0.Mk76:2CEEY]PFRO\BCb5%@d9=?5FNHVP[CUJWOLo> Ga3008MKOS[VLXARHId3/Jj1753@DBX^QISL]EBa4*Ag?n7D@FTR]EWHYH}}9m7D@FTR]EWHYH}}9:j6GAIUQ\BVKXG|~8><>4IOKWWZejxVoz<=><3:KMR7=NG<1BCLM[d:KLEFRXe|r;<=>j;HMBGQYj}q:;<=?i;HMBGQYj}q:;<=??f:KLEFRXe|r;<=>>1g9JKDESWds<=>?13d8MJGD\Vg~t=>?001e?LIFK]Ufyu>?0137b>OHIJ^Taxv?01221c=NGHI_S`{w012353`b3@EJOYQbuy2345?13@EJOY|;;HMAI1=NGKYj7DAMS^Pfeae3@EI_R\jae{2f>OHJZUYiljv2c9JKGUXZlkou>l4IN@P[Wcflp>i7DAMS^Pfea2j2CDN^Q]e`fz2g=NGKYT^hoky648MJDRNL>0EBM\5:KLGV713@EH_<>9;HM@W4713@EH_<<9;HM@W4523@EH_?;4INAP70=NGJY?96G@CR76?LID[??0EBM\749JKFU?=2CDO^77;HMGPDELz8:0EBJ[ABIq[kis89::=<5FOEVBGNtXff~;<=?>4:KLH5eOHD9Ufyu>?013g?LIK8Vg~t=>?03f8MJJ7Wds<=>?3e9JKI6Xe|r;<=>;d:KLH5Yj}q:;<=;;;HMO5f=NGE;Tbbz?013g?LIK9Vddx=>?1078MJJC[?1BC]YLS69JKUQD[8=0EB^XCR04?LIW_JY8;6G@PVAP00=NG[OZh6G@RDS\ip~789:n7DA]EP]nq}6789;m7DA]EP]nq}6789;;i6G@RDS\ip~789:9i6G@RDS\ip~789:8i6G@RDS\ip~789:?i6G@RDS\ip~789:>i6G@RDS\ip~789:=i6G@RDS\ip~789:OHZL[ySC>n;HMQATtXF9;>7DA]W1f8MJTP8Vg~t=>?0d9JKWQ7Wds<=>?1d9JKWQ7Wds<=>?2d9JKWQ7Wds<=>?3d9JKWQ7Wds<=>?4e9JKWQ7Wge<=>>e:KLVR6Xff~;<=?>e:KLVR6Xff~;<=?=e:KLVR6Xff~;<=?OH[VLXARHId0/Jj46??;HMP[CUJWOLo= Ga4b9JKVYA[DUMJi Ga119JKVYA[DUMJi<"Io325>OH[VLXARHId3/Jj46692CD_RH\M^DE`7+Nf8;:=6G@S^DPIZ@Al;'Bb<<>0:KLWZ@TEVLMh?#Fn333?LITWOYFSKHk2,Km746 Ga7028MJUXNZGTJKj=-Hl;55=NGZUM_@QIFe0.Mk?d3@EXSK]B_GDg7c=NGZUM_@QIFe1.Mk773@EXSK]B_GDg7(Oi98:0EB]PFRO\BCb4%@d9==5FOR]EWHYANm9&Ec=>0:KLWZ@TEVLMh>#Fn533?LITWOYFSKHk3,Km146OH]9Ufyu>?010g?LIR8Vg~t=>?02f8MJS7Wds<=>?4e9JKP6Xe|r;<=>:4:KLQ4eOH]8Uecy>?0036?LIRLZ>0EBYJe:IFAUYOALXJ^NFV7:NLCLEFDh1G\@QWOS0\5<=K\`gn~1>1a:NWmhcu48:5m6B[ilgq8479i2F_e`k}<00==>JSadoy0<06;MVji`t;:730@Ygbes>0:<=K\`gn~1:19:NWmhcu4<427AZfmdp?2;?89OPlkbz52556B[ilgq8<8?3E^bah|[C018HQojm{^HSnc_ds34566<2F_e`k}TB]`iuYby9:;<?01620>JSadoyXNQlmq]fu5678<20@Ygbes]3<>JSadoyS<74LUknawY6801GXdcjr^32=>JSadoyS<<7;MVji`tX:11GXdcjr^1;?IRnelxT855CThofvZ3?3E^bah|P699OPlkbzV=37AZfmdp\<==K\`gn~R7=4:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>24;433E^bah|PM`fg[Zgcl9:;?0^O{kwYUmzgx1<1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?7;443E^bah|PM`fg[Zgcl9:;5>>5CThofvZKflmUTmij?012\I}iuW[oxyaz35?00?IRnelxTAljk_^cg`5678VGscQ]erwop909::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7;3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=:=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;178=7AZfmdp\IdbcWVkoh=>?0^PfwpjsW9Uecy>?0132`>JSadoyS@okd^]b`a6789UTmcj?0122a>JSadoyS@okd^]b`a6789UTmcj?01225a=K\`gn~RCnde]\eab789:TSl`k01225`=K\`gn~RCnde]\eab789:TSl`k012254bP_`lg45629l1GXdcjr^Ob`aYXimn;<=>P_`lg456298n0@Ygbes]NeabXWhno<=>?_^cm`567>8o0@Ygbes]NeabXWhno<=>?_^cm`567>8;o7AZfmdp\IdbcWVkoh=>?0^]bja678>;n7AZfmdp\IdbcWVkoh=>?0^]bja678>;:h6B[ilgq[HgclVUjhi>?01]\ekb7892:i6B[ilgq[HgclVUjhi>?01]\ekb7892:=i5CThofvZKflmUTmij?012\[dhc89:2=h5CThofvZKflmUTmij?012\[dhc89:2=?<1<27>JSadoySRokd12349776890@Ygbes]\eab789:7=<0>3:NWmhcuWVkoh=>?0=31:442:NWmhcuWVkoh=>?0=1=57=K\`gn~RQnde2345:36880@Ygbes]\eab789:793?=;MVji`tXWhno<=>?<7<26>JSadoySRokd12349199;1GXdcjr^]b`a6789632<<4LUknawYXimn;<=>39?78Hjgc9l1Gclj>_^cm`5678;1E<>5A0058J@RPG[A:7B:4OCWE1>IE]OO>7BJLSsf8KAETzVkeh=>?0d9L@FUuWhdo<=>?1d9L@FUuWhdo<=>?2d9L@FUuWhdo<=>?3d9L@FUuWhdo<=>?4d9L@FUuWhdo<=>?5d9L@FUuWhdo<=>?629LV753:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ<0^LCLS048VDKD[;<0^LCLS278VDKBFm1YM@KA_lw{4567m2XJAH@Pmtz34566n2XJAH@Pmtz345668o1YM@KA_lw{456798l0^LCJN^ov|567888m7_OBEO]nq}6789;8j6\NMDL\ip~789::8k5]ALGM[hs89:;=8h4R@OFJZkrp9:;<<8i;SCNAKYj}q:;<=?8f:PBI@HXe|r;<=>>8g9QEHCIWds<=>?18g8VDKBFVg~t=>?03d8VDKBFVg~t=>?032e?WGJMGUfyu>?0102b>TFELDTaxv?01216c=UIDOES`{w012366`l1YM@KA_lw{4567;l1YM@KA_lw{4567l1YM@KA_lw{4567?l1YM@KA_lw{45670l1YM@KA_lw{4567111YM@\jae{24>TFE[ojhtQnne2345763[KF^hoky^cm`56788;;7_OBRdcg}Zkrp9:;<?1008VDKUmhnrS`{w012355753[KF^hoky^ov|56788;:>6\NMSgb`|Yj}q:;<=?=109QEHTbimsTaxv?012154=UIDXnmiwPmtz34564981YM@\jae{\ip~789:?=<5]ALPfeaXe|r;<=>:109QEHTbimsTaxv?012554=UIDXnmiwPmtz34560981YM@\jae{\ip~789:3=<5]ALPfeaXe|r;<=>69:PBIZTbimsj7_OB_Sgb`|7f3[KFS_kndx06?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`l1YM^KC_lw{4567?l1YM^KC_lw{45670l1YM^KC_lw{4567101YMR>POCWEg>TFW9Ufyu>?01f8VDY7Wds<=>?1e9QEZ6Xe|r;<=>=d:PB[5Yj}q:;<==k;SC\4Zkrp9:;<9o4R@]24ZIE]Ok0^LQ>1^MAQC?k;SC\5Zkrp9:;<i5]A^3\ip~789:8h6\N_0]nq}6789>27_OP2^MAQCeTFW;Ufyu>?013g?WGX:Vg~t=>?03f8VDY5Wds<=>?3e9QEZ4Xe|r;<=>;9:PB[6YHJ\Lh7_OP3^ov|5678m1YMR=Pmtz34566l2XJS>Qbuy23454c3[KT?Rczx12346bTCO[Ic:PB[1Yj}q:;<=j4R@]7[hs89:;=i5]A^6\ip~789:9h6\N_5]nq}67899o7_OP4^ov|5678=30^LQ:_N@VBf=UIV?Taxv?012g?WGX=Vg~t=>?00f8VDY2Wds<=>?2e9QEZ3Xe|r;<=>TFW>UDNXHl;SC\3Zkrp9:;TFW1Ufyu>?01f8VDY?Wds<=>?1e9QEZ>Xe|r;<=>=d:PB[=Yj}q:;<==k;SC\TFW0Ufyu>?010g?WGX1Vg~t=>?02f8VDY>Wds<=>?449QAVtal2XN_hPnnv3457b3[OX~kQaou2344723[EFO^84RNO@W40-Hle?WUXNZGTJKj>-Hl255=U[VLXARHId0/Jj46682XXSK]B_GDg5(Oi98;;7_]PFRO\BCb6%@d:><>4RR]EWHYANm;&Ec?-Hl6b>TTWOYFSKHk1,Km2c=U[VLXARHId0/Jj2`a3[YTJ^CPFGf2)Lh>j2XXSK]B_GDg6`=U[VLXARHId3/Jjc=U[VLXARHId3/Jj4773[YTJ^CPFGf1)Lh688:0^^QISL]EBa4*Ag;:==5]S^DPIZ@Al;'Bb<<>0:PP[CUJWOLo> Ga1233?WUXNZGTJKj=-Hl20468028VVYA[DUMJi<"Io3:b>TTWOYFSKHk2,Km6c=U[VLXARHId3/Jj6`o1Y_RH\M^DE`7+Nf>l0^^QISL]EBa4*Ag2m7_]PFRO\BCb5%@d2n6\\_GQN[C@c;l1Y_RH\M^DE`6+Nfo1Y_RH\M^DE`6+Nf8l0^^QISL]EBa5*Ag8m7_]PFRO\BCb4%@d8j6\\_GQN[C@c;$Ce8k5]S^DPIZ@Al:'Bb8h4RR]EWHYANm9&Ec8i;SQ\BVKXNOn8!D`8f:PP[CUJWOLo? Ga8g9QWZ@TEVLMh>#Fn8`8VVYA[DUDyy6l;SQ\BVKXG|~3=n5]S^DPIZIr|18=7_kndx24?Wcflp:yj6\jae{3vZYhz9:;<<>4Rdcg}5tXWfx;<=>>119Qadb~8{UTc>?0105?Wcflp;<7_kndx3qb>Tbims:~RQ`r1234464RddGkkcXWfx;<=>>119QacBhflUTc>?01024>TbnMeeiRQ`r12346773[omHb`j_^mq45674RddEvjkU[9Dnty?>119Qac@ugdXXTbnOxda_]?Ndzw56773[omJabRR2Ma}r6e:PfbCthe[Y;\k=e:PfbCthe[Y;\k2Xnj_k~8:PfbWcvAgk0^hh]epQzjfc2XnjX|h8:PfbPt`Ago0^hhZrf]bja6789l0^hhZrf]bja6789;m7_kiUse\ekb789:9m6\jgTpdW|hd>2Xnxb{6:QLQWEB=2Y\0<0:;RU?6;1<[^686<0:;RU?7;2<[ldo6]jnu]\kw6789n0_h`{_^mq45679l1XiczP_np345668m1XiczP_np34565l2YnbyQPos23455c3ZoexRQ`r12341b<[ldSRa}01231a=Tmg~TSb|?0125`>Ubf}UTc>?015g?Vci|VUd~=>?09f8W`hsWVey<=>?9`9PjaTFEJY\^95[RTGa?QUXNZGTJKj>e:VP[CUJWOLo= Gaf:VP[CUJWOLo= Ga1g9WWZ@TEVLMh<#Fn3`8PVYA[DUMJi119WWZ@TEVLMh?#Fn02e?QUXNZGTJKj=-Hl1b>RTWOYFSKHk2,Km7c=S[VLXARHId3/Jj1`<\ZUM_@QIFe0.Mk3a3]YTJ^CPFGf1)Lh1n2^XSK]B_GDg6(Oi?o1__RH\M^DE`7+Nf1l0X^QISL]EBa4*Ag3i7Y]PFRO\Kpr7k2^XSK]B_Nww44e<\ZUM_@Q@uu210>R^XL20Xdcjr=2=<>Rnelx7=364Thofv94902^bah|33?58Plkbz]I:>6ZfmdpWGZejxVoz<=>?129Wmhcu\JUha}Qjq12344743]cfiZL_bos[`w789:9=>5[ilgqPFYdeyUn}=>?0230?Qojm{^HSnc_ds345639:1_e`k}TB]`iuYby9:;<894ThofvZ6682^bah|P0^]bja6789;:7Ygbes]3[Zgil9:;<;UknawY7WVkeh=>?0332?Qojm{U;SRoad123461<\`gn~R?>0:Vji`tX9VUjbi>?0132?Qojm{U:SRoad12344763]cfiQ>_^cm`5678;=0Xdcjr^024>RnelxT>RQnne2345763]cfiQ=_^cm`56788;:7Ygbes]1[Zgil9:;;UknawY4WVkeh=>?0301?Qojm{UFmijP_`fg4567WDrd~R\jstnw8485:2^bah|PM`fg[Zgcl9:;?5[ilgq[HgclVUjhi>?01]N|jtXZly~`y2<>0a8PlkbzVGjhiQPaef3456XWhdo<=>?1e9WmhcuWDkohRQnde2345YXign;<=>>1b9WmhcuWDkohRQnde2345YXign;<=?>d:Vji`tXEhnoSRokd1234ZYffm:;<1:Vji`tXWhno<=>?<0<25>RnelxTSljk0123878692^bah|P_`fg45674:4o7Ygbes]bja6789o0Xdcjr^cm`56788i0Y^K]_WKPMGJB;2\HO45YIDU\P\VB;2\[Mn5YP@]\ekb789:o7[^N_^cm`56788h0Z]OP_np3456d3_ZJSRa}01235f=QXHUTc>?010`?SVFWVey<=>?3b9UTDYXg{:;<=:l;WRB[Ziu89:;995YP@p`?SVFzVddx=>?1e9UTDtXff~;<=?>6:UbvuUB9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON<2RD^?84XRVOMG12RonRGk119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk05lnu08gv5k;hmaiZhh|9:;<<:4in`p=>hFLf@H>j;oCGkprKM9UDNXH>0:lB@jssDL:Tc>?0132?kGCg|~GI=Q`r12344763gKOcxzCE1]lv5678;;:7cOKotvOA5Yhz9:;<>74n@FlqqJB9h1eMIaztMG25`=iIMe~xAK>_N@VB6=iIZ=0bL]PFR@`?kGTWOYISDLZF69mEVYNGKn0bL]PIN@\KGSAMh1eM^QFOCmvp3=iIZe~xh5aARmvpZhh|9:;=k5aARmvpZhh|9:;=>469mEjssGL20bLaztNG2g>hFg|~DIRAMUG78jGCUZ01eNH\]_GQAe>hEM[XTJ^L>a:lAAWTXNZH9i6`MESP\BVDXGK_M56`MESP\MJDb3gHN^_QFOC]JFP@03gHLSDAM7:lAVZOHJj1eN_QFOC]LFP@a3gHYSDAM_lw{4567991eN_QFOC]nq}6789;:<6`MR^KLFZkrp9:;?3028jGTXAFHTaxv?012755=iJ[UBCOQbuy23453682dI^RG@B^ov|5678?;;7cL]_HMA[hs89:;;95aC@Qg?kEF[VIggRGAV058jFGTWG:27cMNS^MAQCehDIZUecy>?0035?kBSIJAj7cJ[ABI\BVDa3gN_MNEPFR@\KGSAi2dOXLMD_HMAb>hC\HI@SDAM_H@VB`=iL]KHGRQ`r1234c=iL]KHGRQ`r12344`l5aIQN1[LDRN?1eE]B=r59mHAU?3gFO_RH\Be9mHAUXNZHTEO[I8:lO@VYNGKo0bAJ\_HMA[JDRNLh0bAJ\_HMAkprd3gFO_Road1235a=iDMYTmcj?0132g>hKLZUecy>?00f8jIBTWge<=>>1c9mHAUXg{:;<hKLZUd~=>?17a8jIBTWfx;<=?84:lOS@31:lLr`tkipUecy>?00325>hH~lxgmtQaou23444692dDzh|cax]mkq67889:=6`@vdpoe|Yig}:;<<:8;oPBIIQBk2dYM@BXE^MAQC1hUMZUecy>?00f8jWCTWge<=>>169mV@Uh}}<0b_ABCR58jWIJKZ;i7c\@MBQ\KGSA>2dYC@YJ7:lQKHQB9k1e^BCXE^MAQC2?0e9mQRCXign;<=>>d:lVS@Yffm:;<=j4nTUF[dhc89:;895aVLC;?kPJIVCDNh5aVLC\MJDXGK_MIo5aVLC\MJDh}}n0b[CN_^cm`5678l1eZ@OP_`lg45679j1eZ@OP_np3456c3g\FMRQ`r12344bhQEHUTc>?016g?kPJIVUd~=>?04f8jSKFWVey<=>?6e9mRHGXWfx;<=>8d:lUIDYXg{:;<=6k;oTNEZYhz9:;<4m4nWOB[dhc89:;h6`YM@]bja6789;o7cXBA^cm`5678;n0b[CN_`lg4567;m1eZ@OPaof34563l2d]ALQnne2345333g\[M85aVQC21>hQXH837cX_A^DPFa=i^YKTJ^LPOCWE<>hQXHUBCOj4nWRB[LIEW@H^J>5aWD58jRCXNZHh7cYJ_GQA[LDRN:1ym`64xb;645~kuIJ{=?k8;AB{7=d=N381=v]74;1:1?4693;88o??1;3g`5e|f:396<5a38192>"418084n5rS9197<3=:8;1=>:m11395ab7n2n84o4?:082V>32:3>6??>:017f46628no5<62808<:u\8580=0<5980:?9l>0082`a6d3-900=i?qC?:m4$270>6>e3S=>6>u>:586>x"6ih08?k5$40`94?=h?00;66a>o4i10;66a;5183>>o3:>0;66a;2283>>i0j3:17b:>4;29?l5ej3:17b:>0;29?j5d03:17b=me;29?lg62900ek>50;9j7a7=831d?lo50;9l7g5=831b?ho50;9l044=831b88<50;9l07?=831d8=850;9j3a<722c<;7>5;n1:a?6=3f9j87>5;h1fa?6=3`9ih7>5;n614?6=3f9o:7>5;n1e4?6=3f>847>5;n1ge?6=3f9n?7>5;h661?6=3f9i;7>5;n02=?6=,;;?6??7;o027?6<3f8:;7>5$337>77?3g8:?7?4;n016?6=,;;?6??7;o027?4<3f89=7>5$337>77?3g8:?7=4;n014?6=,;;?6??7;o027?2<3f8:j7>5$337>77?3g8:?7;4;n02a?6=,;;?6??7;o027?0<3f8:h7>5$337>77?3g8:?794;n02g?6=,;;?6??7;o027?><3f8:n7>5$337>77?3g8:?774;n02e?6=,;;?6??7;o027?g<3f8::7>5$337>77?3g8:?7l4;h67>5<#:8>18>5a20194>=n<;0;6)<>4;60?k46;3;07d:>:18'642=<:1e><=52:9j05<72-8:87:<;o027?5<3`9n6=4+206906=i:891865f3e83>!46<3>87c<>3;78?l5d290/><:5429m645=>21b?o4?:%020?243g8:?794;h1b>5<#:8>18>5a2019<>=n;00;6)<>4;60?k46;3307d=7:18'642=<:1e><=5a:9j72<72-8:87:<;o027?d<3`9=6=4+206906=i:891o65f3483>!46<3>87c<>3;f8?l2d290/><:5429m645=m21b8o4?:%020?243g8:?7h4;h6b>5<#:8>18>5a201955=h59:0:=65f4983>!46<3>87c<>3;31?>o3?3:1(??;:518j77428907d:9:18'642=<:1e><=51598m13=83.9=94;3:l156<6=21b?k4?:%020?243g8:?7?9;:k074<72-8:87=<0:l156<732c8>k4?:%020?5482d9=>4>;:k06`<72-8:87=<0:l156<532h84k4?:083>5}#;<91j;5G39g8L61d3fl>6=44}c1:4?6=93:19l;n024?6=3th8554?:0c96g<4nrB8;n5+3419<0=]?<0:w?4r$0cb>65a3`2;6=44o077>5<1<75`23694?=h:k?1<75`11294?=n9?0;6)<>4;36?k46;3:07d?;:18'642=9<1e><=51:9j56<72-8:87?:;o027?4<3`;:6=4+206950=i:891?65ff;29 77328?0b??<:598m`<72-8:87?:;o027?3<3`n1<7*=15821>h59:0=76gl:18'642=9<1e><=57:9jf?6=,;;?6<;4n330>==4;36?k46;3307d750;&151<6=2d9=>4n;:k;>5<#:8>1=85a2019f>=n?3:1(??;:078j7742j10e;4?:%020?723g8:?7j4;h3f>5<#:8>1=85a2019a>=n9m0;6)<>4;36?k46;3l07o=7f;295?6=8r.89>4i6:J0<`=O;>i0ck;50;9~f76129086=4?{%167?`53A93i6F<7b9j33<722c347>5;n021?6=3th?5}#;<91j>5G39g8L61d3-;;97>4i6d94?=n080;66g86;29?l>?2900c??::188yg7793:157>50z&016<5n2B84h5G36a8mc>=831bj44?::kee?6=3`li6=44iga94?=nnm0;66gie;29?l`a2900c?><:188yg77:3:157>50z&016<5n2B84h5G36a8mc>=831bj44?::kee?6=3`li6=44iga94?=nnm0;66gie;29?l`a2900c?><:188yg77;3:1?7>50z&016<5i2B84h5G36a8mc>=831bj44?::m146<722wi>?;50;094?6|,:?86N4?j1bj:4?::m146<722wi>?850;094?6|,:?86N4?j1bj:4?::m146<722wi>?950;694?6|,:?86?l4H2:f?M50k2cm47>5;hd:>5<6=4=:183!52;3;:;6F<8d9K72e7:180>5<7s-9>?7N4?j1bj54?::ke=?6=3f8;?7>5;|`14<<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`14d<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`14g<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`14f<72:0;6=u+34196d=O;1o0D>9l;hd;>5<<:188yg27k3:1>7>50z&016<69>1C?5k4H25`?l`02900c?><:188yg27l3:1>7>50z&016<69>1C?5k4H25`?l`02900c?><:188yg27m3:1?7>50z&016<58l1C?5k4H25`?l`?2900ek750;9l655=831vn9>i:180>5<7s-9>?7N4?j1bj54?::ke=?6=3f8;?7>5;|`1f3<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`1f2<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`1f=<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`1f<<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`1fd<72:0;6=u+341965c<@:2n7E=8c:ke5<53;294~"4=:09m6F<8d9K72e>i58:0;66sm2ca94?2=83:p(>;<:3`8L6>b3A92900eko50;9l655=831vn<=6:180>5<7s-9>?7i0ek650;9jb<<722e9<>4?::a56c=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a56`=83?1<7>t$270>7e<@:2n7E=8c:ke>{e9=:1<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo?;1;297?6=8r.89>4=0d9K7=c<@:=h7dh7:188mc?=831d>==50;9~f42529096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f42429086=4?{%167?47m2B84h5G36a8mc>=831bj44?::m146<722wi=9:50;194?6|,:?86?o4H2:f?M50k2cm47>5;hd:>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e9:k1<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo?4=0d9K7=c<@:=h7dh7:188mc?=831d>==50;9~f45d290?6=4?{%167?4e3A93i6F<7b9jb=<722cm57>5;hdb>5<9l;hd;>5<52z\4?87793li7p}7:181[><58::6km4}r;94?5|V016==?5fe9>517=n11vl4?:2y]e>;6880mi63>408e=>{tj3:1>vPm;<335?`a3tyh6=4<{_a894652o201<=i:g;8yvb=839pRi521109b<=:9:l1j55rsd83>6}Ym27:53z\e?877:3li70?1:?2474?:7y]56=:9981ji5221c9b2=::k21j:5212;9b==:9=:1j45rs0694?1|V8>01<>=:gg897422o=01<;9:g58976?2o301?ln:g:894222o20q~?9:184[7134;;>7hi;<012?`034;>;7h8;<03f?`0348i57h8;<30f?`?3ty:h7>52z\2`>;6880m46s|1d83>7}Y9l16==?5f89~w3e=839pR;m4=32;>c><5=:o6k94}r:3>5<4sW2;700183>7}Y99:01<><:320?xu6880;6?u21139655<58:86k64}r336?6=:r7:555=n01v<=7:180[74027:954i8:?27a<58:1v<=6:181874138;?63>428e<>{t9:k1<776434;8o7h7;|q27g<72;q6=>l52118945c2o20q~?5212f9b<=z{89n6=4={<30a?47;27:8>4i9:p56`=838p1<=i:320?874k3l27p}>4183>7}:9=:1>==4=01b>c>52z?204<58:16=9:5f89~w4252909w0?;2;037>;6;j0mm6s|15194?4|58>86?><;<371?`>3ty:894?:3y>512=:9901<=n:g;8yv73=3:1>v3>448146=:9:h1j45rs077>5<5sW;>863>598146=z{8?>6=4={<361?47;27:954i9:p500=838p1<;9:320?87203lj7p}>5683>7}:9<=1>==4=07;>cd52z?09:336?827m3l37p}=0683>7}::9<1455221f965552z?14=<58:16>=j5f89~w76>2909w0;58j0m46s|21c94?4|5;:j6?><;<03g?`>3ty965d=:9901?>k:gc8yv47k3:1>v3=0b8146=::9n1j55rs307>5<5sW89863=268146=z{;8>6=4={<011?47;279>:4i8:p670=838p1?<9:320?845?3lj7p}=b483>7}Y:k?01?ll:320?xu5j?0;6?u22c49655<5;hj6k74}r0a3?6=:r79n:4=029>6ge=n11v?l7:18184e038;?63=bc8e<>{t:k31<7764348in7h6;|q1fd<72;q6>oo5211897dd2o30q~522ca9bd=z{=:j6=4:{<63e?46=279>:4i9:?1f3l019>i:320?xu38j0;6?u241a9655<5=:n6k74}r63`?6=:r7?05`=n01v9>j:181827m38;?63;0g8e<>{t<8h1<7=t^53a?827i32370:?c;d4?xu3==0;6>uQ446894322o=01<:=:g58yxd3=>0;6>=5d88e<~N4?j1/?8=52318^23=:r;1?7s+1`c976`1<75`3b:94?=n>j0;66g<2683>>i5==0;66a;0783>>o4mm0;66g<1`83>>o3==0;66g;2783>>o5:=0;66a;2183>>o5j<0;66a>i5900;6)<>4;02<>h59:0;76a=1683>!46<38:46`=1282?>i5:;0;6)<>4;02<>h59:0976a=2083>!46<38:46`=1280?>i5:90;6)<>4;02<>h59:0?76a=1g83>!46<38:46`=1286?>i59l0;6)<>4;02<>h59:0=76a=1e83>!46<38:46`=1284?>i59j0;6)<>4;02<>h59:0376a=1c83>!46<38:46`=128:?>i59h0;6)<>4;02<>h59:0j76a=1783>!46<38:46`=128a?>o6;3:1(??;:008j7742910e4>;:ke>5<#:8>1=?5a20196>=nm3:1(??;:008j7742:10ei4?:%020?753g8:?7:4;ha94?"59=0:>6`=1286?>oe290/><:5139m645=>21bm7>5$337>44!46<3;97c<>3;:8?l>=83.9=94>2:l156<>32c<6=4+206957=i:891m65f6;29 7732880b??<:c98m4c=83.9=94>2:l1565$337>446`=128f?>o6j3:1(??;:008j7742o10e4>0:9j5<<72-8:87?=;o027?7632c:47>5$337>445<#:8>1=?5a201956=h59:0:865m39d94?7=83:p(>;<:g48L6>b3A95;n021?6=3th8>;4?:283>5}#;<91j?5G39g8L61d3`==6=44i9:94?=h:8?1<75rb23:>5<4290;w)=:3;d1?M5?m2B8;n5f7783>>o?03:17b<>5;29?xd4:k0;6>4?:1y'705=n;1C?5k4H25`?l112900e5650;9l643=831vn>?m:180>5<7s-9>?7h=;I1;a>N4?j1b;;4?::k;5;|`5b?6=;3:1N40l1C?:m4i6494?=n010;66a=1483>>{e:;21<7=50;2x 6342o80D>6j;I14g>"68<0;7d99:188m=>=831d><;50;9~f72329086=4?{%167?`53A93i6F<7b9'553=82c<:7>5;h:;>5<6=44}c063?6=;3:1N40l1C?:m4$026>5=n??0;66g78;29?j46=3:17pl<1983>6<729q/?8=5f39K7=c<@:=h7)??5;28m20=831b454?::m150<722wi?n650;194?6|,:?86k<4H2:f?M50k2c<:7>5;h:;>5<6=44}c0a`?6=;3:1N40l1C?:m4$026>5=n??0;66g78;29?j46=3:17pl=cb83>6<729q/?8=5f39K7=c<@:=h7)??5;28m20=831b454?::m150<722wi>h?50;194?6|,:?86k<4H2:f?M50k2.:<84?;h55>5<6=4=:183!52;3;:;6F<8d9K72e>i58:0;66sm42394?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66sm41294?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66sm43394?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66sm30f94?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66sm30g94?5=83:p(>;<:3c8L6>b3A92900c?><:188yg5513:1>7>50z&016<69>1C?5k4H25`?l`02900c?><:188yg55i3:1?7>50z&016<5i2B84h5G36a8mc>=831bj44?::m146<722wi?nj50;094?6|,:?86N4?j1bj:4?::m146<722wi>hj50;094?6|,:?86N4?j1bj:4?::m146<722wi>hk50;194?6|,:?86?o4H2:f?M50k2cm47>5;hd:>5<9l;hd4>5<9l;hd;>5<>i58:0;66sm42194?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66sm42694?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66sm42794?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66sm42494?3=83:p(>;<:3a8L6>b3A92900eko50;9jbg<722e9<>4?::a054=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a055=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a052=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a053=83?1<7>t$270>7e<@:2n7E=8c:ke>{e:=<1<7:50;2x 6342;h0D>6j;I14g>oa03:17dh6:188mcg=831d>==50;9~f71329086=4?{%167?4f3A93i6F<7b9jb=<722cm57>5;n037?6=3th9;84?:383>5}#;<91=<94H2:f?M50k2cm;7>5;n037?6=3th9;;4?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rb354>5<4290;w)=:3;03a>N40l1C?:m4ig:94?=nn00;66a=0283>>{e:>i1<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo<8d;296?6=8r.89>4>169K7=c<@:=h7dh8:188k7642900qo<8e;297?6=8r.89>4=a:J0<`=O;>i0ek650;9jb<<722e9<>4?::a62`=8391<7>t$270>76b3A93i6F<7b9jb=<722cm57>5;n037?6=3th94?4?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rb3:0>5<5290;w)=:3;323>N40l1C?:m4ig594?=h:991<75rb3:7>5<4290;w)=:3;0b?M5?m2B8;n5ff983>>oa13:17b4?:1y'705=:9o0D>6j;I14g>oa03:17dh6:188k7642900qo<78;297?6=8r.89>4=a:J0<`=O;>i0ek650;9jb<<722e9<>4?::a6=?=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a6=g=8391<7>t$270>7g<@:2n7E=8c:ke5<53;294~"4=:095<4290;w)=:3;0b?M5?m2B8;n5ff983>>oa13:17b6j;I14g>oa?3:17b4?:1y'705=:h1C?5k4H25`?l`?2900ek750;9l655=831vn?7>:180>5<7s-9>?7i0ek650;9jb<<722e9<>4?::a6<2=8391<7>t$270>7g<@:2n7E=8c:ke5<52;294~"4=:0:=:5G39g8L61d3`l<6=44o320>5<53;294~"4=:09m6F<8d9K72e>i58:0;66sm28594?5=83:p(>;<:32f?M5?m2B8;n5ff983>>oa13:17b4?:1y'705=:h1C?5k4H25`?l`?2900ek750;9l655=831vn?7m:181>5<7s-9>?7?>7:J0<`=O;>i0ek950;9l655=831vn?7l:180>5<7s-9>?7N4?j1bj54?::ke=?6=3f8;?7>5;|`1=a<72:0;6=u+341965c<@:2n7E=8c:ke5<53;294~"4=:09m6F<8d9K72e>i58:0;66sm2`394?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66sm2`094?5=83:p(>;<:3c8L6>b3A92900c?><:188yg4f;3:1?7>50z&016<58l1C?5k4H25`?l`?2900ek750;9l655=831vn?oj:180>5<7s-9>?7N4?j1bj54?::ke=?6=3f8;?7>5;|`1ec<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`1f5<72:0;6=u+34196d=O;1o0D>9l;hd;>5<>i58:0;66sm2d194?5=83:p(>;<:32f?M5?m2B8;n5ff983>>oa13:17b4?:1y'705=:h1C?5k4H25`?l`?2900ek750;9l655=831vn?k::180>5<7s-9>?7i0ek650;9jb<<722e9<>4?::a6`0=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a6`1=83?1<7>t$270>7e<@:2n7E=8c:ke>{e:l21<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo4=0d9K7=c<@:=h7dh7:188mc?=831d>==50;9~f7cf29086=4?{%167?4f3A93i6F<7b9jb=<722cm57>5;n037?6=3th9io4?:283>5}#;<91>=k4H2:f?M50k2cm47>5;hd:>5<9l;hd4>5<9l;hd;>5<7<729q/?8=51058L6>b3A97<729q/?8=51058L6>b3A97<729q/?8=51058L6>b3A96<729q/?8=52`9K7=c<@:=h7dh7:188mc?=831d>==50;9~f7c729096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f7ea29086=4?{%167?4f3A93i6F<7b9jb=<722cm57>5;n037?6=3th9h=4?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rb3f2>5<4290;w)=:3;0b?M5?m2B8;n5ff983>>oa13:17b4?:1y'705=:h1C?5k4H25`?l`?2900ek750;9l655=831vn?j<:180>5<7s-9>?7i0ek650;9jb<<722e9<>4?::a6a2=8391<7>t$270>7g<@:2n7E=8c:ke5<53;294~"4=:095<4290;w)=:3;03a>N40l1C?:m4ig:94?=nn00;66a=0283>>{e:m=1<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo4=0g9K7=c<@:=h7dh7:188mc?=831bjl4?::m146<722wi>oh50;094?6|,:?86N4?j1bj:4?::m146<722wi>n:50;094?6|,:?86N4?j1bj:4?::m146<722wi>n;50;194?6|,:?86?o4H2:f?M50k2cm47>5;hd:>5<9l;hd4>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e:j21<7<50;2x 63428;<7E=7e:J03f=nn>0;66a=0283>>{e:j31<7<50;2x 63428;<7E=7e:J03f=nn>0;66a=0283>>{e:jk1<7=50;2x 6342;:n7E=7e:J03f=nn10;66gi9;29?j47;3:17pl=cc83>6<729q/?8=52`9K7=c<@:=h7dh7:188mc?=831d>==50;9~f7e729086=4?{%167?4f3A93i6F<7b9jb=<722cm57>5;n037?6=3th9o<4?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rb3a1>5<3290;w)=:3;0a?M5?m2B8;n5ff983>>oa13:17dhn:188k7642900qo4=0g9K7=c<@:=h7dh7:188mc?=831bjl4?::m146<722wi>l850;094?6|,:?86N4?j1bj:4?::m146<722wi>l950;094?6|,:?86N4?j1bj:4?::m146<722wi>l650;694?6|,:?86?l4H2:f?M50k2cm47>5;hd:>5<>i58:0;66sm2`c94?5=83:p(>;<:3c8L6>b3A92900c?><:188yg4fj3:1?7>50z&016<58l1C?5k4H25`?l`?2900ek750;9l655=831vn>??:180>5<7s-9>?7N4?j1bj54?::ke=?6=3f8;?7>5;|`054<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`057<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`056<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`051<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`050<72:0;6=u+34196d=O;1o0D>9l;hd;>5<<:188yg56?3:187>50z&016<5j2B84h5G36a8mc>=831bj44?::kee?6=3f8;?7>5;|`750<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`753<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`752<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`75=<72=0;6=u+341965`<@:2n7E=8c:ke5<4290;w)=:3;0b?M5?m2B8;n5ff983>>oa13:17b6j;I14g>oa?3:17b6j;I14g>oa?3:17b4?:1y'705=:h1C?5k4H25`?l`?2900ek750;9l655=831vn?hi:180>5<7s-9>?7i0ek650;9jb<<722e9<>4?::a757=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a751=8391<7>t$270>7g<@:2n7E=8c:ke5<52;294~"4=:0:=:5G39g8L61d3`l<6=44o320>5<52;294~"4=:0:=:5G39g8L61d3`l<6=44o320>5<52;294~"4=:0:=:5G39g8L61d3`l<6=44o320>5<52;294~"4=:0:=:5G39g8L61d3`l<6=44o320>5<52;294~"4=:0:=:5G39g8L61d3`l<6=44o320>5<53;294~"4=:09m6F<8d9K72e>i58:0;66sm31g94?5=83:p(>;<:3c8L6>b3A92900c?><:188yg57:3:1?7>50z&016<5i2B84h5G36a8mc>=831bj44?::m146<722wi?==50;194?6|,:?86?>j;I1;a>N4?j1bj54?::ke=?6=3f8;?7>5;|`041<72:0;6=u+34196d=O;1o0D>9l;hd;>5<6=4<:183!52;38;i6F<8d9K72e>i58:0;66sm31494?2=83:p(>;<:3`8L6>b3A92900eko50;9l655=831vn?:7:180>5<7s-9>?7N4?j1bj54?::ke=?6=3f8;?7>5;|`10f<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`10a<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`10`<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`10c<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`115<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`114<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`117<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`116<72:0;6=u+34196d=O;1o0D>9l;hd;>5<26=48:183!52;38n7E=7e:J03f=nn10;66gi9;29?l`f2900ekl50;9jbf<722cmh7>5;n037?6=3th98l4?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rb36a>5<4290;w)=:3;03a>N40l1C?:m4ig:94?=nn00;66a=0283>>{e:<31<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo<94;296?6=8r.89>4>169K7=c<@:=h7dh8:188k7642900qo<9b;296?6=8r.89>4>169K7=c<@:=h7dh8:188k7642900qo<9c;296?6=8r.89>4>169K7=c<@:=h7dh8:188k7642900qo<9d;297?6=8r.89>4=a:J0<`=O;>i0ek650;9jb<<722e9<>4?::a63c=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a63`=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a626=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a627=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a60g=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a60d=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a60e=8391<7>t$270>7g<@:2n7E=8c:ke5<h7>53;294~"4=:09m6F<8d9K72e>i58:0;66sm24g94?2=83:p(>;<:3`8L6>b3A92900eko50;9l655=831vn?;i:180>5<7s-9>?7i0ek650;9jb<<722e9<>4?::a636=8391<7>t$270>76b3A93i6F<7b9jb=<722cm57>5;n037?6=3th9:<4?:283>5}#;<91>=k4H2:f?M50k2cm47>5;hd:>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e:?91<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo<95;297?6=8r.89>4=a:J0<`=O;>i0ek650;9jb<<722e9<>4?::a630=8391<7>t$270>76b3A93i6F<7b9jb=<722cm57>5;n037?6=3th9::4?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rb34;>5<4290;w)=:3;03a>N40l1C?:m4ig:94?=nn00;66a=0283>>{e:?31<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo<9a;297?6=8r.89>4=0d9K7=c<@:=h7dh7:188mc?=831d>==50;9~f74f29096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f75229086=4?{%167?47m2B84h5G36a8mc>=831bj44?::m146<722wi>>j50;094?6|,:?86N4?j1bj:4?::m146<722wi>>k50;094?6|,:?86N4?j1bj:4?::m146<722wi>>h50;094?6|,:?86N4?j1bj:4?::m146<722wi>9>50;094?6|,:?86N4?j1bj:4?::m146<722wi>9?50;194?6|,:?86?o4H2:f?M50k2cm47>5;hd:>5<9l;hd4>5<9l;hd4>5<9l;hd4>5<9l;hd4>5<9l;hd4>5<9l;hd4>5<9l;hd4>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e::;1<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo<<2;297?6=8r.89>4=a:J0<`=O;>i0ek650;9jb<<722e9<>4?::a665=8391<7>t$270>7g<@:2n7E=8c:ke5<57;294~"4=:09i6F<8d9K72e>oai3:17dhm:188mce=831bji4?::m146<722wi>>850;194?6|,:?86?>j;I1;a>N4?j1bj54?::ke=?6=3f8;?7>5;|`172<72:0;6=u+341965c<@:2n7E=8c:ke5<53;294~"4=:09m6F<8d9K72e>i58:0;66sm22;94?5=83:p(>;<:3c8L6>b3A92900c?><:188yg44i3:1?7>50z&016<58l1C?5k4H25`?l`?2900ek750;9l655=831vn?=m:180>5<7s-9>?7N4?j1bj54?::ke=?6=3f8;?7>5;|`17f<72=0;6=u+341965`<@:2n7E=8c:ke7}Y>279;;4i8:p3?6=:rT<70<8e;d;?xu?2909wS64=3:7>c>6=g=n11vl4?:3y]e>;5190m46s|b;296~Xe3482:7h7;|q`>5<5sWi01?7l:g:8yvb=838pRi522`09b==z{l0;6?uQe:?1ed>1j45rs0394?4|V8;01?oj:g;8yv702909wS?8;<04g?`>3ty:47>52z\2<>;50;0m56s|1883>7}Y9016>565f89~w4g=838pRc?<3l27p}>c;296~X6k2795l4i9:p5a<72;qU=i522`29b<=z{8o1<7348n<7h8;<0`3?`>349:<7h7;<135?`0349;;7h6;<050?`0348<<7h8;<051?`?3489n7h8;|q5b?6=5:?1`f;5:10<:63=45842>;5=>0<:63<19842>;5jm0<:63=cb842>;5m80<:63{t:9:1<7c3<5;lj65?4}r022?6=:rT9=;52265965552z\27>X59>1v??6:180[`7}Y:8h01?6::320?xu59j0;6?uQ20a897>e2;:87p}=1e83>7}Y:8n01?7>:320?xu59l0;6?uQ20g897?02;:87p}=1g83>7}Y:8l01?7k:320?xu5:90;6?uQ232897g42;:87p}=2083>7}Y:;;01?om:320?xu5:;0;6?uQ230897d62;:87p}=2583>`}Y:;>01?;::g5897212o301?k;:g:897bc2o=01?m8:g:897g12o=01?o7:g;896772o3019?::g58966>2o=01?8k:g:8970b2o=01?9>:g58yv4503:1mv3=298150=:<:<1j5524179b==::=<1jl522d79b==::j:1j5523039b2=:<8<1j:5227f9b<=:::h1j55rs30:>5<5s4894767;<00g?47;2wx>?o50;0x974f2;:870<<0;d:?xu5:k0;6?u223`9655<5;9?6k64}r01g?6=:r79>n4=029>662=nh1v?{t:;o1<7764348887hm;|q16c<72;q6>?h5211897532on0q~<<0;296~;5;909<>522249b==z{;9:6=4={<005?47;279?;4i9:p664=838p1?==:320?844?3l27p}=3283>7}:::91>==4=31;>c?52z?171<58:16>>m5f`9~w7522909w0<<5;037>;5;80m46s|22494?4|5;9=6?><;<00e?`?3ty9?:4?:3y>661=:9901?=6:g:8yv4403:1>v3=398146=:::i1j55rs31:>5<5s48857>o50;0x975f2;:870<667=n01v?=j:181844m38;?63=338e<>{t::l1<77643488>7h6;|q105<72;q6>9>52118975>2o30q~<;1;296~;5<809<>5222:9b==z{;>96=4={<076?47;279?>4i9:p615=838p1?:<:320?844<3l27p}=4583>7}::=>1><;4=375>c>52z?103<58:16>8<5f69~w7202909w0<;4;:;?843j38;?6s|25:94?4|5;>36?><;<07e?`?3ty9844?:3y>61?=:9901?:m:g;8yv43i3:1>v3=4`8146=::=h1j55rs36`>5<5s48?o79j50;0x972c2;:870<;9;d:?xu526k64}r07b?6=:r798k4=029>61?=nh1v?;?:181842838;?63=488eg>{t:<;1<7764348?57hm;|q117<72;q6>8<52118972>2on0q~<:3;296~;5=:09<>5225c9b<=z{;??6=4={_060>;5=?09<>5rs376>5<5s48>978950;35842?38:963;5?=0m463=748e3>;5?j0m463=7e8e3>;50;0m463=828e3>;5010m463=888e3>;50l0m463=8g8e3>;51=0m463=948e3>;51h0m463=9c8e3>;5i90m463=a08e3>;5il0m463=ag8e3>;5i>0m;63=a98ee>{t:<21<7=><5;<;|q11<<72;q6>8752118973d2o20q~<:a;296~;5=h09<>522759b<=z{;?i6=4={<06f?47;279:44i9:p60e=838p1?;l:320?84183l37p}=5e83>7}::==4=34;>c>i7>52z?11`<58:16>;?5f89~w73a2909w0<:f;037>;5>;0m56s|27294?4|5;<;6?><;<057?`>3ty9:<4?:3y>637=:9901?8::g;8yv41:3:1>v3=638146=::?<1j45rs340>5<5s48=?7;:50;0x97032;:870<:c;d:?xu5><0;6?u22779655<5;<=6k64}r052?6=:r79:;4=029>631=n11v?88:181841?38;?63=698e=>{t:?21<7764348=57h7;|q12<<72;q6>;752118970f2o30q~<9b;296~;5>k09<>522729b<=z{;7}::?o1>==4=37f>c>52z?12c<58:16>8k5f`9~w7172909w0<80;037>;5=o0m46s|26394?4|5;=:6?><;<06b?`>3ty9;>4?:3y>622=:9901?98:g:8yv40<3:1>v3=748146=::><1j45rs356>5<5s48<:7:l50;0x971d2;:870<8f;d;?xu5?j0;6?u226f9655<5;=n6k74}r04`?6=:r79;h4=029>62`=n01v?6>:18184?:38;?63=848e<>{t:181<7764348387h6;|q1<6<72;q6>5:5211897>22o30q~<77;296~;50109<>5229`9b==z{;236=4={<0;=?47;2794l4i9:p6=?=838p1?6n:320?84?j3l27p}=8e83>7}::1o1>==4=3;2>c>52z?14>5f89~w7>a2909w0<60;037>;5180m56s|28194?4|5;3?6?><;<0:3?`?3ty9594?:3y>6<3=:9901?79:g;8yv4>=3:1>v3=978146=::0=1j45rs3;:>5<5s482m74o50;0x97?e2;:870<6c;d:?xu51k0;6?u228a9655<5;3o6k74}r0:b?6=:r79m=4=029>6d5=n11v?o?:18184f938;?63=a38e=>{t:h;1<7764348j?7h6;|q1e0<72;q6>l85211897g>2o20q~09<>522`;9b<=z{;k<6=4={<0b=838p1?o6:320?84fi3l27p}=a883>7}::hk1>==4=3ca>c>52z?1e`<58:16>o?5f99~w7gb2909w0;5j90m56s|2`d94?4|5;h;6?><;<0a5?`>3ty9n84?:3y]6g3<5=8:6k94}r0a`?6=9:q6>oj5207897cc2o=01?k<:g;897c02ok01?j6:g:897ba2o301?m9:g5897e62o201>?;:g5897`d2o=01>>n:g58972a2o=01?;<:g:8973>2o301?;m:g5897522o201?:?:g5897262o201?v3=be8;<>;5k:09<>5rs3`e>5<5s48ij7n>50;0x97e72;:8706f5=nh1v?m;:18184d<38;?63=c`8e=>{t:j?1<7764348hn7h7;|q1g3<72;q6>n85211897ee2o30q~09<>522b09b<=z{;i36=4={<0`7}::jk1>==4=3a2>c?52z?1gg<58:16>n=5f99~w7ed290:9v3=cb8150=::lo1j5522d19b==::l=1jo522eg9b2=::jl1j5522cd9b2=::j?1j5522b:9b2=:;881j:522gg9b==:;9h1j:5225:9b<=::=n1j:5227`9b2=::?348o477}::jl1>==4=3f;>c?52z?1`5<58:16>i;5f99~w7b62909w0;5l:0m56s|2e094?4|5;n96?><;<0g4?:3y>6a5=:9901?j;:g:8yv4c<3:1>v3=d58146=::m?1j45rs3f6>5<5s48o97i850;0x97b12;:8700;6?u22e59655<5;n36k64}r0g=?6=:r79h44=029>6a0=n11v?jn:18184ci38;?63=d18e=>{t:mh1<7764348o=7h7;|q1`f<72;q6>im5211897b62o30q~522e69b<=z{;nn6=4={<0ga?47;279h:4i9:p6a`=838p1?ji:320?84c:3l37p}=e183>7}::l:1>==4=3f1>c?513y>6`7=:8?01?k9:g5897eb2o=01?j6:g;897e32o=01?m::g;897e>2o=01>?<:g58966d2o=01?:7:g:8972b2o=01?8l:g5897042o201?=k:g58974a2o=01?=?:g:897542o201?=8:g:8yv4b:3:1>v3=e08;<>;5mk09<>5rs3g0>5<5s48n?7h:50;0x97c32;:8706`g=n01v?k8:18184b?38;?63=ec8e=>{t:l21<7764348n57h6;|q1a<<72;q6>h75211897cf2o20q~522d`9b==z{;oh6=4={<0f`?47;279ih4i9:p6cg=839p1?hn:336?856l3l<70==9;d4?xu5nk0;6?u22gc93c=::ol1>==4}r0eg?6=:r79jn4=029>6cc=n01v?hk:18184al38;?63=fg8e=>{t:oo1<7764348mj7h7;|q045<72=q6?=85211897362o=01?=j:g5897242o=0q~=?1;296~;48809<>5231f9b<=z{::96=4={<136?47;278<;4ia:p755=838p1>><:320?857<3l37p}<0583>7}:;9>1>==4=226>c?52z?040<58:16?=85f89~w6602909w0=?7;037>;48l0m46s|31:94?4|5::36?><;<13a?`>3ty8<44?:3y>75?=:9901>>;:g;8yv57i3:1>v3<0`8146=:;9<1j55rs22a>5<5s49;n76k64}r13a?6=:r78755=n01v>>i:187856?38;?63=518e3>;5;o0m;63=2b8e3>{t;8:1<7764349:;7h6;|q054<72;q6?2;296~;49;09<>523049b<=z{:;86=4={<127?47;278=;4i8:p742=838p1>?;:320?856>3lj7p}<1483>7}:;8?1>==4=234>c>52z?053<58:16?<95f`9~w67?2909w0=>8;021>;39>0m;6s|30;94?2|5:;26??:;<0gf?`?349;;7h7;<06a?`>3ty8=l4?:3y]74g<5:;i6564}r12f?6=6cb=n>1v>?l:181856132370=>e;037>{t;8n1<7764349:i7h6;|q063<72mq6??85207897c22o301?k8:g;897ba2o201?j?:g:897b42o201>?::g:8966c2o201>><:g:8972d2o=01?;6:g:897052o201?:=:g58yv55?3:1>vP<269>77d=011v><7:181855>32370==a;037>{t;;31<77643499m7h6;|q06g<72;q6??l52078964f2o20q~=66;296~;49103463=ed8146=z{:k<6=49{_1b3>;3:=0m563;098e<>;3;:0m;63;038e3>;3910m56s|3b:94?4|V:i370=l8;021>{t;jk1<720<5:i26?><;|q0gf<72;q6?n65899>7fb=:990q~=jd;296~X4mm168=:5f69~w6`a2909wS=if:?745<58:1v9>>:18182783l<70:?5;037>{t<981<776434>;97h6;|q746<72;q68==5211891622ok0q~:?4;296~;38=09<>524179bg=z{=:=6=4={_632>;38109<>5rs524>5<5s4>;;7:577}:<8<1>==4=53;>cg:;7>52z?752<58:168<75f89~w17?2909w0:>8;037>;3900m46s|43294?4|V=8;70:=1;037>{t<;91<77}:<:;1j:5242496558?7>52z?776<58:168>85f89~w1532909w0:<4;037>;3;?0mm6s|42794?4|5=9>6?><;<602?`e3ty?994?:4y]002<5=8?6k64=524>c1<5=9?6k94=520>c1rB8;n5+341957c<,8kj6>=i;h:3>5<5<5<>i5?3:17b=m7;29?g5?n3:1=7>50z&0162B84h5G36a8kc3=831vn5750;794?6|,:?86k=4H2:f?M50k2.:<84?;h5e>5<>o?03:17b<>5;29?xd4;;0;684?:1y'705=n:1C?5k4H25`?!77=3:0e:h50;9j<4<722c<:7>5;h:;>5<6=44}c04>5<2290;w)=:3;d0?M5?m2B8;n5f7g83>>o?93:17d99:188m=>=831d><;50;9~f=0=8391<7>t$270>c4<@:2n7E=8c:k42?6=3`236=44o336>5<53;294~"4=:0m>6F<8d9K72e<1<75f8983>>i59<0;66sm18g94?3=83:p(>;<:g18L6>b3A962900e:850;9j<=<722e9=84?::a51?=83?1<7>t$270>c5<@:2n7E=8c:k4b?6=3`2:6=44i6494?=n010;66a=1483>>{e9?21<7;50;2x 6342o90D>6j;I14g>o0n3:17d6>:188m20=831b454?::m150<722wi=l;50;794?6|,:?86k=4H2:f?M50k2c5;h:2>5<<1<75f8983>>i59<0;66sm14294?3=83:p(>;<:g18L6>b3A962900e:850;9j<=<722e9=84?::a520=83?1<7>t$270>c5<@:2n7E=8c:k4b?6=3`2:6=44i6494?=n010;66a=1483>>{e9o0;6>4?:1y'705=n;1C?5k4H25`?l112900e5650;9l643=831vn<;6:180>5<7s-9>?7h=;I1;a>N4?j1b;;4?::k;5;|`26f<72:0;6=u+3419b7=O;1o0D>9l;%331?6<1<75f8983>>i59<0;66sm15494?5=83:p(>;<:g08L6>b3A90483?l112900e5650;9l643=831vn>:?:180>5<7s-9>?7h=;I1;a>N4?j1/==;50:k42?6=3`236=44o336>5<53;294~"4=:0m>6F<8d9K72e<,8:>6=5f7783>>o?03:17b<>5;29?xd4lk0;6?4?:1y'705=98=0D>6j;I14g>oa?3:17b6j;I14g>oa?3:17b6j;I14g>oa?3:17b0;6?4?:1y'705=98=0D>6j;I14g>oa?3:17b50z&016<58l1C?5k4H25`?l`?2900ek750;9l655=831vn>;>:181>5<7s-9>?7?>7:J0<`=O;>i0ek950;9l655=831vn>;=:180>5<7s-9>?7N4?j1bj54?::ke=?6=3f8;?7>5;|`0f=<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`0f<<72:0;6=u+341965c<@:2n7E=8c:ke5<53;294~"4=:09m6F<8d9K72e>i58:0;66sm17`94?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66sm17a94?5=83:p(>;<:3c8L6>b3A92900c?><:188yg71l3:1?7>50z&016<58l1C?5k4H25`?l`?2900ek750;9l655=831vn<:m:180>5<7s-9>?7N4?j1bj54?::ke=?6=3f8;?7>5;|`20f<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`20a<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`20`<72:0;6=u+34196d=O;1o0D>9l;hd;>5<m6=4<:183!52;38;i6F<8d9K72e>i58:0;66sm1`294?5=83:p(>;<:3c8L6>b3A92900c?><:188yg7f93:1>7>50z&016<69>1C?5k4H25`?l`02900c?><:188yg7f:3:1>7>50z&016<69>1C?5k4H25`?l`02900c?><:188yg7f;3:1?7>50z&016<5i2B84h5G36a8mc>=831bj44?::m146<722wi=l:50;194?6|,:?86?>j;I1;a>N4?j1bj54?::ke=?6=3f8;?7>5;|`0``<72:0;6=u+34196d=O;1o0D>9l;hd;>5<6<729q/?8=52`9K7=c<@:=h7dh7:188mc?=831d>==50;9~f62129096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f62?29086=4?{%167?47m2B84h5G36a8mc>=831bj44?::m146<722wi?9750;194?6|,:?86?o4H2:f?M50k2cm47>5;hd:>5<9l;hd4>5<9l;hd4>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e;=n1<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo=;e;297?6=8r.89>4=0d9K7=c<@:=h7dh7:188mc?=831d>==50;9~f62a29086=4?{%167?4f3A93i6F<7b9jb=<722cm57>5;n037?6=3th88:4?:283>5}#;<91>=k4H2:f?M50k2cm47>5;hd:>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e;=91<7=50;2x 6342;:n7E=7e:J03f=nn10;66gi9;29?j47;3:17pl7b;297?6=8r.89>4=a:J0<`=O;>i0ek650;9jb<<722e9<>4?::a5;|`;`?6=:3:19l;hd4>5<5<5290;w)=:3;323>N40l1C?:m4ig594?=h:991<75rb9d94?5=83:p(>;<:3c8L6>b3A92900c?><:188yg?7290?6=4?{%167?4e3A93i6F<7b9jb=<722cm57>5;hdb>5<5<4290;w)=:3;03a>N40l1C?:m4ig:94?=nn00;66a=0283>>{e90;66a=0283>>{e95d83>6<729q/?8=52`9K7=c<@:=h7dh7:188mc?=831d>==50;9~f43a29096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f40729096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f40629086=4?{%167?4f3A93i6F<7b9jb=<722cm57>5;n037?6=3th::?4?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rb040>5<4290;w)=:3;03a>N40l1C?:m4ig:94?=nn00;66a=0283>>{e9?>1<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo?:c;297?6=8r.89>4=0d9K7=c<@:=h7dh7:188mc?=831d>==50;9~f45729096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f45629096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f45529096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f45429086=4?{%167?47m2B84h5G36a8mc>=831bj44?::m146<722wi=>:50;194?6|,:?86?o4H2:f?M50k2cm47>5;hd:>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e9:<1<7:50;2x 6342;:m7E=7e:J03f=nn10;66gi9;29?l`f2900c?><:188yg7003:1?7>50z&016<5i2B84h5G36a8mc>=831bj44?::m146<722wi=:750;194?6|,:?86?>j;I1;a>N4?j1bj54?::ke=?6=3f8;?7>5;|`23d<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`23g<72;0;6=u+3419541<@:2n7E=8c:ke3?6=3f8;?7>5;|`23f<72:0;6=u+34196d=O;1o0D>9l;hd;>5<7d83>1<729q/?8=52c9K7=c<@:=h7dh7:188mc?=831bjl4?::m146<722wi=;h50;194?6|,:?86?o4H2:f?M50k2cm47>5;hd:>5<9l;hd4>5<9l;hd4>5<9l;hd4>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e9>>1<7=50;2x 6342;:n7E=7e:J03f=nn10;66gi9;29?j47;3:17pl>7483>1<729q/?8=52c9K7=c<@:=h7dh7:188mc?=831bjl4?::m146<722wi?>:50;094?6|,:?86N4?j1bj:4?::m146<722wi?>850;094?6|,:?86N4?j1bj:4?::m146<722wi?>950;094?6|,:?86N4?j1bj:4?::m146<722wi?>650;094?6|,:?86N4?j1bj:4?::m146<722wi?>750;094?6|,:?86N4?j1bj:4?::m146<722wi?>o50;194?6|,:?86?o4H2:f?M50k2cm47>5;hd:>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e;:i1<7=50;2x 6342;:n7E=7e:J03f=nn10;66gi9;29?j47;3:17pl<3e83>1<729q/?8=52c9K7=c<@:=h7dh7:188mc?=831bjl4?::m146<722wi?>;50;194?6|,:?86?>j;I1;a>N4?j1bj54?::ke=?6=3f8;?7>5;|q2b?6=:rT:j63>f;021>{t:>0;6kuQ269>62<59<16=;o5f99>53d=n>16=9l5f99>51e=n>16=l>5f99>5d7=n>16?965f99>:48f:?1=?47;2wx:n4?:8y]2f=:0003463<338;<>;4<00m5637e;d4?872m3l270?88;d;?871n3l270=<9;d4?xu?83:1=?uQ819><<<0>278??486:?13?11342=6:84=0df>20<583n6:84=06:>20<58<36:84=0c6>20<58?;6:84=055>20<58l1;;5214;933=:9;i1;;52154933=:;=:1;;52356933=z{1<1<7772349i47h8;<301?`?3ty3m7>52z?;=?1a343:6?><;|q;f?6=:r73n73ty3o7>52z?;g?47;273j7h6;|q;`?6=:r73h752z?;a?47;272=7h6;|q;b?6=:r73j752z?:4?47;272=7h7;|q25<<72:qU=<74=00`>=><589;6k94}r31g?6==r7:>n4=149>710=n>16?9<5f89>>50;0x94572;:870?<3;d:?xu6;80;6?u21239655<589?6k74}r306?6=:r7:??4=029>560=nh1v<=<:181874;38;?63>348e=>{t9:>1<776434;8:7h7;|q270<72;q6=>;5211894512o30q~?<8;29=~X6;116?ik5f99>71?=n1164o4i8:?21`70?<3;d;?xu6<>0;6?u21549<==:9=21>==4}r37=?6=:rT:845215;964352z?20<;6h6?><;<37a?`?3ty:8i4?:3y>51b=:9901<:j:g;8yv73m3:1>v3>4d8146=:9=l1j45rs073>5<5s4;><7<>5:?20g16=>:5f99>52e=n116?>m5f99~w43>2909wS?:9:?21<<59<1v<;n:181872132370?:c;037>{t976434;==7h6;|q21a<72;q6=8j5211894042o20q~?:e;296~;6=l09<>521709b==z{8?m6=4={<36b?47;27::?4i9:p536=838p1<8?:320?871<3l27p}>6083>7}:9?;1>==4=07`>c>7>52z?227<58:16=;=5f89~w4042909w0?93;037>;6>=0m46s|17694?4|58<;<36g?`>3ty::54?:3y]53><58<36??:;|q22<<72;q6=;65899>53b=:990q~?9a;296~;6>h09<>5217f9b==z{86d83>7}:9?21;k52167965552z?22c<58:16=:=5f99~w4172909w0?80;037>;6?:0m56s|16394?4|58=:6?><;<341?`?3ty:;?4?:3y>524=:9901<9::gc8yv70;3:1>v3>728146=:9>>1j45rs057>5<5s4;<8770?9a;d:?xu6?>0;6?u216:9655<58=n6k74}r3452e=n01v<96:181870i38;?63>7e8e<>{t9>k1<776434;5216g9bd=z{83n6=4={_3:a>;61l09=85rs0;e>5<5s4;2i767;<3b0?47;2wx=l>50;0x94g72;:870?n4;d;?xu6i80;6?u21`39655<58k86k64}r3b6?6=:r7:m?4=029>5d5=n01va58e=>{t9h?1<777234;j<7h6;|q2e3<72;q6=l;5899>5d1=:990q~?ie;291~;5?32370?ie;021>;6i<05184b>;513l27p}>fg83>6}:9oo1455216493c=:9>o1>==4}r034?6=ir784k4i5:?;=?>63498>76>;<04>=7<583n65?4=06:>=7<58<365?4=0c6>=7<58?;65?4=055>=752z\1f0=:;l:1j:5rs211>5<0s498>7<>5:?00d<57g9>763=:990q~=<4;296~;4;=09<>5232c9b==z{:9=6=4={<102?47;278?l4i9:p761=838p1>=8:320?854j3l37p}<3983>7}:;:21>==4=21a>c?52z?07<<58:16?>;5f89~w65f2909w0=;4;j0m56s|32`94?4|5:9i6?><;<10`?`f3ty8?n4?:3y>76e=:9901>=k:g:8yv54l3:1>v3<3e8146=:;:?1j55rs263>55:?014:<:320?xu4<;0;6?u23509655<5:>86k74}r170?6=jr7:j767;<170?46=2789?4i8:?00=:;:9:896202;:87p}<4783>7}:;=<1>==4=26`>c?52z?00=<58:16?9k5f99~w62>2909w0=;9;037>;4j6?><;<17`?`>3ty88o4?:3y>71d=:9901>:i:g;8yv53k3:1>v3<4b8146=:;==1j55rs26g>5<5s49?h7<6k74}r164?6=:r789<4=029>704=n01v>o8:181[5f?278hk4i7:p7g1=838pR>l8;<1a=?47;2wx?o650;0x96d?2;:870=m9;d:?xu4lh0;6?uQ3ec896be2;:87p}7}:;mh1j:523d0965552z?0``<58:16?h<5f99~w6ba2909w0=kf;037>;4m80m46s|3d294?4|5:o;6?><;<1f5?`>3ty8i<4?:3y>7`7=:9901>k=:g;8yv5b03:1>vP7g?=n11v>k6:181[5b127:854i7:p7`b=839pR>kk;<366?`034;?h7h8;|q763<72:qU8?84=0c4>c1<58k96k94}r660?6=59;3;>4b|@:=h7)=:3;d7?!7fi398j6a;4e83>>i4kl0;66g>i1k3:17dh?:188m7ca2900e?h;:188m7`>2900e<>?:188f6>a290:6=4?{%167?`13A93i6F<7b9lb0<722wi?nk50;794?6|,:?86k=4H2:f?M50k2c5;h:2>5<<1<75f8983>>i59<0;66sm2g294?3=83:p(>;<:g18L6>b3A962900e:850;9j<=<722e9=84?::a6c3=83?1<7>t$270>c5<@:2n7E=8c:k4b?6=3`2:6=44i6494?=n010;66a=1483>>{e;>l1<7;50;2x 6342o90D>6j;I14g>o0n3:17d6>:188m20=831b454?::m150<722wi?=4?:283>5}#;<91j?5G39g8L61d3-;;97>4i6494?=n010;66a=1483>>{e>m0;6?4?:1y'705=98=0D>6j;I14g>oa?3:17b50z&016<58l1C?5k4H25`?l`?2900ek750;9l655=831vn>:50;094?6|,:?86N4?j1bj:4?::m146<722wi?i:50;094?6|,:?86N4?j1bj:4?::m146<722wi?i;50;194?6|,:?86?>j;I1;a>N4?j1bj54?::ke=?6=3f8;?7>5;|`0<4<72:0;6=u+34196d=O;1o0D>9l;hd;>5<>i58:0;66sm2g094?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66sm2g194?5=83:p(>;<:32f?M5?m2B8;n5ff983>>oa13:17b7>50z&016<69>1C?5k4H25`?l`02900c?><:188yg23m3:1>7>50z&016<69>1C?5k4H25`?l`02900c?><:188yg23n3:1?7>50z&016<58l1C?5k4H25`?l`?2900ek750;9l655=831vn>6<:180>5<7s-9>?7i0ek650;9jb<<722e9<>4?::a7=2=8391<7>t$270>76b3A93i6F<7b9jb=<722cm57>5;n037?6=3th8484?:383>5}#;<91=<94H2:f?M50k2cm;7>5;n037?6=3th84;4?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rb2:4>5<4290;w)=:3;03a>N40l1C?:m4ig:94?=nn00;66a=0283>>{t;90;6?u2318150=:>l0m46s|3383>7}:;90<:63<1;037>{t;:0;6?u2318;<>;4<38;?6s|6b83><}Y>j16>k;5899>6c6=0116:h4=029>7a2=n>16?5?5f99>6c1=n>16>k<5f69>7=3=n>1v;j50;0x93b=:9901;k5f89~wc6=834=2af>20<5;l;6:84=3d6>20<5:=m6:84=239b2=z{8:;6=4<{_334>;4l<0m463<808e=>{t:9:1<7;t=2:e>c3<5:in65?4=3d3>=7<5;l>65?4=25e>=753z\1ac=::o91j5523969b==z{;l;6=4={<0e4?46=278494i9:p6c7=838p1?h?:6d897`42;:87p}=f383>7}::o81>==4=3d0>c?53z\1b1=::o21j5523919b==z{;l>6=4={<0e1?46=2784>4i9:p6c0=838p1?h::6d897`?2;:87p}=f683>7}::o=1>==4=3d;>c?52z?03c<59<1689k5f69~w6>72909w0=8f;:;?85?938;?6s|39094?5|5:=m6:h4=2af>2`<5:2<6?><;|q0<6<72;q6?5=5211896>12o20q~=74;296~;40=09<>523949b<=z{:2>6=4={<1;1?47;2784:4i9:p7=0=838p1>69:320?85??3l37p}1}Y;h=01;j5f69>71mj;<1`a?46=2wx?i=50;0x96eb21201>j::320?xu4l=0;6?u23e69655<5:n>6k74}r67`?6=:rT?8i5245d9655?i7>52z?70`<58:1689h5f89~yg5>i3:1=94n1;cgM50k2.89>4>2e9'5dg=;:l0e9:k:188k6gc2900e>mj:188m4g>2900c5;h367?6=3`5<5<5<6j;I14g>ia=3:17pl;3683>0<729q/?8=5f29K7=c<@:=h7d9i:188m=7=831b;;4?::k;5;|`be?6=;3:1N40l1C?:m4i6494?=n010;66a=1483>>{ejl0;6>4?:1y'705=n;1C?5k4H25`?l112900e5650;9l643=831vnoo50;194?6|,:?86k<4H2:f?M50k2c<:7>5;h:;>5<6=44}c`5>5<4290;w)=:3;d1?M5?m2B8;n5f7783>>o?03:17b<>5;29?xde:3:1?7>50z&0165}#;<91j?5G39g8L61d3`==6=44i9:94?=h:8?1<75rb03:>5<4290;w)=:3;d1?M5?m2B8;n5f7783>>o?03:17b<>5;29?xdbj3:1?7>50z&0165;h:;>5<6=44}c1f`?6=;3:1N40l1C?:m4i6494?=n010;66a=1483>>{e;hn1<7=50;2x 6342o80D>6j;I14g>o0>3:17d67:188k7722900qo=k6;297?6=8r.89>4i2:J0<`=O;>i0e:850;9j<=<722e9=84?::aad<72:0;6=u+3419b7=O;1o0D>9l;h55>5<6<729q/?8=5f39K7=c<@:=h7d99:188m=>=831d><;50;9~f26=8391<7>t$270>c4<@:2n7E=8c:k42?6=3`236=44o336>5<6483>6<729q/?8=5f39K7=c<@:=h7)??5;28m20=831b454?::m150<722wii<4?:283>5}#;<91j?5G39g8L61d3-;;97>4i6494?=n010;66a=1483>>{e1>0;6>4?:1y'705=n;1C?5k4H25`?!77=3:0e:850;9j<=<722e9=84?::a52`=8391<7>t$270>c4<@:2n7E=8c:&240<73`==6=44i9:94?=h:8?1<75rb0:6>5<4290;w)=:3;d1?M5?m2B8;n5+11794>o0>3:17d67:188k7722900qo?63;297?6=8r.89>4i2:J0<`=O;>i0(<>::19j33<722c347>5;n021?6=3thh97>53;397~N4?j1/?8=51`a8m<3=831b5;4?::m06f<722h8594?:283>5}#;<91?5j4H2:f?M50k2cm47>5;hd:>5<5<5sW3>70=64;d:?xu>>3:1>vP66:?0=1=831bj44?::m146<722wx584?:3y]=0=:;0>1j45rs8494?4|V0<01>7;:g:8yv55k3:1>vP<2b9>7<2=:990qpll3;297?7=;rB8;n5+34195de>i4:j0;66l<9583>6<729q/?8=539f8L6>b3A92900c?><:188yv?22909wS7:;<1:0?`>3ty2:7>52z\:2>;41=0m46s|33a94?4|V:8h70=64;037>{zjj81<7=51;1xL61d3-9>?7?nc:k:1?6=3`3=6=44o20`>5<>i58:0;66s|9483>7}Y1<16?4:5f89~w<0=838pR484=2;7>c>52z\06f=:;0>1>==4}|`0b1<72:0;6=u+34196d=O;1o0D>9l;hd;>5<4>169K7=c<@:=h7dh8:188k7642900qo9;:181>5<7s-9>?7?>7:J0<`=O;>i0ek950;9l655=831vn?l;:181>5<7s-9>?7?>7:J0<`=O;>i0ek950;9l655=831vn>6n:181>5<7s-9>?7?>7:J0<`=O;>i0ek950;9l655=831vn>h9:181>5<7s-9>?7?>7:J0<`=O;>i0ek950;9l655=831vn95<7s-9>?7?>7:J0<`=O;>i0ek950;9l655=831vn9=l:181>5<7s-9>?7?>7:J0<`=O;>i0ek950;9l655=831vnn>50;094?6|,:?86N4?j1bj:4?::m146<722wio<4?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rbca94?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66smbe83>6<729q/?8=52`9K7=c<@:=h7dh7:188mc?=831d>==50;9~fg>=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::af<<72:0;6=u+34196d=O;1o0D>9l;hd;>5<1<7<50;2x 63428;<7E=7e:J03f=nn>0;66a=0283>>{ej<0;6>4?:1y'705=:h1C?5k4H25`?l`?2900ek750;9l655=831vnn950;194?6|,:?86?o4H2:f?M50k2cm47>5;hd:>5<5<4290;w)=:3;0b?M5?m2B8;n5ff983>>oa13:17b50z&016<5i2B84h5G36a8mc>=831bj44?::m146<722wi;?4?:383>5}#;<91=<94H2:f?M50k2cm;7>5;n037?6=3th:::4?:383>5}#;<91=<94H2:f?M50k2cm;7>5;n037?6=3th8i94?:383>5}#;<91=<94H2:f?M50k2cm;7>5;n037?6=3th8i84?:383>5}#;<91=<94H2:f?M50k2cm;7>5;n037?6=3th8i;4?:283>5}#;<91>=k4H2:f?M50k2cm47>5;hd:>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e90?1<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo?66;297?6=8r.89>4=a:J0<`=O;>i0ek650;9jb<<722e9<>4?::a5<1=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a5<>=8381<7>t$270>4703A93i6F<7b9jb2<722e9<>4?::a5t$270>4703A93i6F<7b9jb2<722e9<>4?::a5t$270>7g<@:2n7E=8c:ke5<53;294~"4=:095<3290;w)=:3;0a?M5?m2B8;n5ff983>>oa13:17dhn:188k7642900qo?6d;297?6=8r.89>4=0d9K7=c<@:=h7dh7:188mc?=831d>==50;9~f4>029096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f4>e29096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f4>d29096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f4>c29096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f4>b29096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f4>a29096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f4?729096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f4?6290>6=4?{%167?4d3A93i6F<7b9jb=<722cm57>5;hdb>5<8983>1<729q/?8=521d8L6>b3A92900eko50;9l655=831vn<66:180>5<7s-9>?7N4?j1bj54?::ke=?6=3f8;?7>5;|`25<53;294~"4=:09m6F<8d9K72e>i58:0;66sm19094?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66sm19194?5=83:p(>;<:3c8L6>b3A92900c?><:188yg7?<3:1?7>50z&016<58l1C?5k4H25`?l`?2900ek750;9l655=831vnh=50;094?6|,:?86N4?j1bj:4?::m146<722wii94?:383>5}#;<91=<94H2:f?M50k2cm;7>5;n037?6=3thn97>52;294~"4=:0:=:5G39g8L61d3`l<6=44o320>5<0;66a=0283>>{em10;6:4?:1y'705=:l1C?5k4H25`?l`?2900ek750;9jbd<722cmn7>5;hd`>5<0;66a=0283>>{ekl0;6>4?:1y'705=:h1C?5k4H25`?l`?2900ek750;9l655=831vnnh50;194?6|,:?86?>j;I1;a>N4?j1bj54?::ke=?6=3f8;?7>5;|`70=<72<0;6=u+34196f=O;1o0D>9l;hd;>5<>oaj3:17b6j;I14g>oa?3:17b6j;I14g>oa?3:17b4?::a01e=8391<7>t$270>7g<@:2n7E=8c:ke5<>i58:0;66sm2583>7<729q/?8=51058L6>b3A94=a:J0<`=O;>i0ek650;9jb<<722e9<>4?::a63<72:0;6=u+341965c<@:2n7E=8c:ke5<52;294~"4=:0:=:5G39g8L61d3`l<6=44o320>5<52;294~"4=:0:=:5G39g8L61d3`l<6=44o320>5<53;294~"4=:095<4290;w)=:3;0b?M5?m2B8;n5ff983>>oa13:17b7>50z&016<69>1C?5k4H25`?l`02900c?><:188ygb>290?6=4?{%167?4e3A93i6F<7b9jb=<722cm57>5;hdb>5<5<5290;w)=:3;323>N40l1C?:m4ig594?=h:991<75rbe`94?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66smdb83>7<729q/?8=51058L6>b3A94>169K7=c<@:=h7dh8:188k7642900qojj:181>5<7s-9>?7?>7:J0<`=O;>i0ek950;9l655=831vnih50;194?6|,:?86?>j;I1;a>N4?j1bj54?::ke=?6=3f8;?7>5;|`f4?6=<3:1N40l1C?:m4ig:94?=nn00;66gia;29?j47;3:17plk6;297?6=8r.89>4=a:J0<`=O;>i0ek650;9jb<<722e9<>4?::a`2<72:0;6=u+341965c<@:2n7E=8c:ke5<<:188yg24m3:1:7>50z&016<5l2B84h5G36a8mc>=831bj44?::kee?6=3`li6=44iga94?=h:991<75rb51e>5<5290;w)=:3;323>N40l1C?:m4ig594?=h:991<75rb563>5<5290;w)=:3;323>N40l1C?:m4ig594?=h:991<75rb562>5<5290;w)=:3;323>N40l1C?:m4ig594?=h:991<75rb561>5<5290;w)=:3;323>N40l1C?:m4ig594?=h:991<75rb560>5<5290;w)=:3;323>N40l1C?:m4ig594?=h:991<75rb567>5<3290;w)=:3;0a?M5?m2B8;n5ff983>>oa13:17dhn:188k7642900qo:;5;297?6=8r.89>4=0d9K7=c<@:=h7dh7:188mc?=831d>==50;9~f121290?6=4?{%167?4e3A93i6F<7b9jb=<722cm57>5;hdb>5<9l;hd4>5<9l;hd4>5<9l;hd4>5<9l;hd4>5<9l;hd4>5<9l;hd4>5<9l;hd4>5<9l;hd;>5<1e83>1<729q/?8=52c9K7=c<@:=h7dh7:188mc?=831bjl4?::m146<722wi=5;hd:>5<9l;hd;>5<2083>1<729q/?8=521d8L6>b3A92900eko50;9l655=831vn<<=:180>5<7s-9>?7N4?j1bj54?::ke=?6=3f8;?7>5;|`266<72:0;6=u+341965c<@:2n7E=8c:ke5<>i58:0;66sm9`83>7<729q/?8=51058L6>b3A94>169K7=c<@:=h7dh8:188k7642900qo7l:181>5<7s-9>?7?>7:J0<`=O;>i0ek950;9l655=831vn4j50;094?6|,:?86N4?j1bj:4?::m146<722wi5h4?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rb8d94?0=83:p(>;<:3f8L6>b3A92900eko50;9jbg<722cmo7>5;n037?6=3thj<7>53;294~"4=:09;<:034?M5?m2B8;n5ff683>>i58:0;66smae83>7<729q/?8=51058L6>b3A94>169K7=c<@:=h7dh8:188k7642900qooi:180>5<7s-9>?7N4?j1bj54?::ke=?6=3f8;?7>5;|`a4?6=;3:19l;hd;>5<6j;I14g>oa03:17dh6:188k7642900qo=i8;296?6=8r.89>4>169K7=c<@:=h7dh8:188k7642900qo=i9;296?6=8r.89>4>169K7=c<@:=h7dh8:188k7642900qo=ia;296?6=8r.89>4>169K7=c<@:=h7dh8:188k7642900qo=ib;297?6=8r.89>4=0d9K7=c<@:=h7dh7:188mc?=831d>==50;9~f6`d290?6=4?{%167?4e3A93i6F<7b9jb=<722cm57>5;hdb>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e;oo1<7=50;2x 6342;:n7E=7e:J03f=nn10;66gi9;29?j47;3:17p}>f;296~X6n27:j7<>5:p67<72;q6=k478:?12?47;2wx>>4?:3y>66<58:16>84i8:p61<72;q6>94=029>6084?:3y>60<58:16>;4i9:p21<72=qU:9523d79b2=:90<1j4529g8e=>{t?90;6>u2718150=:91=1j:521379b2=z{>;1<7;t=62933=::k81;;52e`842>;>:3==709=:320?xu0;3:1>v380;:;?8132;:87p}87;2955}Y?>168>95779>ed<0>27ii799;<`b>20<5k<1;;52b3842>;6n3==70?>9;55?8ce2><01h?5779>=2<0>27:;k486:?2<0<0>27:5>486:?222968e3>;60o0m;63>1c8e3>{t1;0;6>u2938150=:91h1j:5213:9b2=z{091<75rs8594?4|50=1><;4=2g7>c1=><5h:1>==4}r;:>5<5s4326?><;<;f>c?764343m6k64}r;a>5<5s43i6?><;<;e>cg764343m6kl4}r;g>5<5s43o6?><;<;e>ce76434k;6k64}r;e>5<5s43m6?><;c?70m=:8789f1=n016i>4i7:?70=c1<5=9n6k64=563>c1<5hn1j:5rs``94?4|5hk14552b08146=z{hi1<7==4=c29b<=z{ho1<7==4=c29b==z{k:1<7<;4=b09=3=:k>0m463j5;d4?82303li70j6:g;89ag=n>168>k5f89>06`=n>1vo=50;0x9g4=0116n84=029~wg2=838p1o:521189g3=n01vl950;:x9g0=:8?01n=5979>g<34no6k94=51f>cg<5=>:6k94}r`4>5<5s4h=6564=c;965576434h26k74}rc;>5<0s4hj6??:;<0<5jh1j552e78e3>;3<00m;63ke;d4?823;3l<7p}mb;296~;ei32370lk:320?xuek3:1>v3mc;037>;el3l27p}n9;293~;em38:963l5;;5?8c?2o3019:n:g589a?=nh16hn4i7:?707f`g4g6<>=27h;73tyh47>53z?`0??234i26?><;c?<3<5jh1>==4}ra`>5<2s4i;6k94=ca9b2=:j10m;63m4;d4?8ea2;:87p}ld;296~;dl38;?63le;d:?xudm3:1>v3le;037>;dn3l27p}k0;296~;d:399o63m5;d;?xuc93:1>v3l3;11g>;e13l37p}k2;296~;d<399o63md;d;?xuc;3:1>v3l5;11g>;d93l37p}k4;297~;dn3l370j7:320?8gb2o=0q~j::1818b22;:870ji:g;8yvb12909w0j9:320?8b?2ok0q~j8:1818b02;:870j7:g:8yvb>2909w0j6:320?8b02o20q~jn:1818bf2;:870k?:g;8yvbe2909w0jm:320?8c72o20q~jl:1818bd2;:870k?:gc8yvbc2909w0jk:320?8b12o20q~jj:1818bb2;:870j9:g;8yvba2909w0ji:320?8b?2o30q~k?:1818c72;:870j8:g;8yvc62908w0k>:336?87?k3l<70?=4;d4?xub:3:1>v3j1;:;?8c?2;:87p}j3;296~;b;38;?63j8;d;?xub<3:1>v3j4;037>;b03lj7p}j5;296~;b=38;?63j8;d`?xub>3:1>v3j6;037>;b03li7p}j7;296~;b?38;?63j8;dg?xubi3:19v3jb;:;?8cf2;;>70mk:g589a`=n116mn4i7:pag<72=q6io4=149>g`c>54z\25<=:9831><;4=56;>c><5=9n6kl4}r32e?6=:r7:=4478:?266<58:1v1b8e=>{t98i1<776434;9=7h6;|q25a<72;q6=e;296~;69l09<>521319b<=z{8;m6=4={<32b?47;27:>=4i8:p576=838p1<2083>7}:9;;1>==4=001>c?7>52z?267<58:16=?=5f99~w4432909w0?=4;037>;69m0m56s|13794?4|588>6?><;<32`?`?3ty:>;4?:3y>570=:9901v3>268146=:98l1j45rs00;>5<5s4;9472;:870?=1;db?xu6:h0;6?u213c9655<58;n6k64}r31f?6=:r7:>o4=029>54c=n01v<;<:181[72;278j94i8:p50?=838pR<;6;<351?>?3ty::84?:4y>533=:8?01<79:g:8975=n116=?o5f99>=d<019<9:64896cc2><01>j9:64896gc2><01>67:64894002;:87p}>7g83>a}:9>l1><;4=2f:>c?<583>6k64=0;:>c1<583;6k94=0:2>c?<5;>1j:523c39b==:9;31j:5213`9b2=:1k0m;63;4nk0m46s|19294?4|58=m6564=0:7>7643ty:4<4?:3y>5=7=:9901<6;:g:8yv7?:3:1>v3>838146=:9191j45rs0:0>5<5s4;3?722;;>70=k9;d;?87>=3l270?68;d4?87?13l370?73;d;?8412o201>l?:g5894452o201475f99>=ff2;:87p}>8683>7}:91=1>==4=0;2>c>52z?2<=<58:16=575f89~w4>>2909w0?79;037>;60h0m46s|19`94?4|582i6?><;<3:5?`>3ty:4n4?:3y>5=e=:9901<7>:gc8yv7?l3:1>v3>8e8146=:90;1jo5rs0:f>5<5s4;3i7a2;:870?78;db?xu6190;6?u21829655<58396k74}r3:5?6=:r7:5<4=029>5=>=n01v<7=:18187>:38;?63>8`8e=>{t9091<7ht=0;0>77234;2n7h7;<3;a?`034;2>7h7;<3;5?`?34;3>7h8;<00>c?<5:km6k94=004>c1<588j6k74=03`>c><5031j4529e8e3>;4n10m;63{t90>1<7=><583o6?><;|q2=0<72;q6=4;5211894?c2o20q~?66;296~;61?09<>5218c9b==z{83<6=4={<3:3?47;27:5l4i9:p5<>=838p1<77:320?87>k3l37p}>9883>7}:9031>==4=0;`>cg52z?2=d<58:16=4l5f89~w4?e2909w0?6b;037>;61j0m56s|18a94?4|583h6?><;<3:`?`>3ty:m54?:3y]5d><5=8o6k64}r3b=?6=;rT:m4529d8e<>;4nh0m;6s|21294?4|5:2m6k;4=514>=77>53z?1f7<59<16=5j5f69>570=n>1v?l<:18184e:32370{t;121<7=t=2:;>77234>?47h6;<60a?`d3ty8444?:3y>7=>=0116?5o52118yv5fl3:1>vP7db=:8?0q~=ne;296~;4im03463l?:320?85e:3l27p}7}:;k;1>==4=2`1>c>52z\0f3=:?=0m;6s|3bg94?4|V:in707;:g58yv5c>3:1>vP7a0=:8?0q~=k8;296~;4l?03463;4m?09<>5rs2g7>5<5s49n877p}7}:;o>1>==4=2d5>c152z?0aa{t;o21<7764349mn7h6;|q0b<<72;q6?k75211896`d2o20q~=ia;296~;4nh09<>523ga9bd=z{:li6=4={<1ef?47;278ji4i9:p7ce=838p1>hl:320?85am3l27p}7}:;on1>==4=2df>c>:n7>52z\75g=::k>1j:5rs505>5<5sW>9:63;278150=z{=8h6=4={<61`?47;27?>k4i7:p07c=838p19<9:9:8914a2;:87p};3683>6}Y<:=019=8:336?8c32o=0q~:03463;3b8146=z{=9o6=4={<603?1a34>?:77}:<:l1>==4=567>c??<7>52z?705<58:1689:5f99~w1262909w0:;1;037>;3<=0mm6s|45094?4|5=>96?><;<672?`?3ty?8>4?:3y>015=:99019:9:gc8yv23<3:1>v3;458146=:<=?1j45rs566>5<5s4>?97h6k64}r67=?6=:r7?844=029>01d=n11v9:n:181823i38;?63;4c8ee>{t<=h1<776434>?o7h6;|q70a<72;qU89j4=2:b>c1"6ih08?k5`33494?=n090;66a<1883>>i4jl0;66gn1;29?l5bi3:17b:>f;29?j032900n>6i:182>5<7s-9>?7h9;I1;a>N4?j1dj84?::a73e=83?1<7>t$270>c5<@:2n7E=8c:&240<73`=m6=44i9394?=n??0;66g78;29?j46=3:17pl<6983>0<729q/?8=5f29K7=c<@:=h7)??5;28m2`=831b4<4?::k42?6=3`236=44o336>5<55;294~"4=:0m?6F<8d9K72e<,8:>6=5f7g83>>o?93:17d99:188m=>=831d><;50;9~f63a290>6=4?{%167?`43A93i6F<7b9'553=82c5;h:2>5<<1<75f8983>>i59<0;66sm1b394?3=83:p(>;<:g18L6>b3A962900e:850;9j<=<722e9=84?::a5f4=83?1<7>t$270>c5<@:2n7E=8c:k4b?6=3`2:6=44i6494?=n010;66a=1483>>{e9j<1<7;50;2x 6342o90D>6j;I14g>o0n3:17d6>:188m20=831b454?::m150<722wi=n950;794?6|,:?86k=4H2:f?M50k2c5;h:2>5<<1<75f8983>>i59<0;66sm1b:94?3=83:p(>;<:g18L6>b3A962900e:850;9j<=<722e9=84?::a5f?=83?1<7>t$270>c5<@:2n7E=8c:k4b?6=3`2:6=44i6494?=n010;66a=1483>>{e9jk1<7;50;2x 6342o90D>6j;I14g>o0n3:17d6>:188m20=831b454?::m150<722wi=nl50;794?6|,:?86k=4H2:f?M50k2c5;h:2>5<<1<75f8983>>i59<0;66sm1ba94?3=83:p(>;<:g18L6>b3A962900e:850;9j<=<722e9=84?::a5fb=83?1<7>t$270>c5<@:2n7E=8c:k4b?6=3`2:6=44i6494?=n010;66a=1483>>{e9j91<7;50;2x 6342o90D>6j;I14g>o0n3:17d6>:188m20=831b454?::m150<722wi=n:50;794?6|,:?86k=4H2:f?M50k2c5;h:2>5<<1<75f8983>>i59<0;66sm1b794?3=83:p(>;<:g18L6>b3A962900e:850;9j<=<722e9=84?::a5gg=83?1<7>t$270>c5<@:2n7E=8c:k4b?6=3`2:6=44i6494?=n010;66a=1483>>{e;<21<7;50;2x 6342o90D>6j;I14g>o0n3:17d6>:188m20=831b454?::m150<722wi?<750;794?6|,:?86k=4H2:f?M50k2c5;h:2>5<<1<75f8983>>i59<0;66smeg83>6<729q/?8=5f39K7=c<@:=h7d99:188m=>=831d><;50;9~f`c=8391<7>t$270>c4<@:2n7E=8c:k42?6=3`236=44o336>5<53;294~"4=:0m>6F<8d9K72e<1<75f8983>>i59<0;66sm40d94?5=83:p(>;<:g08L6>b3A9?2900c??::188yg0329086=4?{%167?`53A93i6F<7b9j33<722c347>5;n021?6=3th8in4?:283>5}#;<91j?5G39g8L61d3`==6=44i9:94?=h:8?1<75rb02;>5<4290;w)=:3;d1?M5?m2B8;n5+11794>o0>3:17d67:188k7722900qo??c;297?6=8r.89>4i2:J0<`=O;>i0(<>::19j33<722c347>5;n021?6=3th8;?4?:282>6}O;>i0(>;<:0c`?l?22900e4850;9l77e=831i?4:50;194?6|,:?86>6k;I1;a>N4?j1bj54?::ke=?6=3f8;?7>5;|q:1?6=:rT2963<958e=>{t1?0;6?uQ979>7<2=n11v>>d41=0;6>4?:1y'705=;1n0D>6j;I14g>oa03:17dh6:188k7642900q~7::181[?2349287h6;|q:2?6=:rT2:63<958e<>{t;;i1<7<38;?6srb253>5<42808wE=8c:&016<6ij1b584?::k:2?6=3f99o7>5;c1:0?6=;3:19l;hd;>5<7643twi=i>50;195?5|@:=h7)=:3;3bg>o>=3:17d79:188k64d2900n>7;:180>5<7s-9>?7=7d:J0<`=O;>i0ek650;9jb<<722e9<>4?::p=0<72;qU58523869b<=z{0<1<74>:2yK72e<,:?865<1<7=50;2x 6342:2o7E=7e:J03f=nn10;66gi9;29?j47;3:17p}65;296~X>=278594i9:p=3<72;qU5;523869b==z{:8h6=4={_11g>;41=09<>5r}c3`a?6=;3;1?vF<7b9'705=9hi0e4;50;9j=3<722e8>n4?::`0=1<72:0;6=u+34197=b<@:2n7E=8c:ke5<6=4={_;6?85><3l27p}66;296~X>>278594i8:p77e=838pR>4<4sA94<8e9K7=c<@:=h7dh7:188mc?=831d>==50;9~w<3=838pR4;4=2;7>c?<3l37p}<2b83>7}Y;;i01>7;:320?x{e9m21<7=51;1xL61d3-9>?7?nc:k:1?6=3`3=6=44o20`>5<>i58:0;66s|9483>7}Y1<16?4:5f89~w<0=838pR484=2;7>c>52z\06f=:;0>1>==4}|`2`2<72:0:6>uG36a8 63428kh7d7::188m<0=831d??m50;9a7<2=8391<7>t$270>6>c3A93i6F<7b9jb=<722cm57>5;n037?6=3ty297>52z\:1>;41=0m56s|9783>7}Y1?16?4:5f99~w64d2909wS==c:?0=1<58:1vqo?k6;297?7=;rB8;n5+34195de>i4:j0;66l<9583>6<729q/?8=539f8L6>b3A92900c?><:188yv?22909wS7:;<1:0?`>3ty2:7>52z\:2>;41=0m46s|33a94?4|V:8h70=64;037>{zj8n>6=4<:080M50k2.89>4>ab9j=0<722c2:7>5;n11g?6=3k9287>53;294~"4=:084i5G39g8L61d3`l36=44ig;94?=h:991<75rs8794?4|V0?01>7;:g;8yv?12909wS79;<1:0?`?3ty8>n4?:3y]77e<5:3?6?><;|a5a2=8391=7=tH25`?!52;3;jo6g65;29?l?12900c>=831bj44?::m146<722wx584?:3y]=0=:;0>1j45rs8494?4|V0<01>7;:g:8yv55k3:1>vP<2b9>7<2=:990qpl>d283>6<62:qC?:m4$270>4gd3`3>6=44i8494?=h;;i1<75m38694?5=83:p(>;<:2:g?M5?m2B8;n5ff983>>oa13:17b=3:1>vP65:?0=11j55rs20`>5<5sW99o63<958146=zuk;o>7>53;397~N4?j1/?8=51`a8m<3=831b5;4?::m06f<722h8594?:283>5}#;<91?5j4H2:f?M50k2cm47>5;hd:>5<5<5sW3>70=64;d:?xu>>3:1>vP66:?0=1"4=:0:mn5f9483>>o>>3:17b==c;29?g5><3:1?7>50z&016<40m1C?5k4H25`?l`?2900ek750;9l655=831v4;50;0xZ<3<5:3?6k74}r;5>5<5sW3=70=64;d;?xu4:j0;6?uQ33a896?32;:87psma283>7<729q/?8=51058L6>b3A94=a:J0<`=O;>i0ek650;9jb<<722e9<>4?::a72d=8391<7>t$270>7g<@:2n7E=8c:ke5<52;294~"4=:0:=:5G39g8L61d3`l<6=44o320>5<53;294~"4=:09m6F<8d9K72e>i58:0;66sm1eg94?5=83:p(>;<:3c8L6>b3A92900c?><:188yg7b83:1?7>50z&016<5i2B84h5G36a8mc>=831bj44?::m146<722wi=h<50;194?6|,:?86?o4H2:f?M50k2cm47>5;hd:>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e9l<1<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo?j8;297?6=8r.89>4=a:J0<`=O;>i0ek650;9jb<<722e9<>4?::a5`g=8391<7>t$270>7g<@:2n7E=8c:ke5<53;294~"4=:09m6F<8d9K72e>i58:0;66sm1dg94?5=83:p(>;<:3c8L6>b3A92900c?><:188yg7a83:1?7>50z&016<5i2B84h5G36a8mc>=831bj44?::m146<722wi=io50;094?6|,:?86N4?j1bj:4?::m146<722wi?;k50;094?6|,:?86N4?j1bj:4?::m146<722wi?;h50;194?6|,:?86?o4H2:f?M50k2cm47>5;hd:>5<9l;hd4>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e;?<1<7<50;2x 63428;<7E=7e:J03f=nn>0;66a=0283>>{e;?=1<7=50;2x 6342;k0D>6j;I14g>oa03:17dh6:188k7642900qo=91;296?6=8r.89>4>169K7=c<@:=h7dh8:188k7642900qo=92;296?6=8r.89>4>169K7=c<@:=h7dh8:188k7642900qo=93;297?6=8r.89>4=a:J0<`=O;>i0ek650;9jb<<722e9<>4?::a722=8391<7>t$270>7g<@:2n7E=8c:ke5<53;294~"4=:09m6F<8d9K72e>i58:0;66sm10694?4=83:p(>;<:034?M5?m2B8;n5ff683>>i58:0;66sm10794?5=83:p(>;<:3c8L6>b3A92900c?><:188yg77?3:187>50z&016<5j2B84h5G36a8mc>=831bj44?::kee?6=3f8;?7>5;|`01d<72:0;6=u+34196d=O;1o0D>9l;hd;>5<6<729q/?8=52`9K7=c<@:=h7dh7:188mc?=831d>==50;9~f4dd29026=4?{%167?4a3A93i6F<7b9jb=<722cm57>5;hdb>5<>oal3:17dhj:188mc`=831d>==50;9~f4dc290>6=4?{%167?4d3A93i6F<7b9jb=<722cm57>5;hdb>5<c183>6<729q/?8=52`9K7=c<@:=h7dh7:188mc?=831d>==50;9~f46b29096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f46a29096=4?{%167?76?2B84h5G36a8mc1=831d>==50;9~f47729086=4?{%167?4f3A93i6F<7b9jb=<722cm57>5;n037?6=3th:=<4?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rb031>5<4290;w)=:3;03a>N40l1C?:m4ig:94?=nn00;66a=0283>>{e99k1<7:50;2x 6342;h0D>6j;I14g>oa03:17dh6:188mcg=831d>==50;9~f46e29086=4?{%167?47m2B84h5G36a8mc>=831bj44?::m146<722wi??<50;094?6|,:?86N4?j1bj:4?::m146<722wi??=50;094?6|,:?86N4?j1bj:4?::m146<722wi??:50;094?6|,:?86N4?j1bj:4?::m146<722wi??;50;694?6|,:?86?l4H2:f?M50k2cm47>5;hd:>5<0;66a=0283>>{e>>0;6?4?:1y'705=98=0D>6j;I14g>oa?3:17b50z&016<5i2B84h5G36a8mc>=831bj44?::m146<722wi:44?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rb7c94?5=83:p(>;<:32f?M5?m2B8;n5ff983>>oa13:17b50z&016<5i2B84h5G36a8mc>=831bj44?::m146<722wi?n?50;194?6|,:?86?o4H2:f?M50k2cm47>5;hd:>5<9l;hd4>5<9l;hd4>5<N40l1C?:m4ig:94?=nn00;66a=0283>>{e;j?1<7=50;2x 6342;:n7E=7e:J03f=nn10;66gi9;29?j47;3:17pl6<729q/?8=52`9K7=c<@:=h7dh7:188mc?=831d>==50;9~f6e029086=4?{%167?47m2B84h5G36a8mc>=831bj44?::m146<722wx:94?:2y]21=:>=09=852698e<>{t><0;6?u2658;<>;1j38;?6s|6783>7}:>?09<>52698e=>{t>>0;6?u2668146=:>00m56s|6983>7}:>109<>526`8e<>{t>00;6?u2688146=:>h0m56s|6`83>7}:>h09<>526c8e=>{t090;6<01>87:64896032><01>;i:64894e62><01<01<01<01<01<01<01<01>?6:6489``=??16ih486:?0f`<0>27?=k486:?50?11349no799;<33;4900;4>j0;4>=0;4?k0m563>068e<>{ti:0;6?u2a28146=:i=0m56s|eb83>6}:mo03463je;021>;f;3l<7p}jd;296~;bn38:963n4;d;?xu68?0;69u21159655<58:m6k94=033>c><58:j6k64}r33543=n116==k5f69>55d=n116:o4i8:?0g47:9:8946e2;:87p}>0`83>7}:99k1>==4=02a>c?57z?24f<59<16=<:5f69>547=n116==o5f`9>2355e=0116=<<52118yv77m3:1>v3>0d8146=:98:1j45rs02e>5<5s4;;j750;0x94772;:870?>2;d;?xu6980;6?u21039655<58;96k74}r327?6=:r7:=94=029>543=n01v5;037>{t9kk1<777234;;;7hn;|q2fg<72;q6=oo5899>5f6=:990q~?mc;296~;6jj09<>521b29b==z{8ho6=4={<3a`?47;27:nk4i8:p5gc=838p1bg83>7}:9kl1>==4=0a3>c?55z?2g4<59<16=i?5949>5ae=n016=io5f69>5gc=n>1v:18787d:38:963>d08:2>;6lj0m463>bb8e<>{t9ho1<7:t=0a0>77234;hi779;<3fa?`?34;ih7hn;|q2ec<72=q6=n:5207894ea20<015<3s4;h:7<>5:?2`7<>>27:hh4i8:?2ff70?k3;;5?87b83l370?mc;db?xu6j=0;69u21b:9643<58n?6484=0g1>c><58hh6km4}r3a1?6=5a3=1?16=h:5f99>5ge=nk1vd78:2>;6m?0m463>bb8e`>{t9k=1<7:t=0aa>77234;o;779;<3fdg83>6}:9m>158521d29655<58o96k74}r3f5?6=;r7:h8465:?2a7<58:16=h:5f89~w4c42908w0?k6;;6?87b<38;?63>e78e=>{t9l?1<7=t=0f4><3<58o=6?><;<3f3ty:i:4?:2y>5a>=1<16=h65211894cf2o30q~?j9;297~;6l002963>e`8146=:9li1j45rs0ga>5<4s4;hi77:;<3fg?47;27:ih4i9:p5`b=839p1==4}r3e5?6=:r7:o<478:?2`d<58:1v{t9o91<7=><58im6>5a6=;;i0q~?i5;296~;6k;03463>d0806f=z{8l=6=4={<3`2?>?34;o>7==c:p5c1=838p1f983>7}:9j2145521e6977e52z?2g<399o6s|1g`94?4|58ii6564=0f4>64d3ty:jn4?:3y>5fe=0116=i6533a8yv7al3:1>v3>ce8;<>;6l008>n5rs323>5<6=r784k4i5:?02f?6;<12=?46=2wx?21201>==4}r116?6=:r78>?4=029>773=n01v><<:181855;38;?63<248e<>{t;;>1<7764349997hn;|q01=<72:q6?8652078961e2o201<>8:g;8yv5213:1>v3<598;<>;4=l09<>5rs27b>5<5s49>m770c=n01v>;;:186852n38:963<718:1>;4>;0m;63<758e=>;4=h0m46s|37294?4|5:?m6564=240>7643ty8:<4?:3y>737=:9901>8<:g:8yv51:3:1>v3<638146=:;?91j45rs276>5<2s49=87<>5:?035<>>278;94i8:?01g>4i7:p733=838p1>8;:9:896002;:87p}<6783>7}:;?<1>==4=244>c?:7>55z?02=<59<16?:?5979>720=n116?8m5f69>774=n>1v>86:181851032370=9b;037>{t;?k1<7764349=n7h6;|q012<72772349<>779;<16e?`>349987h8;|q02a<72;q6?;m5899>73`=:990q~=9e;296~;4>l09<>5237d9b<=z{:=86=4<{<145??2349<8799:320?xu4?>0;6?u2362977e<5:<<6k64}r1473d=n11v>96:181850:399o63<6g8e<>{t;>k1<7?<{<3`5?1a34;h>79i;<3`2?1a34;h;79i;<3`5:?53?`0349h=7h6;<1`1?`?3ty8o=4?:3y>7gc=0116?n952118yv5d93:1>v35<5s49h>76k74}r1`1?6=:r78o84=029>7f0=n01v>m9:18185d>38;?63{t;lk1<76}:;li1><;4=02b>c?<5:i86k94}r62b?6=:rT?=k5240d96435}#;<91=<94H2:f?M50k2cm;7>5;n037?6=3th8n94?:383>5}#;<91=<94H2:f?M50k2cm;7>5;n037?6=3th8m<4?:383>5}#;<91=<94H2:f?M50k2cm;7>5;n037?6=3th8m?4?:383>5}#;<91=<94H2:f?M50k2cm;7>5;n037?6=3th8m>4?:283>5}#;<91>l5G39g8L61d3`l36=44ig;94?=h:991<75rb2;`>5<5290;w)=:3;323>N40l1C?:m4ig594?=h:991<75rb53f>5<5290;w)=:3;323>N40l1C?:m4ig594?=h:991<75rb2c:>5<5290;w)=:3;330>N40l1C?:m4ig594?=h:991<75rb50;>5<5290;w)=:3;330>N40l1C?:m4ig594?=h:991<75rb2``>5<5290;w)=:3;330>N40l1C?:m4ig594?=h:991<75rbd;94?4=83:p(>;<:027?M5?m2B8;n5ff683>>i58:0;66smf083>7<729q/?8=51168L6>b3A97<729q/?8=51168L6>b3A97<729q/?8=51168L6>b3A97<729q/?8=51168L6>b3A94>059K7=c<@:=h7dh8:188k7642900qo97:181>5<7s-9>?7??4:J0<`=O;>i0ek950;9l655=831vn>ki:181>5<7s-9>?7??4:J0<`=O;>i0ek950;9l655=831vn9;9:181>5<7s-9>?7??4:J0<`=O;>i0ek950;9l655=831vn8l50;094?6|,:?86<>;;I1;a>N4?j1bj:4?::m146<722wi9l4?:383>5}#;<91==:4H2:f?M50k2cm;7>5;n037?6=3th>57>52;294~"4=:0:<95G39g8L61d3`l<6=44o320>5<0;66a=0283>>{e=<0;6?4?:1y'705=99>0D>6j;I14g>oa?3:17b7>50z&016<68=1C?5k4H25`?l`02900c?><:188yg3429096=4?{%167?77<2B84h5G36a8mc1=831d>==50;9~f04=8381<7>t$270>4633A93i6F<7b9jb2<722e9<>4?::a14<72;0;6=u+3419552<@:2n7E=8c:ke3?6=3f8;?7>5;|`64?6=:3:19l;hd4>5<5<5290;w)=:3;330>N40l1C?:m4ig594?=h:991<75rb5g94?4=83:p(>;<:027?M5?m2B8;n5ff683>>i58:0;66sm4e83>7<729q/?8=51168L6>b3A94>059K7=c<@:=h7dh8:188k7642900qo8=:181>5<7s-9>?7??4:J0<`=O;>i0ek950;9l655=831vn;?50;094?6|,:?86<>;;I1;a>N4?j1bj:4?::m146<722wi:=4?:383>5}#;<91==:4H2:f?M50k2cm;7>5;n037?6=3th>j7>52;294~"4=:0:<95G39g8L61d3`l<6=44o320>5<0;66a=0283>>{e=j0;6?4?:1y'705=99>0D>6j;I14g>oa?3:17b7>50z&016<68=1C?5k4H25`?l`02900c?><:188yg26k3:187>50z&016<6;>1C?5k4H25`?l`02900el?50;9l655=831d=<650;9~f2g=8381<7>t$270>7633A93i6F<7b9jb2<722e9<>4?::a7a6=8381<7>t$270>7633A93i6F<7b9jb2<722e9<>4?::a007=8381<7>t$270>7633A93i6F<7b9jb2<722e9<>4?::a073=8381<7>t$270>7633A93i6F<7b9jb2<722e9<>4?::a3f<72;0;6=u+3419652<@:2n7E=8c:ke3?6=3f8;?7>5;|`75d<72;0;6=u+3419652<@:2n7E=8c:ke3?6=3f8;?7>5;|`754<72;0;6=u+3419652<@:2n7E=8c:ke3?6=3f8;?7>5;|`0gg<72;0;6=u+3419652<@:2n7E=8c:ke3?6=3f8;?7>5;|`0fc<72;0;6=u+3419652<@:2n7E=8c:ke3?6=3f8;?7>5;|`0eg<72:0;6=u+3419653<@:2n7E=8c:ke3?6=3`k:6=44o320>5<52;294~"4=:09<95G39g8L61d3`l<6=44o320>5<:?7>52;294~"4=:09<95G39g8L61d3`l<6=44o320>5<9m7>53;294~"4=:09<85G39g8L61d3`l<6=44i`394?=h:991<75rb52:>5<5290;w)=:3;030>N40l1C?:m4ig594?=h:991<75rb2;e>5<5290;w)=:3;030>N40l1C?:m4ig594?=h:991<75rb2c5>5<5290;w)=:3;030>N40l1C?:m4ig594?=h:991<75rb501>5<5290;w)=:3;030>N40l1C?:m4ig594?=h:991<75rb2f4>5<5290;w)=:3;030>N40l1C?:m4ig594?=h:991<75rb2d2>5<4290;w)=:3;031>N40l1C?:m4ig594?=ni80;66a=0283>>{e<:31<7=50;2x 6342;:>7E=7e:J03f=nn>0;66gn1;29?j47;3:17pl7<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A97<729q/?8=52168L6>b3A9{t:80;6?u238f95c=:;h81j:5rs3594?4|5:3o6?94=2c6>c16=4={_16?82c2o=0q~=9:181[5134>n6k94}r14>5<5sW9<70:i:g58yv5?2909wS=7;<73>c15<5sW9i70;<:g58yv5d2909wS=l;<77>c15<5sW9m70;8:g58yv272909wS:?;<7;>c1:6=4={_62?83>2o=0q~:=:181[2534?j6k94}r67>5<5sW>?70;m:g58yv222909wS::;<7`>c1=6=4={_65?83c2o=0q~:8:181[2034?n6k94}r6;>5<5sW>370;i:g58yv2>2909wS:6;<43>c1j6=4={_6b?8062o=0q~:m:181[2e34<96k94}r6`>5<5sW>h708<:g58yv2c2908w0=68;4891302?168i4=029~w1c=839p1>77:69>001=?27?i7m6?><;|q64?6=;r785546;<663??<5<:1>==4}r72>5<4s49247o4=574>d=:=809<>5rs4094?5|5:336o524459f>;2:38;?6s|5283>6}:;021o63;568`?8342;:87p}:4;297~;4110o70::7;f8902=:990q~;::18085>03o019;8:d9>10<58:1v8850;1x96??2o168895f:?62?47;2wx9:4?:3y>001=99169:4=029~w0>=839p1>77:038913028;018652118yv3>2908w0=68;30?822?3;870;6:320?xu2i3:1>v3<99820>;2i38;?6s|5c83>7}:;021=;525c8146=z{41<5==4}r7g>5<5s4>>;7?7;<7g>7643ty>i7>52z?712<6127>i71c<58:1v;>50;0x913028h01;>52118yv062909w0::7;3`?8062;:87p}92;297~;4110:h63;5682`>;1:38;?6s|6283>6}:;021=h5244595`=:>:09<>5rs7694?1|5:3j6;:4=2;4>32<5:h?6k94=2ca>d7<5=8j6l?4=2d2>d7<5=926l?4}r4`>5<3s492478l;<663?0d3492h78l;<1:=?0d3ty<;7>52z\43>;003l<7p}88;296~;41h0<;6388;037>{t?00;6?uQ789>3d<58:1v:l50;0xZ2d<5>i1>==4}r5`>5<3s492<7<>0:?0=<<5n016;l4i7:?4g?`03ty52z\4`>;0m3l<7p}8e;296~;41h0{ti80;6?uQa09>a<7a<<58:1vk>50;0xZc6<5o;1j:5rsg394?0|5:3365>4=574>=6<5:3o65>4=2;:>c6<5:3<65>4=g3965552z?0==<68916?4751128yv7613:1>v3<9e825<=:;0k1=<74}r31b?6=:r785i4>2g9>70q~?<8;296~;4110:?55238f956>52z?0=a<6<016?4o51418yv72<3:1>v3<998211=:;0n1=8:4}r36=?6=:r785i4>589>7m2785l4>a99~w7672909w0=7f;d6?826k3l<7p}=0083>6}:<8i1>==4=2;;>17e3492m7:>b:p640=838pR??9;<046?47;2wx><950;0xZ770348<477?>50;0xZ7473482i7;<0b0?47;2wx>?<50;0xZ745348jo777:307?822?38986s|24694?4|5=?<6?;;;<1:=?4bn2wx>:<50;0x91302;;=70<82;d4?xu5?10;6?u24459641<5;=36k94}r04=?6=:r7?9:4=189>62?=n>1v?9n:181822?38:m63=7`8e3>{t:1:1<777e3483<7h8;|q1<3<72;q6889520a897>12o=0q~<7c;296~;3=>09=i5229a9b2=z{;396=4={<663?46m2795?4i7:p6<>=838p19;8:33e?84>03l<7p}=9d83>7}:<<=1>?>4=3;f>c152z?712<5:816>l:5f69~w7gd2909w0::7;016>;5ij0m;6s|2c794?5|5:336?l:;<663?4e=2785i4=b49~w67>2909w0::7;12e>;41>08=45rs205>5<5s4>>;7==7:?0=2<4:?1v>7m:18185>i3;j563<9b8146=z{:3n6=4={_1:a>;41o09<>5rs2;e>5<5s49j?750;0x96g62;:870=n3;d;?xu4i80;6?u23`09655<5:k86k74}r1b0?6=:rT8m9523`4965552z?0e0<58:16?l85f69~w6g?2909wS=n8:?0e<;41008m:523`;965552z\0ed=:;hh1>==4}r1bg?6=:r785l47dd=n>1v>l<:181[5e;278n84=029~w6d22909w0=m4;037>;4j<0m;6s|3c594?4|V:h<70=ma;037>{t;kk1<76d0349im7h8;|q0fg<72;qU?ol4=2``>c153z?0=a<4m116?4o53c4896dd2;:87p}7}Y;ko01>li:320?xu4jo0;6?u238597gc<5:hm6k94}r1`52z?712<4k116?nl5f69~w6ea2909wS=lf:?0`5<58:1v>j?:18185>139hi63{t;m;1<76}:;0n1?h74=2;b>6eb349o>7j9;<1g3?47;2wx?i950;0x96?f2:n=70=k7;d4?xu4lh0;6?uQ3ec896bd2;:87p}7}:;0n1?io4=2f`>c152z\0a6=:;l=1>==4}r1f3?6=:r785l47`1=n>1v>kn:181[5bi278io4i7:p7`d=838p1>78:2gb?85bj38;?6s|3dg94?4|V:on70=jf;d4?xu4mo0;6>u244597`b<5:3o6>kk;<1fb?47;2wx?k>50;0xZ6`7349m=77n:2gg?85a93l<7p};0783>7}Y<9<019>6:320?xu3800;6?u24459050<5=:26k94}r624?6=:rT?==524039655:=7>52z?712<4no1682:?756<58:1v9?<:181822?3>8<63;128e3>{t<8>1<7f;296~;41>0?=k5240g9b2=z{=8;6=4={_614>;3:;09<>5rs501>5<5s4>>;7:=0:?7679977}Y<;=019<7:g58yv2503:1?v3;568763=:;0n18?84=50;>7643ty?>44?:3y]07?<5=8j6?><;|q76g<72;q6?4o54348914f2o=0q~:<8;296~X3;1168>752118yv24i3:1>v3<9`8772=:<:31j:5rs573>5<5sW>><63;508146=z{=?:6=4={<1:=?23l27?9<4i7:p004=838pR9;=;<667?`03ty?9>4?:3y>7l50;0xL61d3tdm?n4?:3yK72e51zJ03f=zfo9n6=4>{I14g>{in:l1<7?tH25`?xha<90;69l;|le01<728qC?:m4}od71?6=9rB8;n5rng65>5<6sA94}O;>i0qch;9;295~N4?j1vbk:n:182M50k2wej9l50;3xL61d3tdm8n4?:0yK72e51zJ03f=zfo>n6=4>{I14g>{in=l1<7?tH25`?xha=90;69l;|le11<72:qC?:m4}od61?6=;rB8;n5rng75>5<4sA96}O;>i0qch:9;297~N4?j1vbk;n:180M50k2wej8l50;1xL61d3tdm9n4?:2yK72eh7>54zJ03f=zfo?n6=4={I14g>{in90;69uG36a8yk`193:1>vF<7b9~jc052909wE=8c:mb35=838pD>9l;|le21<72;qC?:m4}od51?6=:rB8;n5rng45>5<5sA97}O;>i0qch99;297~N4?j1vbk8n:180M50k2wej;l50;1xL61d3tdm:n4?:4yK72e51zJ03f=zfo{in?l1<7?tH25`?xha?90;69l;|le31<728qC?:m4}od41?6=;rB8;n5rng55>5<6sA96}O;>i0qch89;297~N4?j1vbk9n:180M50k2wej:l50;1xL61d3tdm;n4?:2yK72e53zJ03f=zfo=n6=4<{I14g>{in>l1<7uG36a8yk`?93:1=vF<7b9~jc>52908wE=8c:mb=5=83;pD>9l;|le<1<728qC?:m4}od;1?6=9rB8;n5rng:5>5<6sA94}O;>i0qch79;295~N4?j1vbk6n:182M50k2wej5l50;3xL61d3tdm4n4?:0yK72e51zJ03f=zfo2n6=4>{I14g>{in1l1<7=tH25`?xha190;6>uG36a8yk`>93:1>vF<7b9~jc?32909wE=8c:mb<3=838pD>9l;|le=3<72;qC?:m4}od:3?6=:rB8;n5rng;;>5<5sA97}O;>i0qch6b;296~N4?j1vbk7l:181M50k2wej4j50;6xL61d3tdm5h4?:2yK72e54zJ03f=zfok;6=4={I14g>{inh;1<7?tH25`?xhai;0;69l;|lee3<728qC?:m4}odb3?6=9rB8;n5rngc;>5<3sA97}O;>i0qchnb;295~N4?j1vbkol:182M50k2wejlj50;3xL61d3tdmmh4?:3yK72e51zJ03f=zfoh;6=4<{I14g>{ink;1<7?tH25`?xhaj;0;6?uG36a8yk`e;3:1=vF<7b9~jcd32909wE=8c:mbg3=83;pD>9l;|lef3<72;qC?:m4}oda3?6=9rB8;n5rng`;>5<4sA97}O;>i0qchmb;295~N4?j1vbkll:181M50k2wejoj50;3xL61d3tdmnh4?:3yK72e51zJ03f=zfoi;6=4={I14g>{inj;1<7?tH25`?xhak;0;6>uG36a8yk`d;3:1=vF<7b9~jce32909wE=8c:mbf3=83;pD>9l;|leg3<72;qC?:m4}od`3?6=9rB8;n5rnga;>5<5sA97}O;>i0qchlb;295~N4?j1vbkml:181M50k2wejnj50;3xL61d3tdmoh4?:0yK72e52zJ03f=zfon;6=4>{I14g>{inm;1<7vF<7b9~jcb3290:wE=8c:mba3=839pD>9l;|le`3<728qC?:m4}odg3?6=:rB8;n5rngf;>5<6sA94}O;>i0qchkb;296~N4?j1vbkjl:182M50k2wejij50;0xL61d3tdmhh4?:0yK72e54zJ03f=zf89>6=4>{I14g>{i9:<1<7?tH25`?xh6;10;69l;|l27f<728qC?:m4}o30`?6=9rB8;n5rn01f>5<6sA94183>4}O;>i0qc?;1;295~N4?j1vb<:=:182M50k2we=9=50;3xL61d3td:884?:0yK72e51zJ03f=zf8><6=4>{I14g>{i9=21<7?tH25`?xh6<00;69l;|l20a<728qC?:m4}o37a?6=9rB8;n5rn06e>5<6sA95383>4}O;>i0qc?:4;295~N4?j1vb<;::182M50k2we=8850;3xL61d3td:9:4?:0yK72e47>51zJ03f=zf8?26=4>{I14g>{i99l;|l7`1<728qC?:m4}o6g2?6=9rB8;n5rn5g7>5<6sA94}O;>i0qc:j7;295~N4?j1vb9k7:182M50k2we8h750;3xL61d3td?il4?:0yK72enn7>51zJ03f=zf=oh6=4>{I14g>{i9l;|l7b7<728qC?:m4}o6e7?6=9rB8;n5rn5d7>5<6sA94}O;>i0qc:i7;295~N4?j1vb9h7:182M50k2we8k750;3xL61d3td?jl4?:0yK72emn7>51zJ03f=zf=lh6=4>{I14g>{i9l;|l647<728qC?:m4}o737?6=9rB8;n5rn427>5<6sA94}O;>i0qc;?7;295~N4?j1vb8>7:182M50k2we9=750;3xL61d3td>51zJ03f=zf<:h6=4>{I14g>{i=9o1<7?tH25`?xh28o0;69l;|l656<728qC?:m4}o720?6=9rB8;n5rn436>5<6sA94}O;>i0qc;>8;295~N4?j1vb8?6:182M50k2we9=o4?:0yK72e51zJ03f=zf<;o6=4>{I14g>{i=8o1<7?tH25`?xh29o0;69l;|l666<728qC?:m4}o710?6=9rB8;n5rn406>5<6sA94}O;>i0qc;=8;295~N4?j1vb8<6:182M50k2we9?o50;3xL61d3td>>o4?:0yK72e51zJ03f=zf<8o6=4>{I14g>{i=;o1<7?tH25`?xh2:o0;69l;|l676<728qC?:m4}o700?6=9rB8;n5rn416>5<6sA94}O;>i0qc;<8;295~N4?j1vb8=6:182M50k2we9>o50;3xL61d3td>?o4?:0yK72e51zJ03f=zf<9o6=4>{I14g>{i=:o1<7?tH25`?xh2;o0;69l;|l606<728qC?:m4}o770?6=9rB8;n5rn466>5<6sA94}O;>i0qc;;8;295~N4?j1vb8:n:182M50k2we99l50;3xL61d3td>8n4?:0yK72e51zJ03f=zf<>n6=4>{I14g>{i==l1<7?tH25`?xh2=90;69l;|l611<728qC?:m4}o761?6=9rB8;n5rn475>5<6sA94}O;>i0qc;:9;295~N4?j1vb8;n:182M50k2we98l50;3xL61d3td>9n4?:0yK72eh7>51zJ03f=zf{I14g>{i=90;69l;|l621<728qC?:m4}o751?6=9rB8;n5rn445>5<6sA94}O;>i0qc;99;295~N4?j1vb88n:182M50k2we9;l50;3xL61d3td>:n4?:0yK72e51zJ03f=zf<{I14g>{i=?l1<7?tH25`?xh2?90;69l;|l631<728qC?:m4}o741?6=9rB8;n5rn455>5<6sA94}O;>i0qc;89;295~N4?j1vb89n:182M50k2we9:l50;3xL61d3td>;n4?:0yK72e51zJ03f=zf<=n6=4>{I14g>{i=>l1<7?tH25`?xh2090;65290:wE=8c:m1=5=83;pD>9l;|l6<1<728qC?:m4}o7;1?6=9rB8;n5rn4:5>5<6sA94}O;>i0qc;79;295~N4?j1vb86n:182M50k2we95l50;3xL61d3td>4n4?:0yK72e51zJ03f=zf<2n6=4>{I14g>{i=1l1<7?tH25`?xh2190;693:1=vF<7b9~j0?5290:wE=8c:m1<5=83;pD>9l;|l6=0<728qC?:m4}o7:2?6=9rB8;n5rn4;4>5<6sA94}O;>i0qc;6b;295~N4?j1vb87l:182M50k2we94j50;3xL61d3td>m=4?:0yK72e51zJ03f=zf{I14g>{i=h?1<7?tH25`?xh2i?0;6290:wE=8c:m1dd=83;pD>9l;|l6ef<728qC?:m4}o7b`?6=9rB8;n5rn4cf>5<6sA94}O;>i0qc;m5;295~N4?j1vb8l9:182M50k2we;;o50;3xL61d3td<:i4?:0yK72e51zJ03f=zf>{I14g>{i?>:1<7?tH25`?xh0?80;69l;|l430<728qC?:m4}o542?6=9rB8;n5rn65;>5<6sA94}O;>i0qc98c;295~N4?j1vb:9k:182M50k2we;:k50;3xL61d3td<;k4?:0yK72e51zJ03f=zf>296=4>{I14g>{i?1>1<7?tH25`?xh00<0;63:1=vF<7b9~j2>0290:wE=8c:m3=>=83;pD>9l;|l4<<<728qC?:m4}o5;e?6=9rB8;n5rn6:g>5<6sA94}O;>i0qc960;295~N4?j1vb:7>:182M50k2we;4<50;3xL61d3td<5>4?:0yK72e51zJ03f=zf>3>6=4>{I14g>{i?0<1<7?tH25`?xh0110;613:1=vF<7b9~j2?f290:wE=8c:m39l;|l4=f<728qC?:m4}o5:`?6=9rB8;n5rn6;f>5<6sA94}O;>i0qc9n1;295~N4?j1vb:o=:182M50k2we;l=50;3xL61d3td51zJ03f=zf>k=6=4>{I14g>{i?h=1<7?tH25`?xh0i10;69l;|l4ea<728qC?:m4}o5ba?6=9rB8;n5rn6ce>5<6sA94}O;>i0qc9m2;295~N4?j1vb:l<:182M50k2we;o:50;3xL61d3td51zJ03f=zf>h36=4>{I14g>{i?k31<7?tH25`?xh0jh0;69l;|l4f`<728qC?:m4}o5ab?6=9rB8;n5rn6a3>5<6sA94}O;>i0qc9l3;295~N4?j1vb:m;:182M50k2we;n;50;3xL61d3td51zJ03f=zf>i36=4>{I14g>{i?j31<7?tH25`?xh0kh0;69l;|l4g`<728qC?:m4}o5`b?6=9rB8;n5rn6f1>5<6sA94}O;>i0qc9k6;295~N4?j1vb:j8:182M50k2we;i650;3xL61d3td38;4?:0yK72e51zJ03f=zf1>36=4>{I14g>{i0=k1<7?tH25`?xh?3n3:1=vF<7b9~j=37290:wE=8c:m<07=83;pD>9l;|l;16<728qC?:m4}o:60?6=9rB8;n5rn976>5<6sA94}O;>i0qc6:8;295~N4?j1vb5;6:182M50k2we48o50;3xL61d3td39o4?:0yK72eo7>51zJ03f=zf1?m6=4>{I14g>{i0?:1<7?tH25`?xh?>;0;69l;|lbb6<728qC?:m4}oce1?6=9rB8;n5rn`d5>5<6sA94}O;>i0qcoib;295~N4?j1vblhl:182M50k2wemkj50;3xL61d3tdjjh4?:0yK72e51zJ03f=zfk:;6=4>{I14g>{ij9;1<7?tH25`?xhe8;0;69l;|la42<728qC?:m4}o`35<6sA94}O;>i0qcl?c;295~N4?j1vbo>k:181M50k2wen=k50;3xL61d3tdi52zJ03f=zfk;:6=4>{I14g>{ij881<7?tH25`?xhe9:0;6?uG36a8ykd6<3:1=vF<7b9~jg72290:wE=8c:mf40=838pD>9l;|la52<728qC?:m4}o`25<5sA97}O;>i0qcl>c;295~N4?j1vbo?k:182M50k2wen52zJ03f=zfk8:6=4={I14g>{ij;81<79l;|la62<728qC?:m4}o`15<6sA94}O;>i0qcl=c;295~N4?j1vbok4?:0yK72e51zJ03f=zfk9:6=4>{I14g>{ij:81<7?tH25`?xhe;:0;69l;|la72<728qC?:m4}o`0=?6=9rB8;n5rnc1b>5<6sA94}O;>i0qclh50;3xL61d3tdi8=4?:0yK72e51zJ03f=zfk>96=4>{I14g>{ij=91<7?tH25`?xhe<=0;69l;|la0=<728qC?:m4}o`7=?6=9rB8;n5rnc6`>5<6sA94}O;>i0qcl;f;295~N4?j1vbo;?:182M50k2wen8?50;3xL61d3tdi9?4?:0yK72e?7>51zJ03f=zfk??6=4>{I14g>{ij9l;|la1d<728qC?:m4}o`6f?6=9rB8;n5rnc7`>5<6sA94}O;>i0qcl:f;295~N4?j1vbo8?:182M50k2wen;?50;3xL61d3tdi:?4?:0yK72e51zJ03f=zfk{I14g>{ij??1<7?tH25`?xhe>?0;69l;|la2f<728qC?:m4}o`5`?6=9rB8;n5rnc4f>5<6sA94}O;>i0qcl82;295~N4?j1vbo9<:182M50k2wen::50;3xL61d3tdi;84?:0yK72e51zJ03f=zfk=i6=4>{I14g>{ij>i1<7?tH25`?xhe?l0;67290:wE=8c:mf=7=83;pD>9l;|la<7<728qC?:m4}o`;7?6=9rB8;n5rnc:7>5<6sA94}O;>i0qcl79;295~N4?j1vbo6n:182M50k2wen5m50;3xL61d3tdi4i4?:0yK72e51zJ03f=zfk2m6=4>{I14g>{ij0:1<7?tH25`?xhe180;6:3:1=vF<7b9~jg?4290:wE=8c:mf<2=83;pD>9l;|la=0<728qC?:m4}o`:2?6=9rB8;n5rnc;4>5<6sA94}O;>i0qcl6a;295~N4?j1vbijm:182M50k2wehim50;3xL61d3tdohi4?:0yK72e51zJ03f=zfmnm6=4>{I14g>{ill:1<7?tH25`?xhcm:0;69l;|lga2<728qC?:m4}off5<6sA94}O;>i0qcjjc;295~N4?j1vbikk:182M50k2wehhk50;3xL61d3tdoik4?:0yK72e51zJ03f=zfml:6=4>{I14g>{ilo81<7?tH25`?xhcn:0;69l;|lgb2<728qC?:m4}ofe5<5sA97}O;>i0qcjic;296~N4?j1vbihk:181M50k2wehkk50;0xL61d3tdojk4?:3yK72e52zJ03f=zfl::6=4={I14g>{im981<7vF<7b9~j`622909wE=8c:ma50=838pD>9l;|lf42<72;qC?:m4}og35<5sA97}O;>i0qck?c;296~N4?j1vbh>k:181M50k2wei=k50;0xL61d3tdn52zJ03f=zfl;:6=4>{I14g>{im881<7vF<7b9~j`722909wE=8c:ma40=838pD>9l;|lf52<72;qC?:m4}og25<5sA97}O;>i0qck>c;296~N4?j1vbh?k:181M50k2wei=4?:0yK72e51zJ03f=zfl896=4>{I14g>{im;91<7?tH25`?xhb:=0;69l;|lf6=<728qC?:m4}og1=?6=9rB8;n5rnd0b>5<6sA94}O;>i0qck=d;295~N4?j1vbh51zJ03f=zfl996=4={I14g>{im:91<7?tH25`?xhb;=0;63:1=vF<7b9~j`50290:wE=8c:ma6>=838pD>9l;|lf7<<72;qC?:m4}og0e?6=:rB8;n5rnd1a>5<5sA94}O;>i0qck51zJ03f=zfl>?6=4>{I14g>{im=?1<7?tH25`?xhb<>0;6r@ARxyEF \ No newline at end of file +$2f34=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD768EKB0<2KEH5:4ARpe`>GTzoUH`fQFNW34?DUunVD;o6O\rg]mkq6788n0M^|i_omw4566901JSK]M<02==>GXNZH7=<06;@]EWG:6:730MRH\B=30:<=FWOYI0<:19:C\BVD;9<427LQISC>22;?89B[CUE482556OPFR@?5<8?3HUM_O2>>89B[CUE4;:556OPFR@?648>3HUM_O2=2?`8EZ@TJ5886<06;@]EWG:5;720MRH\B=0=<>GXNZH7?364A^DPF92902KTJ^L35?:8EZ@TJ5<546OPFR@?3;>3^KAQCbGXNZHT=;QFBTDg?DYA[KU:;RGMUGf8EZ@TJV;3SDLZFe9B[CUEW83TEO[Id:C\BVDX:9UBNXHk;@]EWGY59VCIYKj4A^DPFZ45W@H^Ji5N_GQA[75XAK_Mo6OPFR@\=ZOE]O<0Mb{{ODg8EjssGLUfyu>?01d8EjssGLUfyu>?013e?Dir|FOTaxv?0121b>Gh}}ENS`{w01237c=Fg|~DIRczx12341`=CJN^MAQC00O5C}3:ABWg=DIZUfyu>?01a8GDUXe|r;<=>>c:ABWZkrp9:;?0138@2=Ci}k7<394D`vb84813Me~xBKk;EmvpJCXg{:;<=k4DnwwK@Yhz9:;<k4DnwwK@Yhz9:;<9k4DnwwK@Yhz9:;<8k4DnwwK@Yhz9:;<;?4E49FBdjk>2OMmab>6:GEeij5=2OX0=0:;DQ?5;3CTW9o0I^Q?_1]mkq6789l0I^Q?_1]mkq6789;o7H]P0^]bja6789o0I^Q?_^cm`56788o0I^Q?_^cm`5678;o0I^Q?_^cm`5678:o0I^Q?_^cm`5678=o0I^Q?_^cm`56780I^Q>d:GP[4YXign;<=>j;DQ\5ZYffm:;<=?j;DQ\5ZYffm:;<=<;;DQ\6a=B[V8TSl`k0123a>CTW;UTmcj?0122a>CTW;UTmcj?01210>CTW:n0I^Q<_^cm`5678l1N_R=P_`lg45679l1N_R=P_`lg4567:8l0I^QBaef\[dbc89:;S@v`r^Pfwpjs484:j6K\_Lcg`ZYflm:;<=QBxnp\V`urd}692?_LzlvZTb{|f0>0>9:GP[HgclVUjhi>?01]\ekb789::m6K\_Lcg`ZYflm:;<=QPaof34566901N_RCnde]\eab789:TSl`k01225d=B[VGjhiQPaef3456XWhdo<=>>1e9FWZWX9Vey<=>?e:GP[TY6Wfx;<=>>f:GP[TY6Wfx;<=>>0g9FWZWX9Vey<=>?10g8AVYVW8Ud~=>?03g8AVYVW8Ud~=>?02g8AVYVW8Ud~=>?05g8AVYVW8Ud~=>?04g8AVYVW8Ud~=>?07g8AVYVW8Ud~=>?06g8AVYVW8Ud~=>?09g8AVYVW8Ud~=>?08g8AVYXimn;<=>31?g8AVYXimn;<=>32?g8AVYXimn;<=>33?48AZOE]O80I;4Es>3:0=Bz5;586K}_168AwY6<2LH@F74FBNH[LDRN;1MH>5IDB68BAEB;2LO\>5ISC38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ6?LGD[8>0EO[I9:KMMQUSI]O<7D@FTRV@<>OIA]Y_O;HLJPVYA[DUMJi?"Io31?LHN\ZUM_@QIFe3.Mk76:2CEEY]PFRO\BCb6%@d9i6GAIUQ\BVKXNOn9=<5FNHVP[CUJWOLo> Ga139JJLRTWOYFSKHk2,Km5442:KMMQUXNZGTJKj=-Hl757=NF@^XSK]B_GDg6(Oi=l1BBDZ\_GQN[Jss;o1BBDZ\_GQN[Jss;8l0ECG[S^DPIZIr|:8:<6GAIUQ\ghvXmx:;<>=4IOT1?LI23@EJOYj4INC@PZkrp9:;>3g9JKDESWds<=>?15d8MJGD\Vg~t=>?007e?LIFK]Ufyu>?0135b>OHIJ^Taxv?01223`=NGHI_S`{w01236`=NGHI_S`{w01237`=NGHI_S`{w01230`=NGHI_S`{w01231`=NGHI_S`{w01232`=NGHI_S`{w01233`=NGHI_S`{w0123<`=NGHI_S`{w0123=3=NGHI_~;5FOCGQV`=NGKOY^R``t1235c=NGKOY^R``t1235420EBM\5:KLGV723@EH_?;4INAP70=NGJY?96G@CR76?LID[?<0EBJ@NDf8MJBHFLUd~=>?0d9JKAIIMVey<=>?1d9JKAIIMVey<=>?2d9JKAIIMVey<=>?3d9JKAIIMVey<=>?4d9JKAIIMVey<=>?5d9JKAIIMVey<=>?6d9JKAIIMVey<=>?7d9JKAIIMVey<=>?899JKAIIM`dj7DAKOOGq858>3@EOCCK}_168MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?5e9JKI6Xe|r;<=>9d:KLH5Yj}q:;<=9k;HMO4Zkrp9:;<5j4INN3[hs89:;595FOM3`?LIK9Vddx=>?1e9JKI7Xff~;<=?>5:KLHAU>3@EE\_kndx32?LIIX[ojhtQPos2345753@EE\_kndx]\kw6789;:>6G@NQPfeaXWfx;<=>=139JKKVUmhnrSRa}01237447:KLTRET:01BC]Y]e`fz54=NGY]Yiljv_^mq45679;1BC]Y]e`fz[Ziu89:;=<<4INRTV`gcqVUd~=>?0331?LIW_[ojhtQPos2345503@EYH_K^f:KLVATBYVkeh=>?0028MJTCZL[Tmcj?012255=NG[NYI\Qnne23454682CD^I\JQ^cm`5678:l0EB\KRDS\ip~789::<6G@REPFUZkrp9:;<;HMQ@WCVWds<=>?1132?LIUL[OZS`{w012354763@EYH_K^_lw{45679;;:7DA]DSGR[hs89:;=>?>;HMQ@WCVWds<=>?1533?LIUL[OZS`{w0123646:<6G@REPFUZkrp9:;<8??;HMQ@WCVWds<=>?6028MJTCZL[Taxv?012455=NG[NYI\Qbuy2345>682CD^I\JQ^ov|5678020EB\KRDSq2>OHZL[y;6G@RDSq52=NG[OZ~?94INPFUw523@EY[h5FOSU2[dhc89:;?h5FOSU2[dhc89:;8h5FOSU2[dhc89:;9h5FOSU2[dhc89:;:h5FOSU2[dhc89:;;95FOR2`?LIT8Vkeh=>?0e9JKV6Xign;<=>>d:KLW5Yffm:;<=m4INQ3[hs89:;h6G@S1]nq}6789;n7DA\0^ov|56788:o7DA\0^ov|5678;n0EB]?_lw{4567;m1BC^>Pmtz34563l2CD_=Qbuy23453c3@EXOH[9Ufyu>?01;`?LITWOYFSKHk1b9JKVYA[DUMJi Ga119JKVYA[DUMJi<"Io324>OH[VLXARHId3/Jj7773@EXSK]B_GDg6(Oi;j1BC^QISL]EBa5a3@EXSK]B_GDg7(Oi991BC^QISL]EBa5*Ag;:<6G@S^DPIZ@Al:'Bb???;HMP[CUJWOLo? Ga3028MJUXNZGTJKj<-Hl755=NGZUM_@QIFe1.Mk3682CD_RH\M^DE`6+Nf?i0EB]PFRO\BCb3k2CD_RH\M^DE`0eOH[VLXARHId7/Jj46??;HMP[CUJWOLo: Ga4028MJUXNZGTJKj9-Hl6g>OH[VLXARHId6d8MJUXNZGTJKj8-Hl24>OH[VLXARHId6/Jj4773@EXSK]B_GDg3(Oi:8:0EB]PFRO\BCb0%@d8==5FOR]EWHYANm=&Ec:>0:KLWZ@TEVLMh:#Fn468MJS7k2CDY=Qbuy2345bOH]9Ufyu>?011g?LIR8Vg~t=>?05f8MJS7Wds<=>?5e9JKP6Xe|r;<=>9d:KLQ5Yj}q:;<=9k;HMV4Zkrp9:;<5j4INW3[hs89:;595FOT3`?LIR9Vddx=>?1e9JKP7Xff~;<=?>5:KLQAU03@E\^_K^f:KLSWTBYVg~t=>?0028MJQUZL[Taxv?012254=NG^XYI\Qbuy234577981BCZ\]EP]nq}6789;:=<5FOVPQATYj}q:;<=?=109JKRTUMXUfyu>?013054=NG^XYI\Qbuy234573981BCZ\]EP]nq}6789;>==5FOVPQATYj}q:;<=<>0:KLSWTBYVg~t=>?0233?LIPZ[OZS`{w0123046?8028MJQUZL[Taxv?012:<>OH_[XN]k4KDGS[MOBZHXHDT94LNEJGDJf3EZFSUA]2^3:?IRnelx7<3o4LUknaw:687k0@Ygbes>25;g2?;8HQojm{6:245CThofv94912F_e`k}<2<:?IRnelx78374LUknaw:2601GXdcjr=4==>JSadoy0:06;MVji`t;0730@Ygbes>::==K\`gn~YM>3:NWmhcu\JUha}Qjq123442?01020>JSadoyXNQlmq]fu5678:;?7AZfmdpWGZejxVoz<=>?4068HQojm{^HSnc_ds3456202F_e`k}_1:8HQojm{U:56B[ilgq[46>3E^bah|P10;8HQojm{U:>55CThofvZ4?3E^bah|P399OPlkbzV>37AZfmdp\1==K\`gn~R87;MVji`tX?11GXdcjr^:;?IRnelxT5?:4LUknawYJimnTSljk0123[H~hzVXnxb{<02=61=K\`gn~RCnde]\eab789:TAua}_Sgpqir;984986B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2>2?00?IRnelxTAljk_^cg`5678VGscQ]erwop979::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7>3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=1=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;<7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1;1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?2;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz38?00?IRnelxTAljk_^cg`5678VGscQ]erwop9?9:?1GXdcjr^Ob`aYXimn;<=>PRdqvhqY7Wge<=>?10f8HQojm{UFmijP_`fg4567WVkeh=>?00g8HQojm{UFmijP_`fg4567WVkeh=>?003g?IRnelxTAljk_^cg`5678VUjbi>?003f?IRnelxTAljk_^cg`5678VUjbi>?0032`>JSadoyS@okd^]b`a6789UTmcj?0102a>JSadoyS@okd^]b`a6789UTmcj?01025a=K\`gn~RCnde]\eab789:TSl`k01205`=K\`gn~RCnde]\eab789:TSl`k012054bP_`lg45609l1GXdcjr^Ob`aYXimn;<=>P_`lg456098n0@Ygbes]NeabXWhno<=>?_^cm`56708o0@Ygbes]NeabXWhno<=>?_^cm`56708;o7AZfmdp\IdbcWVkoh=>?0^]bja6780;n7AZfmdp\IdbcWVkoh=>?0^]bja6780;:h6B[ilgq[HgclVUjhi>?01]\ekb788::i6B[ilgq[HgclVUjhi>?01]\ekb788::=?5CThofvZYflm:;<=2?>018HQojm{UTmij?012?5586;2F_e`k}_^cg`56785;:2<=4LUknawYXimn;<=>313<26>JSadoySRokd12349799;1GXdcjr^]b`a6789692<<4LUknawYXimn;<=>33?31?IRnelxTSljk01238186:2F_e`k}_^cg`56785?5=?5CThofvZYflm:;<=29>008HQojm{UTmij?012?3;753E^bah|P_`fg4567414:>6B[ilgq[Zgcl9:;<1715:Nlea7b3EejhI33FH^J85@BTDF1>ICKZxo7BJLSs]bja6789o0CIM\r^cm`5678890C_<<;NP07>IU<=1Dbnkl;Nl`aZYhz9:;Wh[JY37\a\Rdcg}0=UI5:5:6\N<02=<>TF48;1<384R@>25;3TF4:4>7_O34?78VD:26<1YM1815:PB82823[K743;4R@>::6=UID?0^LCLS69QEHET8Q20^LCLS1Z2<>TFEJY;T?64R@O@W5^4=2XJAH@j;SCNAKYXign;<=>i;SCNAKYXign;<=>>d:PBI@HXWfx;<=>j;SCNAKYXg{:;<=?j;SCNAKYXg{:;<=7_O\rsf8VDUuzVg~t=>?0d9QEVtuWds<=>?189QEZ6XGK_Mm6\N_02\KGSAi2XJSTFW8UDNXH6;SC\6ZIE]O30^LQ<_N@VB<=UIV>TCO[I9:PB[0YHJ\L27_OP6^MAQC?XGK_M56\N_8]LFP@23[EFO^84RNO@W40TTWOYFSKHk2c9QWZ@TEVLMh>l4RR]EWHYANm>i7_]PFRO\BCb1m2XXSK]B_GDg2(Oin2XXSK]B_GDg2(Oi9k1Y_RH\M^DE`=c2Xnmiw=6:Pfea4>2Xnmiw;6:Pfea2>2Xnmiw97:PfbAiim8:0^hhKoog\[dhc89:;=<5]egFlj`YXign;<=>>f:PfbAiimVUd~=>?0028V``CggoTSb|?012255=UmoNdbhQPos23454682XnjIaae^]lv5678:;;7_kiDnlf[Ziu89:;8<>4RddGkkcXWfx;<=>:a:PfbCthe[Y;n6\jfGpliWU79j1YikH}olPP446e3[omJabRR21f>TbnOxda_]?3c9Qac@ugdXX<9l4RddEvjkU[9?i7_kiFsmnVV61j2XnjK|`mSQ33g=UmoLyc`\\09`8V``AzfgY_=79;SgeV`w?3[om^hFnd9QacTbyVddx=>?1g9QacTbyVddx=>?10f8V``UmxUd~=>?0d9QacTbyVey<=>?1d9QacTbyVey<=>?2d9QacTbyVey<=>?3d9QacTbyVey<=>?479QacSuo11Yik[}gHl5?Wct}e~=7^AZRBG6?VQ;97?0_Z2=>69PS95=97?0_Z2<>59Pakrd3ZoexRQ`r1234a=Tmg~TSb|?0122`>Ubf}UTc>?010g?Vci|VUd~=>?02f8W`hsWVey<=>?4e9PakrXWfx;<=>:d:QfjqYXg{:;<=8k;RgmpZYhz9:;<:j4Sdlw[Ziu89:;4l5\nePBIFUPZ=1_^XKm;UQ\BVKXNOn:i6Z\_GQN[C@c9$Cej6Z\_GQN[C@c9$Ce=k5[S^DPIZ@Al8'Bb?l4TR]EWHYANm8n7Y]PFRO\BCb5%@dm7Y]PFRO\BCb5%@d:==5[S^DPIZ@Al;'Bb<>i;UQ\BVKXNOn9!D`=f:VP[CUJWOLo> Ga3g9WWZ@TEVLMh?#Fn5d8PVYA[DUMJi<"Io7e?QUXNZGTJKj=-Hl5b>RTWOYFSKHk2,Km3c=S[VLXARHId3/Jj=`<\ZUM_@QIFe0.Mk?e3]YTJ^CPOtv3g>RTWOYFSB{{00a8PVYA[DUDyy>=4:VZT@><\`gn~1>18:Vji`t;9720Xdcjr=0=<>Rnelx7?394ThofvQE6:2^bah|[C^antZcv89:;=>5[ilgqPFYdeyUn}=>?0030?Qojm{^HSnc_ds345659:1_e`k}TB]`iuYby9:;<>?<;UknawRDWjg{Sh?012756=SadoyXNQlmq]fu5678<=0Xdcjr^224>RnelxT;UknawY7WVkeh=>?0258PlkbzV;:<6Zfmdp\5ZYffm:;<=?>;UknawY6WVkeh=>?0032?Qojm{U:SRoad123471<\`gn~R<>0:Vji`tX:VUjbi>?0132?Qojm{U9SRoad12344763]cfiQ=_^cm`5678;=0Xdcjr^124>RnelxT?RQnne2345763]cfiQ<_^cm`56788;:7Ygbes]0[Zgil9:;RnelxTAljk_^cg`5678VGscQ]erwop949:;1_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}682?01]\ekb789::=n5[ilgq[HgclVUjhi>?01]\ekb789;:h6Zfmdp\IdbcWVkoh=>?0^]bja6788;:=6Zfmdp\[dbc89:;0<0>1:Vji`tXWhno<=>?<3<25>RnelxTSljk0123868c3]cfiQnne2345c<\`gn~Road12344e<]ZOYS[G\ICNF7>PDK01]EHYPTXRF0>PWI{i0Z]O}_omw4566l2\[MQaou2344713^ky|^K>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG;;YMQ63=_[]FBN:5WSU]DJA1hFLf@H>j;oCGkprKM9UDNXH>0:lB@jssDL:Tc>?0132?kGCg|~GI=Q`r12344763gKOcxzCE1]lv5678;;:7cOKotvOA5Yhz9:;<>74n@FlqqJB9h1eMIaztMG25`=iIMe~xAK>_N@VB6=iIZ=0bL]PFR@`?kGTWOYISDLZF69mEVYNGKn0bL]PIN@\KGSAMh1eM^QFOCmvp3=iIZe~x45aARmvpZH7i2dJ_b{{_O22a>hF[fSca{0122b>hF[fSca{0122546m7cO\otv\jjr789;>j6`NSnww[kis89:::k5aARmvpZhh|9:;=:h4n@QlqqYig}:;<<6i;oCPkprXff~;<=?67:lBkprHM11eMb{{OD3`?kGh}}ENSBLZF49mF@TU12dII_\PFR@f?kDBZ[UM_OQ@BTD25>hEM[XTJ^LP_np34566:2dII_\PFR@\[jt789::=<5aBDPQ[CUEWVey<=>>139mF@TUWOYISRa}0122544?2028jGTXAFHTaxv?012055=iJ[UBCOQbuy23452682dI^RG@B^ov|5678<;;7cL]_HMA[hs89:;:<>4nCP\MJDXe|r;<=>84:l@EV??f:lGPDELWhdo<=>?1g9m@QGDCVkeh=>?03d8jARFKBUjbi>?011f?kBSIJATbbz?013e?kBSIJATbbz?01322>hCagFNn6`KioNF[JDRNm1eHd`CE^mq4567m2dOecBJ_np34566>2dOecAJ7:lGmkIB9k1eHd`@E^MAQCchCg|~DI4nEmvpJCXign;<=?>119m@jssGLUjbi>?00024>hCg|~DIRoad123563l5aIQN1[LDRN?1eE]B=r59mHAU?3gFO_RH\Be9mHAUXNZHTEO[I8:lO@VYNGKo0bAJ\_HMA[JDRNLh0bAJ\_HMAkprd3gFO_Road1236a=iDMYTmcj?0102`>hKLZUjbi>?030g?kJC[Vkeh=>?22f8jIBTWhdo<=>=4e9mHAUXign;<=<:d:lO@VYffm:;:j4nMFP[dhc89:94i5aLEQ\ekb78982o6`CDR]mkq6788n0bAJ\_omw45669=1e@ZK:;oNTA4ehK_LUjbi>?013g?kJPMVkeh=>?03f8jIQBWhdo<=>?3e9mHRCXign;<=>;3:lLA1=iGL;?7cAJ299mK@YHJ\L37cAyesnb}46hUMZUBCO[IEb9mV@UXff~;<=?k;oPFWZhh|9:;=<94nSGPkpr13gXDAN]8;oPLIFU6j2dYC@M\_N@VB3=iZFG\I:5aRNOTA4dhRLZUjbi>?006g?kSC[Vkeh=>?14f8jPBTWhdo<=>>6e9mQAUXign;<=?8d:lV@VYffm:;<<6k;oWGWZgil9:;=4m4nTFP[kis89::h6`ZDR]mkq6788;?7c[XE49mQRC6k2d^[HQnne2345bhR_LUjbi>?011g?kSPMVkeh=>?0568jSKF02d]ALQFOCg8jSKFW@EISBLZFD`8jSKFW@EIcxzk;oTNEZYffm:;<=k4nWOB[Zgil9:;<hQEHUjbi>?01f8jSKFWhdo<=>?1e9mRHGXign;<=>=d:lUIDYffm:;<==k;oTNEZgil9:;<9j4nWOB[dhc89:;995aVQC;?kPWIVLXNi5aVQC\BVDXGK_M46`YP@]JKGb?00f8jSVFWhdo<=>?2e9mRUGXign;<=>5aWD58jRCXNZHh7cYJ_GQA[LDRN:1ym`64xb;645~kuIJ{jk;4@Az1b4743289?n<>8:`555~h5n10:7c81}X?7j:182>4<509qX494=fc8161<6;=h:<:4n7738 7cc28i;7)<=5;1`b>d5n80;69l54e8:f~N5mk1/>n<52g38^26=;r819785}%3ab?4fm2!84:4?::m40?6=3f98o7>5;h13f?6=3f9h=7>5;h1:7?6=3f93j7>5;n55>5<5<5<>i48m0;66a<1d83>>o4=h0;66a<8383>>o4k:0;66a<9483>>i4??0;66g88;29?l152900c>>>:188k6602900e>;j:188m64d2900c>6l:188k6212900c>8?:188k6gd2900c>:n:188k6342900e>ml:188k6452900c??9523g8j7412810c?=7:18'671=:;o0b?<9:398k750290/>?9523g8j7412:10c?=9:18'671=:;o0b?<9:598k752290/>?9523g8j7412<10c?=;:18'671=:;o0b?<9:798k754290/>?9523g8j7412>10c?==:18'671=:;o0b?<9:998k756290/>?9523g8j7412010c?=?:18'671=:;o0b?<9:`98k74d290/>?9523g8j7412k10e9m50;&162<3j2d9>;4?;:k7e?6=,;8<69l4n305>4=h5:?0976g;8;29 7402=h0b?<9:298m10=83.9>:4;b:l163<332c?97>5$304>1d0?n6`=2785?>o3;3:1(?<8:5`8j7412>10e9<50;&162<3j2d9>;47;:k75?6=,;8<69l4n305><=h5:?0j76g:4;b:l1635$304>1d0?n6`=278f?>o2;3:1(?<8:5`8j7412o10e8<50;&162<3j2d9>;4>0:9j14<72-89;7:m;o012?7632c><7>5$304>1d5<#:;=18o5a234956=h5:?0:865f4e83>!45?3>i7c<=6;36?>o3?3:1(?<8:5`8j74128<07d3;07d<6f;29 7402;k:7c<=6;08?g4a=3:1=7>50z&1g75<7s-8h>7f;0:M4bj2.9o?475:X44?7|;3w/=oh52`g8m=6=831d>;=50;9l516=831d>>l50;9l6db=831b:n4?::k0<2<722e:==4?::k0gg<722e9?l4?::m11`<722eoj7>5;h34>5<#:;=1=;5a23494>=n9<0;6)<=7;35?k45>3;07d?;:18'671=9?1e>?852:9j57<72-89;7?9;o012?5<3`;;6=4+235953=i:;<1865ff;29 74028<0b?<9:498m`<72-89;7?9;o012?0<3`n1<7*=26822>h5:?0<76gl:18'671=9?1e>?858:9jf?6=,;8<6<84n305><=3k07d750;&162<6>2d9>;4m;:k;>5<#:;=1=;5a2349g>=n?3:1(?<8:048j7412m10e2d9>;4j;:k2a?6=,;8<6<84n305>c=6=4>:183!4d:3n>7E?2900c?<6:188yg50i3:197>50z&1g75;h:2>5<;1<75f8983>>i5:00;66sm22a94?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl=3e83>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo<t$3a1>c2<@;l?7E5;|`207<72:0;6=u+2b0974=O:o>0D?km;hf4>5<0;66gk8;29?j46?3:17pl=6583>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo<95;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn?89:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi>;950;794?6|,;i96>=4H3d7?M4bj2co;7>5;hf;>5<>i59>0;66sme183>2<729q/>n<5349K6c2<@;oi7dj8:188ma>=831bh44?::kge?6=3`ni6=44iea94?=h:8=1<75rb032>5<4290;w)N5n=1C>hl4ie594?=nl10;66a=1683>>{e9881<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd69:0;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg76<3:1?7>50z&1g7<492B9j95G2d`8ma1=831bh54?::m152<722wi=<;50;194?6|,;i96??k;I0e0>N5mk1bh:4?::kg5;|`253<72=0;6=u+2b0964c<@;l?7E5<5290;w)>i59>0;66sm36f94?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl<7d83>6<729q/>n<520f8L7`33A8nn6gk7;29?lb?2900c??8:188yg50n3:1?7>50z&1g7<492B9j95G2d`8ma1=831bh54?::m152<722wi>8h50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th9:=4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d>{e:?21<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl=6883>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f70f290?6=4?{%0`6?553A8m86F=ec9j`2<722co47>5;hf:>5<{t9;0;68uQ139>632=l?16i=4k9:?254148g3>;5>80o:6s|1483>3}Y9<16>>m5d79>631=l>16i=4kb:?256279:54k7:p52<72?qU=:5222f9`3=::?<1h;52e18gg>;6980o463=638g2>{t>j0;6>uQ6b9>64e=l116?:j5d79~w=6=839pR5>4=33b>27<5:=j6:?4}rfe>5<5sWnm70k?:334?xu6990;6?uQ102894712;;<7p}>1083>7}:98;1><94=035>a>7>52z?257<59>16=<:5d99~w4742909w0?>3;023>;69?0o56s|10694?4|58;?6??8;<321?b?3ty:=84?:3y>543=:8=01vP>419>514=:8=0q~?;1;296~;6<809=:521509`==z{;;j6=4={<02e?451278;h4k7:p64d=838p1??n:9:8977d2;;<7p}=3`83>1}Y:hn0R?=n;<0e1?b3349;|q17g<72:qU>>l4=31f>77034;?>7j8;|q17f<72;q6>>m52058975b2m=0q~<;5>h09=:5rs37e>5<5s48>j7<>7:?12=;>50;0x97072;;<70<9a;f4?xu5>80;6?u22739641<5;<26i94}r056?6=:r79:?4=169>63?=l11v?8<:187[41;279=n4k7:?122<59>16=<:5d69~w7032909w0<94;023>;5>>0o46s|27794?4|5;<>6??8;<053?b>3ty9:;4?:3y>630=:8=01?88:ec8yv4103:1>v3=698152=::?k1h55rs34:>5<5s48=57<>7:?12d2;f5?842n3n=7p}<7c83>7}:;>k1;k5236d964152z?03f<59>16?:k5d99~w61c2909w0=8d;023>;4?o0o46s|36g94?4|5:=n6??8;<14b?b03ty84:4?:2y]7=1<5:=j6564=25`>a052z\0gg=:9=;1h;5r}c1`a?6=;:0?<7;;{I0ff>"5k;09?45U71817<32t.:nk4=ad9j<5<722e8m94?::k04d<722e84k4?::m0<1<722e8?:4?::k5g?6=3`82o7>5;n142?6=3`9>h7>5;h0:7?6=3`9hn7>5;h1:6?6=3`88m7>5;n1;g?6=3`8>i7>5;n07g?6=3f9=j7>5;n01b?6=,;8<6?5$304>74b3g89:7?4;n005$304>74b3g89:7=4;n002?6=,;8<6?5$304>74b3g89:7;4;n000?6=,;8<6?5$304>74b3g89:794;n006?6=,;8<6?<3f88=7>5$304>74b3g89:774;n004?6=,;8<6?5$304>74b3g89:7l4;h37>5<#:;=1=>5a23494>=n9;0;6)<=7;30?k45>3;07d??:18'671=9:1e>?852:9jb?6=,;8<6<=4n305>6=3>07dj50;&162<6;2d9>;4:;:k`>5<#:;=1=>5a23492>=nj3:1(?<8:018j7412>10el4?:%013?743g89:764;h;94?"5:>0:?6`=278:?>o?290/>?95129m670=i21b;7>5$304>450:?6`=278`?>o6m3:1(?<8:018j7412m10e;4j;:k2g?6=,;8<6<=4n305>c=h5:?0:<65f1`83>!45?3;87c<=6;32?>o613:1(?<8:018j74128807d?7:18'671=9:1e>?851298m47=83.9>:4>3:l163<6<21i>k;50;394?6|,;i96i;4H3d7?M4bj2eo87>5;|`165<72<0;6=u+2b09`4=O:o>0D?km;%g1>5=n?o0;66g71;29?l162900e5650;9l67?=831vn?=i:186>5<7s-8h>7j>;I0e0>N5mk1/i?4?;h5e>5<>o?03:17b<=9;29?xd5?l0;684?:1y'6f4=l81C>k:4H3ga?l1a2900e5?50;9j34<722c347>5;n01=?6=3th=j7>53;294~"5k;0hj6F=f59K6`d;1<75f8983>>i5:00;66sm7c83>6<729q/>n<5cg9K6c2<@;oi7)k=:19j34<722c347>5;n01=?6=3th98k4?:283>5}#:j81ok5G2g68L7ce3-o96=5f7083>>o?03:17b<=9;29?xd4;>0;6>4?:1y'6f4=ko1C>k:4H3ga?l162900e5650;9l67?=831vn?8m:180>5<7s-8h>7mi;I0e0>N5mk1/i?4?;h52>5<:188m=>=831d>?750;9~f70c29086=4?{%0`6?ea3A8m86F=ec9'a7<73`=:6=44i9:94?=h:;31<75rb34f>5<4290;w)5<7s-8h>7mi;I0e0>N5mk1/i?4?;h52>5<:188m=>=831d>?750;9~f6>c29096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a7d3=8381<7>t$3a1>c2<@;l?7E5;|`035<72;0;6=u+2b09b1=O:o>0D?km;hf5>5<N5n=1C>hl4ie494?=h:8=1<75rb301>5<5290;w)>i59>0;66sm25394?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl=4d83>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo=60;297?6=8r.9o?4=1e9K6c2<@;oi7dj8:188ma>=831d><950;9~f61029096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a72>=8391<7>t$3a1>77c3A8m86F=ec9j`2<722co47>5;n023?6=3th94=4?:283>5}#:j81>5;hf;>5<0D?km;hf4>5<>{e;h21<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd4i00;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg5fi3:197>50z&1g7<4;2B9j95G2d`8ma1=831bh54?::kg=?6=3`nj6=44o334>5<7>52;294~"5k;0m86F=f59K6`d>{e;>>1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd4?<0;684?:1y'6f4=;:1C>k:4H3ga?lb02900ei650;9j`<<722com7>5;n023?6=3th9;94?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm26494?5=83:p(?m=:33g?M4a<2B9io5fd683>>oc03:17b<>7;29?xd0l3:1?7>50z&1g7<492B9j95G2d`8ma1=831bh54?::m152<722wi;h4?:283>5}#:j81>5;hf;>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e:181<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl=8283>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo<74;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn?6::180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`1<3<72:0;6=u+2b0964b<@;l?7E5<52;294~"5k;0m86F=f59K6`d>{e:1k1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd50k0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn?6l:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi>5j50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th94h4?:283>5}#:j81>5;hf;>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e:0:1<7=50;2x 7e52:;0D?h;;I0ff>oc?3:17dj7:188k7702900qo<61;297?6=8r.9o?4=1e9K6c2<@;oi7dj8:188ma>=831d><950;9~f7>>29086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3th98o4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb360>5<4290;w)>oc03:17b<>7;29?xd5<=0;6>4?:1y'6f4=:8n0D?h;;I0ff>oc?3:17dj7:188k7702900qo<;5;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn?:9:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi>9950;194?6|,;i96??k;I0e0>N5mk1bh:4?::kg5;|`10=<72:0;6=u+2b0974=O:o>0D?km;hf4>5<26=4<:183!4d:38:h6F=f59K6`d>i59>0;66s|6b83>2}Y>j16:k478:?165?4k6:?1<=2794o4k8:?1002wx:k4?:3y>2c<5:016>5m5d79~w2d=838p1:l523;8965f2m<0q~9l:18181e21201:k52058yv1c2909w09k:334?81b2m20q~6?:18f[>73489<79>;<00b?16348;<4e>27<5>h1;<5225d934=::?h1;<5227a934=::?n1;<5227g934=::?l1;<52260934=::=o1h;5rs303>5<5s489<7<=9:?1032wx>??50;0x97472>l01?<=:334?xu5:j0;6?uQ23a8Z4`52z\16a=Ym2wx>>>50;0xZ7573W=0q~<<1;296~X5;81Uh6s|22094?5|V8:0R?==;_01b>{t::91<752z\171=Y12wx>>;50;0xZ7523Wk0q~<<6;296~X5;?1Un6s|22594?4|V;9<7Sh4}r00p1?=i:30:?85fi3n<70=85;f4?84?i3n=7p}=4183>7}:::l1455225396417>52z?17c<0n279844=169~w7242909w0<;3;023>;5<00o;6s|25694?4|5;>?6??8;<07613=:8=01?:8:e58yv43>3:1>v3=478152=::==1h55rs364>5<5s48?;7<>7:?10=9650;0x972?2;;<70<;9;f;?xu5<94}r07g?6==rT98n522g79`1=::;:14<5222d9<4=::>o14<5rs36g>5<5s498;79>;<07a?46?2wx>9h50;0x972a2;8270=75;f5?xu5=90;6?u225d9<==::<;1><94}r06a?6=:rT99h5239f9`3=z{;m0;69u227a9<==::?n1>?74=372>a><5;296i94}r05a?6=;r79:h4=289>620=l>16>9:5d99~w70a290?w0<9d;:;?841n389563=508g3>;0m3n<7p}=7183>7}::?l1455226396417>57z?137<5:016>:;5d69>3a4k8:?101:=50;0x971521201?99:334?xu5?=0;6?u22669641<5;=>6i64}r041?6=:r79;84=169>620=l11v?9j:181840m389563=8e8g2>{t:>l1<7=><5;2;6??8;|q1<4<72;q6>:k57g9>6=0=:8=0q~<72;296~;50;09=:522949`2=z{;286=4={<0;7?46?279484k7:p6=2=838p1?6;:334?84?=3n37p}=8483>7}::1?1><94=3:5>a>56z?131277:?10g4k7:p6=>=838p1?67:334?84?m3n<7p}=8`83>7}::1k1><94=3:f>a>52z?116>5h5d69~w7>d2909w0<7c;023>;50o0o46s|29f94?4|5;2o6??8;<0;=?b?3ty94h4?:3y>6=c=:8=01?7?:e:8yv4?n3:1>v3=8g8152=::0;1h55rs3;3>5<5s482<7<>7:?1=44?50;0x97?62;;<70<79;f4?xu51:0;69uQ281897>72m201?6<:e4897>e2m=0q~<6c;290~X51j16>5>5d69>6=2=l?16>4>5d69~w66f290>wS=?a:?0=5278;?4k6:p761=838pR>=8;<103?4512wx?>750;0x965021201>=n:334?xu4=m0;6?uQ34f896132m<0q~=9f;296~X4>o16?:>52058yv5093:1>v3<718g2>;4?<09=:5rs251>5<5s49<>7<>7:?0306io4}r142?6=:rT8;;5236:964152z?032<59>16?:65d99~w6>32909wS=74:?0<0<59>1v>6l:181[5?k2784i4=169~w6>a2909wS=7f:?0=5<59>1v>7=:181[5>:278m44k6:p7d2=838pR>o;;<1b1?46?2wx?l850;0x96g22m<01>on:334?xu4i>0;6?u23`59641<5:kj6i64}r1b7dg=l01v>o6:18185f138:;63{t;jh1<7;t^2aa?85>83n<70=87;f5?85f03n=70=83;f5?x{e:oo1<7k51482g~N5mk1/>n<5d29'5g`=:ho0c>m?:188m4272900c>=m:188m4242900e>>n:188k3e=831boi4?::k16d<722c9>o4?::k17d<722c99h4?::kgb?6=3`8?o7>5;h30=?6=3k98n7>53;294~"5k;0hj6F=f59K6`d;1<75f8983>>i5:00;66sm3983>6<729q/>n<5cg9K6c2<@;oi7)k=:19j34<722c347>5;n01=?6=3th8o=4?:583>5}#:j81h?5G2g68L7ce3`=:6=44i9:94?=n:;21<75`23;94?=zj?n1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd1m3:1?7>50z&1g7<59m1C>k:4H3ga?lb02900ei650;9l641=831vn>m50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th8884?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d0D?h;;I0ff>oc>3:17b<>7;29?xd4<80;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg53:3:1>7>50z&1g7<950;9~f624290?6=4?{%0`6?553A8m86F=ec9j`2<722co47>5;hf:>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e;j=1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd4k10;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn?97:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi>:750;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th9;l4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d>i59>0;66sm26f94?2=83:p(?m=:208L7`33A8nn6gk7;29?lb?2900ei750;9l641=831v>650;0x96>=:;301;k5d69~w6g=838p1>65709>7<<59>1v>l50;0x96>=0116?n4=169~w3e=83>pR;m4=7g9641<5:>86i64=2a5>a1770345<3sWio70=74=35a>a052z\205=::>21h;5rs060>5<5sW;??63=788g2>{t:6}:;=;1h;523b59`3=::>n1><94}r0462e=l>1v?96:181840138:;63=7b8g<>{t:>k1<7770348:l52058971c2m30q~<8c;296~;5?j09=:5226f9`==z{::j6=4;{_13e>;4k909>5526e8g2>;4k3n=7p}<3c83>7}Y;:h01>=m:30:?xu4<90;6?u23579`3=:;=91><94}r175?6=:r788<4=169>715=l>1v>:=:181853:38:;63<428g=>{t;=>1<7=><5:>>6??8;|q0g5<72;qU?n>4=2a3>74>3ty8o84?:3y>7fg=l?16?n652058yv5d>3:1>v35<5s49h;7<>7:?0g=mn:334?x{e;9:1<7?9:969=5}O:lh0(?m=:02f?!7en38ji6g70;29?l77i3:17d?;0;29?l`12900e<<>:188k4242900e>>n:188m3e=831d=9650;9j70b=831b=<>50;9j7fd=831b?4<50;9l572=831d=lj50;9j60c=831d?9o50;9l56?=831d=4650;9l65<722e9i7>5;n116?6=3k8m97>51;294~"5k;0o96F=f59K6`d1<75rb201>5<2290;w)>o?93:17d9>:188m=>=831d>?750;9~f7g4290>6=4?{%0`6?b63A8m86F=ec9'a7<73`=m6=44i9394?=n?80;66g78;29?j4513:17pl=e;291?6=8r.9o?4k1:J1b1=O:lh0e:h50;9j<4<722c<=7>5;h:;>5<N5n=1C>hl4i6394?=n010;66a=2883>>{e0?0;6>4?:1y'6f4=ko1C>k:4H3ga?l162900e5650;9l67?=831vn<=6:186>5<7s-8h>7j>;I0e0>N5mk1b;k4?::k;5?6=3`=:6=44i9:94?=h:;31<75rb060>5<2290;w)>o?93:17d9>:188m=>=831d>?750;9~f4g>290>6=4?{%0`6?b63A8m86F=ec9j3c<722c3=7>5;h52>5<6=4::183!4d:3n:7E:186>5<7s-8h>7j>;I0e0>N5mk1b;k4?::k;5?6=3`=:6=44i9:94?=h:;31<75rb000>5<4290;w)>o?03:17b<=9;29?xd583:1?7>50z&1g75;h:;>5<N5n=1C>hl4i6394?=n010;66a=2883>>{e9hn1<7=50;2x 7e52jl0D?h;;I0ff>o093:17d67:188k74>2900qo?;8;297?6=8r.9o?4lf:J1b1=O:lh0e:?50;9j<=<722e9>44?::a55?=8391<7>t$3a1>f`<@;l?7E;1<75f8983>>i5:00;66sm2`d94?5=83:p(?m=:bd8L7`33A8nn6*j2;28m27=831b454?::m16<<722wi>o=50;194?6|,;i96nh4H3d7?M4bj2.n>7>4i6394?=n010;66a=2883>>{e;=h1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd6;>0;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg7fj3:1>7>50z&1g7<950;9~f64229096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a75<72:0;6=u+2b0964b<@;l?7E5<52;294~"5k;0m86F=f59K6`d0;66gk8;29?j46?3:17pl<4d83>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f62a29096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a706=8381<7>t$3a1>c2<@;l?7E5;|`014<72:0;6=u+2b0974=O:o>0D?km;hf4>5<0;66gk8;29?j46?3:17pl>4`83>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f42e29096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a51e=8391<7>t$3a1>67<@;l?7E5<52;294~"5k;0m86F=f59K6`d>i59>0;66sm2c:94?5=83:p(?m=:238L7`33A8nn6gk7;29?lb?2900c??8:188yg4e13:1>7>50z&1g7<950;9~f7df29096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a6gd=8391<7>t$3a1>67<@;l?7E5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm2cf94?5=83:p(?m=:33g?M4a<2B9io5fd683>>oc03:17b<>7;29?xd5jl0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn?l9:180>5<7s-8h>7<>d:J1b1=O:lh0ei950;9j`=<722e9=:4?::a6g7=8391<7>t$3a1>67<@;l?7E5<7>53;294~"5k;09=i5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb204>5<4290;w)>oc03:17b<>7;29?xd4:10;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg5513:1?7>50z&1g7<59m1C>k:4H3ga?lb02900ei650;9l641=831vn<:::181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi=9850;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th:8:4?:583>5}#:j81>5;hf;>5<0;66gk8;29?j46?3:17pl>3b83>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo?5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`27c<72:0;6=u+2b0964b<@;l?7E5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm1c494?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl>b683>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo?m8;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi=oo50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th:no4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d>i59>0;66sm1c294?5=83:p(?m=:238L7`33A8nn6gk7;29?lb?2900c??8:188yg7e93:187>50z&1g7<4:2B9j95G2d`8ma1=831bh54?::kg=?6=3f8:;7>5;|`2f7<72:0;6=u+2b0974=O:o>0D?km;hf4>5<>i59>0;66sm1c694?2=83:p(?m=:208L7`33A8nn6gk7;29?lb?2900ei750;9l641=831vn5<7s-8h>7<>d:J1b1=O:lh0ei950;9j`=<722e9=:4?::a51c=8391<7>t$3a1>67<@;l?7E5<87>52;294~"5k;0m86F=f59K6`d6=4=:183!4d:3l?7E>{e9<<1<7=50;2x 7e52:;0D?h;;I0ff>oc?3:17dj7:188k7702900qo?:7;297?6=8r.9o?4<1:J1b1=O:lh0ei950;9j`=<722e9=:4?::a50>=8381<7>t$3a1>c2<@;l?7E5;|`21<<72;0;6=u+2b09b1=O:o>0D?km;hf5>5<N5n=1C>hl4ie494?=h:8=1<75rb07a>5<4290;w)N5n=1C>hl4ie594?=nl10;66a=1683>>{e9=l1<7=50;2x 7e52:;0D?h;;I0ff>oc?3:17dj7:188k7702900qo?:0;297?6=8r.9o?4<1:J1b1=O:lh0ei950;9j`=<722e9=:4?::a507=8391<7>t$3a1>77c3A8m86F=ec9j`2<722co47>5;n023?6=3th:9?4?:583>5}#:j81??5G2g68L7ce3`n<6=44ie:94?=nl00;66a=1683>>{e9<91<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl>6283>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f40329086=4?{%0`6?46l2B9j95G2d`8ma1=831bh54?::m152<722wi=;;50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th::;4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm17:94?5=83:p(?m=:238L7`33A8nn6gk7;29?lb?2900c??8:188yg7113:187>50z&1g7<4:2B9j95G2d`8ma1=831bh54?::kg=?6=3f8:;7>5;|`263<72:0;6=u+2b0974=O:o>0D?km;hf4>5<>{e9;o1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd6:o0;6>4?:1y'6f4=:8n0D?h;;I0ff>oc?3:17dj7:188k7702900qo?<0;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn<=>:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi=><50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th:?>4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb017>5<5290;w)>i59>0;66sm13594?2=83:p(?m=:208L7`33A8nn6gk7;29?lb?2900ei750;9l641=831vn<<7:187>5<7s-8h>7==;I0e0>N5mk1bh:4?::kg5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm13c94?5=83:p(?m=:33g?M4a<2B9io5fd683>>oc03:17b<>7;29?xd6:k0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn<5<7s-8h>7<>e:J1b1=O:lh0ei950;9j`=<722co57>5;n023?6=3th:5l4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb0c2>5<5290;w)>i59>0;66sm1`094?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl>a283>6<729q/>n<520f8L7`33A8nn6gk7;29?lb?2900c??8:188yg7f<3:1>7>50z&1g7<950;9~f4g229096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a5d0=8381<7>t$3a1>c2<@;l?7E5;|`2e2<72:0;6=u+2b0974=O:o>0D?km;hf4>5<>{e90h1<7:50;2x 7e52:80D?h;;I0ff>oc?3:17dj7:188ma?=831d><950;9~f4?d290?6=4?{%0`6?553A8m86F=ec9j`2<722co47>5;hf:>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e90o1<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl>9g83>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f4g7290?6=4?{%0`6?46m2B9j95G2d`8ma1=831bh54?::kg=?6=3f8:;7>5;|`1e0<72;0;6=u+2b09b1=O:o>0D?km;hf5>5<N5n=1C>hl4ie494?=h:8=1<75rb3c4>5<5290;w)>i59>0;66sm2`:94?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl=a883>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f7gf29086=4?{%0`6?46l2B9j95G2d`8ma1=831bh54?::m152<722wi>ll50;694?6|,;i96><4H3d7?M4bj2co;7>5;hf;>5<>i59>0;66s|2183>7}Y:916>=4=289~w7c=83opR?k4=3g967?<5;h<6i94=066>a0<58h26i84=0`3>a1<58?=6i94=07;>a0<58<>6i84=011>a0<58986i64=0c5>a0<58k<6i64=3c4>a02`<5::1><94}r4`>53n=70?774=?816>l=5709>6`<09279=>481:?;2?1634;8579>;<377?1634;j579>;<301?1634;==79>;<317?16348;6:?4=0;;>27<588?6:?4=0cg>27<58>36:?4=02:>27<5;km6:?4=3`0>2774>349;6i94=064>a>=><5;i:6??8;|qe2?6=:rTm:63>088;<>{t9931<7;t=02:>74>348i97j9;<0a5?b?34;i:7j9;<360?b13ty:rT:==5235g9`2=:9=k1h:522c:9`2=:9?91h5522``9`==z{88:6=4={_315>;6::0346s|13094?4|58886?<6;<30f?b03ty:>94?:5y]572<588?6?<6;<312?b034;9;7j7;|q260<72;q6=?:5899>57e=:8=0q~?=6;296~;6:?09=:5213a9`==z{88<6=4={<313?46?27:>l4k7:p57>=838p1<<7:334?875i3n37p}>2883>7}:9;31><94=00`>a?52z?26d<59>16=?l5d69~w44e2909w0?=b;023>;6:j0o;6s|13f94?4|588o6??8;<313?b03ty:>h4?:3y>57c=:8=01<<8:e;8yv75n3:1>v3>2g8152=:9;21h55rs013>5<5s4;8<7<>7:?26=?50;0x94562;;<70?=8;f:?xu6;;0;6?u21209641<588i6i64}r307?6=:r7:?>4=169>57?=l>1v<=;:181874<38:;63>288g<>{t9:?1<774>34;8?7j8;|q273<72;q6=>;5899>561=:8=0q~?<9;296~X6;016=>7523;8yv74i3:1>v3>3884b>;6;o09=:5rs01a>5<5s4;8n7<>7:?27`m50;0x945d2;;<70?56`=l>1v<:?:187[73827:?n4k6:?222l01<:8:334?xu6<<0;6?u21579641<58><6i94}r372?6=:r7:8;4=169>511=l01v<:7:180[73027:854=289>51c=l>1v<:6:184873k38:;63>b08g<>;6=>0o;63>2d8g2>;6:o0o463>a08g2>;6i:0o46s|15c94?4|58>j6??8;<37g?b03ty:8o4?:3y>51d=:8=01<:l:e:8yv73l3:1>v3>498;<>;6=:09=:5rs06f>5<5s4;?i7<>7:?215504=l11v<;=:181872:38:;63>528g<>{t9<>1<777034;>n7j8;|q210<72;q6=8;52058943e2m20q~?:6;296~;6=?09=:521439`2=z{8?<6=4={<363?46?27:8k4k7:p50>=838p1<;7:334?873n3n37p}>5883>7}:9<31><94=071>a1m7>52z?21d<59>16=8<5d89~w43e2909w0?:b;023>;6=90o46s|17394?5|58<:6?<6;<3a:7j7;|q227<72;q6=;=52058940>2m20q~?93;296~;6>=09=:521759`==z{86783>7}:9?=1><94=04:>a152z?22=<59>16=;75d89~w4??290?wS?68:?2==<5:016=4o5d69>5032370?n0;023>{t90k1<777034;j<7j7;|q2=g<72;q6=4l5205894?b2m=0q~?6c;296~;61j09=:5218g9`==z{83o6=4={<3:`?46?27:m=4k9:p5n3n<7p}>9g83>7}:90l1><94=0c3>a152z?2e4<59>16=4l5d69~w4g52909w0?n2;023>;61k0o56s|1`194?4|58k86??8;<3:g?b?3ty:m94?:3y>5d2=:8=01<7l:e58yv7f=3:1>v3>a48152=:90i1h45rs0c5>5<5s4;j:7<>7:?2=c5d1=l>1v{t9hn1<7=t^0cg?87fl389563>ag8g3>{t9ho1<7=><58h>6??8;|q2ec<72;q6=lh5205894d52m=0q~?m0;296~;6j909=:521c19`2=z{8h:6=4={<3a5?46?27:n>4k8:p5g4=838p1b283>7}:9k91><94=0`7>a>52z?2f1<59>16=o;5d99~w4d12909w0?m6;023>;6jm0o;6s|1c594?4|58h<6??8;<3a`?b?3ty:n54?:3y>5g>=:8=01v3>b88152=:9k;1h:5rs0`b>5<5s4;im7<>7:?2f45g4=l11v??<:18684b21201??<:30:?87f13=m70?<5;5e?8572m20q~<>4;297~;59:03463>6084b>;6>009=:5rs336>5<>s48m97j;;<116?>6348j?76>;<0f>=7<589265?4=060>=7<58k265?4=016>=7<58<:65?4}r06a?6=:rT99h523429`3=z{;k86=49{<0b7?45127:8o4k6:?1f<278>:4k7:?27g7}::h?1><94=3c:>a152z?1e3<59>16>l75d99~w7g02909w0;5ik0o56s|2`:94?4|5;k36??8;<0bg?b?3ty9m44?:3y>6d?=:8=01?on:e:8yv4fi3:1>v3=a`8152=::hh1h:5rs3ca>5<5s48jn7<>7:?1eflh50;3384fn389563=c18g2>;5jh0o:63=b08g3>;6j>0o:63>bc8g2>;6=<0o:63>588g2>;6>=0o463>278g<>;6;80o:63>358g2>;61h0o463>a48g2>;6i10o:63=a78g2>{t:k:1<7=><5;h96??8;|q1f4<72;q6>o?5205897d52m20q~1h:5213f9`3=:9;l1h:521`09`3=:9h91h:522`79`3=z{;h?6=4={<0a7?>?348i:7<>7:p6g3=838p1?l::334?84ej3n37p}=b683>7}::k=1><94=3`g>a152z?1f=<59>16>om5d69~w7d>2909w0;5jj0o46s|2cc94?4|5;hj6??8;<0aa?b?3ty9no4?:3y>6gd=:8=01?l9:e58yv4ek3:1>v3=bb8152=::kn1h55rs3`g>5<5s48ih7<>7:?1f`ok50;0x97db2;;<70;4:;09>45rs207>5<5s499>767;<111?46?2wx??850;0x96452>l01><6:334?xu4:>0;6?u23359641<5:826i94}r1154=169>77?=l11v>:n:181[53i2788o4=169~w62c2909w0=;b;f5?852:38:;6s|35g94?4|5:>n6??8;<166?b03ty88k4?:3y>71`=:8=01>;>:e58yv5283:1>v3<518152=:;<;1h55rs272>5<5s49>=7<>7:?017a055z\0gg=:9?;1455235g9`==:9kk1h;521459`==zuk8mj7>5178a=?e2sA8nn6*=c3824a=#9kl1>lk4o02b>5<5<>i69>0;66g88;29?l7303:17d9=:188k63c2900e<=7:188m32=831d?4<50;9j5de=831b=lj50;9j777=831d?9850;9l705=831d>=4?::m0eg<722h9j84?:083>5}#:j81h85G2g68L7ce3fn?6=44}c1bf?6==3:1N5n=1C>hl4i6d94?=n080;66g81;29?l>?2900c?<6:188yggc29086=4?{%0`6?ea3A8m86F=ec9j34<722c347>5;n01=?6=3thj57>53;294~"5k;0hj6F=f59K6`d;1<75f8983>>i5:00;66sma483>6<729q/>n<5cg9K6c2<@;oi7d9>:188m=>=831d>?750;9~ft$3a1>f`<@;l?7E5<44?::a53g=8391<7>t$3a1>f`<@;l?7E5<53;294~"5k;0hj6F=f59K6`d;1<75f8983>>i5:00;66sm14a94?5=83:p(?m=:bd8L7`33A8nn6g81;29?l>?2900c?<6:188yg1f29086=4?{%0`6?ea3A8m86F=ec9j34<722c347>5;n01=?6=3th85?4?:283>5}#:j81ok5G2g68L7ce3`=:6=44i9:94?=h:;31<75rb27g>5<4290;w)>o?03:17b<=9;29?xd4990;6>4?:1y'6f4=ko1C>k:4H3ga?l162900e5650;9l67?=831vn>:9:180>5<7s-8h>7mi;I0e0>N5mk1b;<4?::k;5;|``N5n=1C>hl4i6394?=n010;66a=2883>>{e:lo1<7=50;2x 7e52jl0D?h;;I0ff>o093:17d67:188k74>2900qo??a;290?6=8r.9o?4k0:J1b1=O:lh0e5?50;9j34<722c347>5;n01=?6=3th:=:4?:583>5}#:j81h=5G2g68L7ce3`2:6=44i6394?=n010;66a=2883>>{e0k0;6>4?:1y'6f4=ko1C>k:4H3ga?!c5291b;<4?::k;5;|`23d<72:0;6=u+2b09gc=O:o>0D?km;%g1>5=n?80;66g78;29?j4513:17pl>7c83>6<729q/>n<5cg9K6c2<@;oi7)k=:19j34<722c347>5;n01=?6=3th:4=4?:283>5}#:j81ok5G2g68L7ce3-o96=5f7083>>o?03:17b<=9;29?xd6010;6>4?:1y'6f4=ko1C>k:4H3ga?!c5291b;<4?::k;5;|`2<<<72:0;6=u+2b09gc=O:o>0D?km;%g1>5=n?80;66g78;29?j4513:17pl>8`83>6<729q/>n<5cg9K6c2<@;oi7)k=:19j34<722c347>5;n01=?6=3th:5<4?:283>5}#:j81ok5G2g68L7ce3-o96<5f7083>>o?03:17b<=9;29?xde;3:1?7?53zJ1ag=#:j81=n?4i9;94?=n0h0;66a=9e83>>d5nh0;6>4?:1y'6f4=:o90D?h;;I0ff>oc?3:17dj7:188k7702900q~66:181[>>348mm7j7;|q;e?6=:rT3m63=f`8g3>{t:0n1<75;h:b>5<5<4290;w)N5n=1C>hl4ie594?=nl10;66a=1683>>{t000;6?uQ889>6cg=l11v5o50;0xZ=g<5;lj6i94}r0:`?6=:rT95i522gc964153;397~N5mk1/>n<51b38m=?=831b4l4?::m1=a<722h9jl4?:283>5}#:j81>k=4H3d7?M4bj2co;7>5;hf;>5<5<5sW2270vP7a:?1bd4j50;0xZ7?c348mm7<>7:~f63329096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a50c=8381<7>t$3a1>c2<@;l?7E5;|`21c<72;0;6=u+2b09b1=O:o>0D?km;hf5>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e;=31<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl=f183>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo??c;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn>8j:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi?l=50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th8n=4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<>{ej90;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vnll50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3thjo7>53;294~"5k;08=6F=f59K6`d>i59>0;66sma683>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qoo7:180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`:`?6=:3:1N5n=1C>hl4ie494?=h:8=1<75rbc794?5=83:p(?m=:238L7`33A8nn6gk7;29?lb?2900c??8:188ygd029086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3th8=?4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d>{e9?o1<7:50;2x 7e52:80D?h;;I0ff>oc?3:17dj7:188ma?=831d><950;9~f4?429086=4?{%0`6?46l2B9j95G2d`8ma1=831bh54?::m152<722wi=4:50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th:584?:283>5}#:j81>5;hf;>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e90=1<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl>8b83>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f4>c29096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a5=c=8381<7>t$3a1>c2<@;l?7E5;|`20D?km;hf4>5<0;66gk8;29?j46?3:17pl>8383>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo?73;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn<6;:180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`2<0<72:0;6=u+2b0964b<@;l?7E5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm19594?5=83:p(?m=:33g?M4a<2B9io5fd683>>oc03:17b<>7;29?xd6?m0;6>4?:1y'6f4=:8n0D?h;;I0ff>oc?3:17dj7:188k7702900qo?8e;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn<9i:187>5<7s-8h>7==;I0e0>N5mk1bh:4?::kg5<55;294~"5k;08?6F=f59K6`d>oc13:17djn:188k7702900qo=mc;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn>lk:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi?ok50;194?6|,;i96>?4H3d7?M4bj2co;7>5;hf;>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e0m0;684?:1y'6f4=:8l0D?h;;I0ff>oc?3:17dj7:188ma?=831bhl4?::m152<722wi4h4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb9d94?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl60;297?6=8r.9o?4<1:J1b1=O:lh0ei950;9j`=<722e9=:4?::a=4<72:0;6=u+2b0974=O:o>0D?km;hf4>5<0;66gk8;29?j46?3:17pl<6583>6<729q/>n<520f8L7`33A8nn6gk7;29?lb?2900c??8:188yg51=3:1>7>50z&1g7<950;9~f60129086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3th8::4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm37;94?5=83:p(?m=:238L7`33A8nn6gk7;29?lb?2900c??8:188yg51i3:1?7>50z&1g7<59m1C>k:4H3ga?lb02900ei650;9l641=831vn>8m:187>5<7s-8h>7<>e:J1b1=O:lh0ei950;9j`=<722co57>5;n023?6=3th8:n4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb2;:>5<4290;w)N5n=1C>hl4ie594?=nl10;66a=1683>>{e;0k1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd41k0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn>7l:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi?4j50;194?6|,;i96>?4H3d7?M4bj2co;7>5;hf;>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e;0l1<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl1<729q/>n<520g8L7`33A8nn6gk7;29?lb?2900ei750;9l641=831vn>o>:180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`0f7<72<0;6=u+2b0976=O:o>0D?km;hf4>5<>oci3:17b<>7;29?xd4j:0;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg5e<3:1>7>50z&1g7<950;9~f6d229096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a7g0=8381<7>t$3a1>c2<@;l?7E5;|`0f2<72:0;6=u+2b0974=O:o>0D?km;hf4>5<>i59>0;66sm3c;94?2=83:p(?m=:208L7`33A8nn6gk7;29?lb?2900ei750;9l641=831vn5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi=5;n023?6=3th:=o4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d>{e98o1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd69o0;6;4?:1y'6f4=;=1C>k:4H3ga?lb02900ei650;9j`<<722com7>5;hfa>5<0D?km;hf4>5<>i59>0;66sm16294?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl>7383>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo?83;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn<9;:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi=:;50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th:;;4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d0;66gk8;29?j46?3:17pl>7883>=<729q/>n<5379K6c2<@;oi7dj8:188ma>=831bh44?::kge?6=3`ni6=44iea94?=nlm0;66a=1683>>{e9>;1<7:50;2x 7e52;;n7E0;66gk8;29?lb>2900c??8:188yg43290>6=4?{%0`6?46n2B9j95G2d`8ma1=831bh54?::kg=?6=3`nj6=44o334>5<0;66gk8;29?j46?3:17pl=7;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn?650;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th957>52;294~"5k;0m86F=f59K6`d0D?h;;I0ff>oc>3:17b<>7;29?xd5j3:1?7>50z&1g7<492B9j95G2d`8ma1=831bh54?::m152<722wi>n4?:483>5}#:j81?>5G2g68L7ce3`n<6=44ie:94?=nl00;66gka;29?j46?3:17pl=d;297?6=8r.9o?4=1e9K6c2<@;oi7dj8:188ma>=831d><950;9~f73=8391<7>t$3a1>77c3A8m86F=ec9j`2<722co47>5;n023?6=3thm47>53;294~"5k;09=i5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb022>5<5290;w)>i59>0;66sm11094?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl>0283>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f46329096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a553=8381<7>t$3a1>c2<@;l?7E5;|`243<72;0;6=u+2b09b1=O:o>0D?km;hf5>5<N5n=1C>hl4ie494?=h:8=1<75rb02;>5<5290;w)>i59>0;66smf883>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~fcg=8391<7>t$3a1>67<@;l?7E5<0;66gk8;29?lb>2900eio50;9j`g<722e9=:4?::abf<72:0;6=u+2b0964b<@;l?7E5<>i59>0;66smfd83>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~fc`=8391<7>t$3a1>77c3A8m86F=ec9j`2<722co47>5;n023?6=3th:<=4?:583>5}#:j81>5;hf;>5<>i59>0;66sm30494?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl<1683>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo=>8;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn>?6:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi?5;n023?6=3th8=o4?:283>5}#:j81>5;hf;>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e;8n1<7:50;2x 7e52:80D?h;;I0ff>oc?3:17dj7:188ma?=831d><950;9~f67229086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3th89:4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb27;>5<4290;w)N5n=1C>hl4ie594?=nl10;66a=1683>>{e;<31<7=50;2x 7e52:;0D?h;;I0ff>oc?3:17dj7:188k7702900qol6:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722winn4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rbcf94?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17plme;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vnoh50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3thh<7>52;294~"5k;0m86F=f59K6`doc?3:17dj7:188k7702900qom=:180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|``7?6=;3:10D?km;hf4>5<oc?3:17dj7:188ma?=831d><950;9~fgd=8391<7>t$3a1>77c3A8m86F=ec9j`2<722co47>5;n023?6=3th2j7>52;294~"5k;0m86F=f59K6`d0;66gk8;29?lb>2900c??8:188ygg629096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::ae7<72:0;6=u+2b0974=O:o>0D?km;hf4>5<oc?3:17dj7:188k7702900qoo;:180>5<7s-8h>7<>d:J1b1=O:lh0ei950;9j`=<722e9=:4?::p65<72:qU>=5221816<=::j0o;6s|2283>7}::903463=5;023>{t:=0;6?u2258152=::<0o;6s|2783>7}::?09=:522c8g3>{t:>0;6?u2268152=::k0o46s|2983>7}::109=:522b8g<>{t:00;6?u2288152=::j0o56s|2`83>7}::h09=:522b8ge>{t:k0;6?u22c8152=::m0o;6s|2b83>7}::j09=:522e8g<>{t:m0;6?u22e8152=::<0o46s|6583>2}Y>=16=;k5d99>5<2=l?16=5m5d99>634k8:?01<;6>h0<=63>bd845>;6=j0<=638a;52?8>e2>;01<9n:638941e2>;01<6?:63894>?2>;01<66:63894>f2>;01<7>:63896752m<0q~97:187[1?349jn79>;<5b>=><50n1h;5rs6c94?d|5>k1>?74=04`>a0<58386i64=0:f>a0<582>6i94=05f>a0<51l1h;523759`3=:;0i1h;52fb8g3>;49=0o46s|8c83>6}:0k09>452918g3>;4=10o;6s|8b83>7}:0k0346362;023>{t0m0;6?u28e8152=:190o46s|8d83>7}:0l09=:52908g3>{t0o0;6?u28g8152=:180o46s|9183>7}:1909=:52938g3>{t180;6?u2908152=:1;0o46s|9283>1}Y1:16o5478:?a=?b1343m6i84}r;4>5=?<5k?1h5523c`9`<=:;k81h:523c19`3=:9831h;52bb8g3>;el3n=70o>:e48yv?d2902w07m:63897cb2>;01;01n65709>ea<0927j579>;27<50n1><94}r;f>5<5s43i6564=`6964177034k96i64}rc3>5<5s4k;6??8;a177034k86i64}rc1>5<5s4k96??8;a177034k?6i64}r;;>56?<6;<`2>=g<5k?1h:523ca9`3=:;k<1h;5210`9`3=:k90o:63n0;f4?xuf>3:1>v3n5;:;?8g?2;;<7p}n7;296~;f?38:;63n8;f;?xu>13:15v3n9;01=>;e:32j70l8:e5896de2mk01>l=:e:896d32m<01eg<59>16mn4k8:p=d<721q6mi4=289>f627:=k4k8:?ag?b?34hn6i84=`29`<=z{ho1<7<94=c29`==z{k>1<7=t=c09<<=:j<09=:52b68g<>{tj?0;6?u2b28;=>;e?38:;6s|b983>1}:io0o:63nb;f5?8g02m<01ol52058yvd>2909w0l6:334?8e62m20q~ln:1818df2;;<70lm:e:8yvdd2909w0ll:334?8e42m=0q~lk:1818dc2;;<70m=:e58yvdb2909w0lj:334?8e52m20q~li:1818da2;;<70ln:e58yve72909w0m?:334?8df2m30q~m>:1818e62;;<70lm:e58yve52909w0m=:334?8e42m20q~m<:1818e42;;<70ln:e:8yve32909w0l>:3;g?8g?2m=0q~m::1818d52;3o70ol:e58yve12909w0l<:3;g?8d72m=0q~m7:1808e?2;8270m>:e589d4=l>1vk850;7xZc0<5o<1>?74=2`a>a><5:h96i74=g`9`==z{o=1<7<94}rd;>5<5s4l36??8;<334?b?3tym57>52z?e=?46?27mo7j7;|qee?6=:r7mm7<>7:?e`?b03tymn7>52z?ef?46?27mh7j7;|qeg?6=:r7mo7<>7:?ea?b?3tymh7>52z?e`?46?27:<=4k9:pb`<72;q6jh4=169>bcbc<59>16==>5d69~w4662909w0??1;023>;a13n<7p}>0383>7}:9981><94=g;9`==z{8:86=4={<337?46?27mm7j8;|q241<72;q6==:520589cg=l11v<>::181877=38:;63ib;f4?xu68?0;6?u21149641<5oh1h45rs024>5<5s4;;;7<>7:?ef?bf3ty:<54?:3y>55>=:8=01kl5dc9~w46f290>wS??a:?24d<5:016=5<5d79>52b=l>16==?5d79~w46e2909w0??a;:;?877k38:;6s|10594?3|V8;<70?>7;01=>;60:0o:63>7e8g<>;68;0o:6s|10:94?4|58;<6564=003>7703ty:=44?:3y>54?=:8=01v3>1`8152=:98l1h45rs03a>5<5s4;:n7<>7:?25cf;fa?xu69m0;6?u210f9641<588;6i94}r32a?6=:r7:=h4=169>576=l01v218g<>{t9:21<74983>7}Y9=201<;l:9:8yv72k3:18v3>5b816<=:957:?22a27:5>4k7:?2279;7j9;<330?b1349:87j8;<163?b03ty:9h4?:3y>50c=:8=01<8?:e58yv72n3:1>v3>5g8152=:9?:1h55rs04b>5<4s4;=m7<=9:?23<6b83>7}:9?i1><94=04f>a152z?22a<59>16=;k5d89~w40a2909w0?9a;:;?870938:;6s|16294?4|58=;6??8;<34524=:8=01<96:e:8yv70;3:1>v3>728152=:9>31h45rs057>5<5s4;<87<>7:?23<52?=lm1v<97:181870038:;63>708g3>{t9>31<777034;<=7j6;|q23d<72:e:897?=l?16==65d79~w41e290>w0?8a;:;?870j389563>748g2>;503n=70??7;f5?xu6?j0;6?u216`9<==:9>l1><94}r34`?6=:r7:;i4=169>52`=l>1v<9j:181870m38:;63>7g8g=>{t91:1<7mt=0:3>74>349?57j8;<3;2?b034;ag<5:a1<58==6i84=369`2=:99<1h;52fd8g3>;49h0o:6s|19394?4|582;6564=0:4>7703ty:4?4?:3y>5=4=:8=01<6;:e58yv7?;3:1>v3>828152=:91>1h55rs0:7>5<5s4;387<>7:?2<022;;<70?76;f;?xu60?0;6?u21949641<582<6i64}r3;71?=l116=595d69>a059z?2<=4k6:?10?bf34l36i94=234>a059z?2<<34l36i64=23;>a052z?2d2909w0?7c;023>;6190o;6s|19f94?4|582o6??8;<3;b?b03ty:4h4?:3y>5=c=:8=01<6i:e:8yv7?n3:1>v3>8g8152=:90:1h55rs0;2>55<5s4;2=767;<3:3?46?2wx=4=50;0x94?42;;<70?65;f4?xu61=0;6?u21869641<583>6i64}r3:1?6=:r7:584=169>5<0=l11v<79:18187>>38:;63>968g<>{t9hi1<7ae83>7}Y9hn01bd816<=:9{t:8?1<7a2<5:ki65?4}r0fa?6=;r79ih4=289>7gd=l>16?o<5d`9~w7ca2909w00;01=>;68h03=63>168;5>{t;8;1<7;t=233>27<5:396:?4=27g>27<5:>=6:?4=231>7703ty8=>4?:3y>746=0116?<;52058yv56<3:1>v3<158152=:;8h1h:5rs235>5<5s49::7<>7:?05gd;f4?xu4910;6?u230:9641<5:;h6i94}r12=?6=:r78=44=169>74e=l11v>?n:181856i38:;63<148g<>{t;8h1<7770349:h7j7;|q05f<72;q6?d;296~;49m09=:523079`2=z{:8:6=4={_115>;68j0o:6s|32`94?4|V:9i70?>d;f5?xu47}:;=<1455235;9641?7>52z\016=:;<>1><94}r162?6=:r78994k6:?01<<59>1v>;8:181852?38:;63<598g<>{t;<21<7770349>57j7;|q01a<72:qU?8j4=27g>74>349=97j9;|q026<72;q6?;k5d79>73e=:8=0q~=94;296~;4>=09=:5237`9`==z{:<>6=4={<151?46?278:54k8:p730=838p1>89:334?85113n<7p}<6683>7}:;?=1><94=24:>a>52z?02=<59>16?;o5d99~w60>2909w0=99;023>;4>k0o56s|37c94?4|5:73d=:8=01>8l:e:8yv51l3:1>v3<5e8;<>;4>l09=:5rs2:4>5<5sW93;63>1d8g2>{t;081<7=t^2;1?85>:389563<9`8g2>{t;021<7a0<5:k:6??8;|q0=<<72;q6?475205896g72m20q~=6a;296~;41h09=:5238f9`==z{:3i6=4={<1:f?46?2785h4k7:p77l:334?85>m3n37p}<9e83>7}:;0n1><94=2;e>a>52z?0=`<59>16?l>5d89~w6?a2909w0=6f;023>;4i90o;6s|3`294?4|5:k;6??8;<1b5?b?3ty8m?4?:3y>7<4=0116?l=52058yv5fj3:1?vP7dd=:;301v3;4j909=:5rs2`2>5<5s49jn79i;<1a=?46?2wx?o<50;0x96d52;;<70=m8;f4?xu4j:0;6?u23c19641<5:h<6i94}r1a0?6=:r78n94=169>7g1=l11v>l::18185e=38:;63{t;k<1<7770349i57j6;|q0f2<72;q6?o95205896d?2m20q~=m8;296~;4j109=:523c;9`==z{:hj6=4={<1a4?b1349ij7<>7:p7gd=838p1>lm:334?85en3n<7p}7}:;ki1><94=2`f>a152z?0fa<59>16?ok5d99~w6db2909w0=me;023>;4jo0o46s|3b294?4|V:i;70n<5839'5g`=:ho0c?7m:188m=6=831d>4<50;9l77b=831b5>4?::k01d<722e84o4?::m50?6=3k8m97>51;294~"5k;0o96F=f59K6`d1<75rb3fa>5<2290;w)5;n01=?6=3th9h:4?:483>5}#:j81h<5G2g68L7ce3-o96=5f7g83>>o?93:17d9>:188m=>=831d>?750;9~f7b4290>6=4?{%0`6?b63A8m86F=ec9'a7<73`=m6=44i9394?=n?80;66g78;29?j4513:17pl=cd83>0<729q/>n<5d09K6c2<@;oi7)k=:19j3c<722c3=7>5;h52>5<5<7s-8h>7j>;I0e0>N5mk1b;k4?::k;5?6=3`=:6=44i9:94?=h:;31<75rb0fa>5<2290;w)>o?93:17d9>:188m=>=831d>?750;9~f4bd290>6=4?{%0`6?b63A8m86F=ec9j3c<722c3=7>5;h52>5<5<7s-8h>7j>;I0e0>N5mk1b;k4?::k;5?6=3`=:6=44i9:94?=h:;31<75rb0fe>5<2290;w)>o?93:17d9>:188m=>=831d>?750;9~f4c7290>6=4?{%0`6?b63A8m86F=ec9j3c<722c3=7>5;h52>5<5<7s-8h>7j>;I0e0>N5mk1b;k4?::k;5?6=3`=:6=44i9:94?=h:;31<75rb0f;>5<2290;w)>o?93:17d9>:188m=>=831d>?750;9~f4b>290>6=4?{%0`6?b63A8m86F=ec9j3c<722c3=7>5;h52>5<5<7s-8h>7j>;I0e0>N5mk1b;k4?::k;5?6=3`=:6=44i9:94?=h:;31<75rb3a4>5<2290;w)>o?93:17d9>:188m=>=831d>?750;9~f7?5290>6=4?{%0`6?b63A8m86F=ec9j3c<722c3=7>5;h52>5<o093:17d67:188k74>2900qomm:180>5<7s-8h>7mi;I0e0>N5mk1b;<4?::k;5;|`06a<72:0;6=u+2b09gc=O:o>0D?km;h52>5<44?::a70e=8391<7>t$3a1>f`<@;l?7E5<6=4<:183!4d:3im7E:188m=>=831d>?750;9~f`?=8391<7>t$3a1>f`<@;l?7E;1<75f8983>>i5:00;66sm2d394?5=939pD?km;%0`6?7d92c357>5;h:b>5<5<4290;w)N5n=1C>hl4ie594?=nl10;66a=1683>>{t000;6?uQ889>6cg=l11v5o50;0xZ=g<5;lj6i94}r0:`?6=:rT95i522gc96416}O:lh0(?m=:0a2?l>>2900e5o50;9l6ko50;194?6|,;i96?h<;I0e0>N5mk1bh:4?::kg5;|q;=?6=:rT3563=f`8g<>{t0h0;6?uQ8`9>6cg=l>1v?7k:181[4>l279jl4=169~yg4cn3:1?7?53zJ1ag=#:j81=n?4i9;94?=n0h0;66a=9e83>>d5nh0;6>4?:1y'6f4=:o90D?h;;I0ff>oc?3:17dj7:188k7702900q~66:181[>>348mm7j7;|q;e?6=:rT3m63=f`8g3>{t:0n1<75<42808wE5;c0ee?6=;3:10D?km;hf4>5<f348mm7j8;|q1=a<72;qU>4j4=3db>7703twi=h:50;195?5|@;oi7)o?13:17d6n:188k7?c2900n?hn:180>5<7s-8h>7ko52058yxd6m:0;6>4>:2yK6`d<,;i96;h::>5<0;66gk8;29?j46?3:17p}79;296~X?1279jl4k8:p;5nh09=:5r}c3fa?6=;3;1?vF=ec9'6f4=9j;0e5750;9j5<4<4sA8nn6*=c382g4=n000;66g7a;29?j4>l3:17o=831d><950;9~w=?=838pR574=3db>a>7}Y:0n01?hn:334?x{e9li1<7=51;1xL7ce3-8h>7?l1:k;=?6=3`2j6=44o3;g>5<>i59>0;66s|8883>7}Y0016>ko5d99~w=g=838pR5o4=3db>a152z\1=a=::ok1><94}|`2ag<72:0:6>uG2d`8 7e528i:7d66:188m=g=831d>4j50;9a6cg=8391<7>t$3a1>7`43A8m86F=ec9j`2<722co47>5;n023?6=3ty357>52z\;=>;5nh0o46s|8`83>7}Y0h16>ko5d69~w7?c2909wS<6d:?1bd<59>1vqo?ja;297?7=;rB9io5+2b095f7>i51m0;66l=f`83>6<729q/>n<52g18L7`33A8nn6gk7;29?lb?2900c??8:188yv>>2909wS66;<0ee?b?3ty3m7>52z\;e>;5nh0o;6s|28f94?4|V;3o70{zj8o26=4<:080M4bj2.9o?4>c09j<<<722c3m7>5;n0:`?6=3k8mm7>53;294~"5k;09j>5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rs9;94?4|V1301?hn:e:8yv>f2909wS6n;<0ee?b03ty95i4?:3y]6=8391=7=tH3ga?!4d:3;h=6g79;29?l>f2900c?7k:188f7`f29086=4?{%0`6?4a;2B9j95G2d`8ma1=831bh54?::m152<722wx444?:3y]<<=::ok1h55rs9c94?4|V1k01?hn:e58yv4>l3:1>vP=9e9>6cg=:8=0qpl>e683>6<62:qC>hl4$3a1>4e63`226=44i9c94?=h:0n1<75m2gc94?5=83:p(?m=:3d0?M4a<2B9io5fd683>>oc03:17b<>7;29?xu?13:1>vP79:?1bd5<5sW82h63=f`8152=zuk;n:7>53;397~N5mk1/>n<51b38m=?=831b4l4?::m1=a<722h9jl4?:283>5}#:j81>k=4H3d7?M4bj2co;7>5;hf;>5<5<5sW2270vP7a:?1bd4j50;0xZ7?c348mm7<>7:~f<3=8381<7>t$3a1>c2<@;l?7E5;|`:2?6=;3:1N5n=1C>hl4ie594?=nl10;66a=1683>>{e:lk1<7=50;2x 7e52:;0D?h;;I0ff>oc?3:17dj7:188k7702900qo<65;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn:180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`2b6<72:0;6=u+2b0974=O:o>0D?km;hf4>5<6=4<:183!4d:39:7E0;66gk8;29?j46?3:17pl>f683>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f4`>29086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3th:jo4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb0dg>5<4290;w)>oc03:17b<>7;29?xd6no0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn?>>:180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`146<72:0;6=u+2b0974=O:o>0D?km;hf4>5<6=4<:183!4d:39:7E0;66gk8;29?j46?3:17pl>eg83>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`1`<<72;0;6=u+2b09b1=O:o>0D?km;hf5>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e:m?1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd5l?0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn?j?:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi>i?50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th9h?4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb3g0>5<4290;w)>oc03:17b<>7;29?xd5m<0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vnk?50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3thm>7>53;294~"5k;08=6F=f59K6`d>i59>0;66sme583>1<729q/>n<5339K6c2<@;oi7dj8:188ma>=831bh44?::m152<722wi>n750;194?6|,;i96>?4H3d7?M4bj2co;7>5;hf;>5<N5n=1C>hl4ie494?=h:8=1<75rb3aa>5<5290;w)>i59>0;66sm2ba94?5=83:p(?m=:238L7`33A8nn6gk7;29?lb?2900c??8:188yg4dl3:1?7>50z&1g7<492B9j95G2d`8ma1=831bh54?::m152<722wi=i?50;;94?6|,;i96>94H3d7?M4bj2co;7>5;hf;>5<>ocj3:17djl:188mab=831bhh4?::m152<722wi=i<50;794?6|,;i96>=4H3d7?M4bj2co;7>5;hf;>5<>i59>0;66sm1e194?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl>d583>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f4b229086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3thnn7>52;294~"5k;0m86F=f59K6`d0D?h;;I0ff>oc>3:17b<>7;29?xdbl3:1?7>50z&1g7<492B9j95G2d`8ma1=831bh54?::m152<722wiih4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rbdd94?5=83:p(?m=:33g?M4a<2B9io5fd683>>oc03:17b<>7;29?xdb?3:187>50z&1g7<4:2B9j95G2d`8ma1=831bh54?::kg=?6=3f8:;7>5;|`f0D?km;hf4>5<>{e:021<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd5100;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg4>i3:187>50z&1g7<4:2B9j95G2d`8ma1=831bh54?::kg=?6=3f8:;7>5;|`52?6=:3:1N5n=1C>hl4ie494?=h:8=1<75rb7594?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl98;297?6=8r.9o?4<1:J1b1=O:lh0ei950;9j`=<722e9=:4?::a2<<72:0;6=u+2b0974=O:o>0D?km;hf4>5<0;66gk8;29?j46?3:17pl9b;297?6=8r.9o?4<1:J1b1=O:lh0ei950;9j`=<722e9=:4?::a766=8391<7>t$3a1>67<@;l?7E5<52;294~"5k;0m86F=f59K6`d>{e;:91<7=50;2x 7e52:;0D?h;;I0ff>oc?3:17dj7:188k7702900qo=<4;297?6=8r.9o?4=1e9K6c2<@;oi7dj8:188ma>=831d><950;9~f65229086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3th8?;4?:283>5}#:j81>5;hf;>5<5<4sW2;;<708n:e:8yv0f2909w08n:334?80e2m20q~6?:182`~X?8279ho481:?1`2<09279h>481:?1g`<0927:h;481:?2`2<0927:ho481:?2`f<0927:hi481:?2``<0927:hk481:?2a5<0927:i<481:?2a7<0927:h5481:?2`<<0927:hl481:?2gc<09279o:481:?1=7<0927ho79>;27<5:8o6:?4=2:a>27<5?>1;<5234a934=:m<0<=63j9;52?xu>;3:1>vP63:?`f?>?3ty287>59z?1g2<0n2795?48f:?1g`<0n279ho48f:?1`2<0n279h>48f:?:2?46?279il4k8:?f0?b03ty297>52z?:1?46?272:7j7;|q`=?6=;r7ho767;74>343>6i84}rab>5<5s4ih6?<6;<;5>a177034oh6i84=df9`2=:m>0o;6s|e483>2}:m<09>452f38g3>;bj3n=70k7:e5893d=l>16?>>5d69>767=l?1vh850;0x9`3=0116i54=169~w`1=838p1h9520589`>=l11vh750;5x9`?=:;301k?5d79>a`a0<5?31h:523279`2=z{lk1<7<94=df9`==z{li1<7<94=dd9`2=z{lo1<7<94=g09`==z{o91<7=><5o81><94}r3`b?6=:r7:ok4=289>a150;0x94ea212016i94}r3g6?6=:r7:h?4=169>5a2=l>1vd58g<>{t9m>1<777034;o97j7;|q2g7<72:e:894ca2m<013:18v3>d6816<=:9l<14l521g39`2=:9m;1h:5rs0a0>5<3s4;o47<=9:?2a64k7:?2`72;8270?j4;:b?847=3n<70?k2;f;?xu6k<0;6>u21ec967?<58o>65o4=0f1>ag54z?2`g<5:016=h958`9>5c5=l>16=i?5d99~w4e?290?w0?kc;01=>;6m103m63>f48g3>;6l80o56s|1b;94?2|58no6?<6;<3f=?>f34;m;7j8;<3g5?be3ty:ol4?:5y>5ac=:;3012m=01:ec8yv7dj3:18v3>dg816<=:9lh14l521g`9`2=:9m;1hn5rs0a`>5<3s4;n<7<=9:?2afa1<58n96i94}r3e4?6=;r7:i:479:?2b4<59>16=k=5d99~w4`52908w0?j8;::?87a;38:;63>f48g<>{t9o>1<7=t=0g:>=?<58l>6??8;<3e3?b?3ty:j;4?:2y>5`g=0016=k95205894`>2m20q~?i8;297~;6mk03563>f88152=:9oh1h55rs0db>5<4s4;no766;<3ef?46?27:ji4k8:p5ce=839p1u21dg9<<=:9ol1><94=322>a>53z?2a6655=l11v?>=:18087b<32270;58<0o46s|21694?4|58o>6574=326>7703ty9<;4?:3y>5a0=0116=hh52058yv47?3:1>v3>d98;<>;6m:095i5rs32;>5<5s4;o5767;<3f0?4>l2wx>=750;0x94bf212014j4}r03f?6=:r7:ho478:?2a2<51m1v?>l:18187ck32370?j8;0:`>{t:9n1<7=><58o26?7k;|q14`<72;q6=ik5899>5`g=:0n0q~ec81=a=z{;;;6=4={<3f4?>?34;no7<6d:p647=838p1:9:894cc2;3o7p}=1383>7}:9l8145521dg96514y>6c3=l=16>il5809>6a1=0816>i=5809>6fc=0816=i85809>5a1=0816=il5809>5ae=0816=ij5809>5ac=0816=ih5809>5`6=0816=h?5809>5`4=0816=i65809>5a?=0816=io5809>5f`=0816>n95809>6<4=081v?7=:181[4>:2795?4=289~w7?32909w0<62;:;?84>=38:;6s|28494?4|5;3>6i84=3;b>7703ty95:4?:3y>6<1=:8=01?7n:e:8yv4>03:1>v3=998152=::0k1h:5rs3;:>5<5s48257<>7:?1=dn950;1x97e02;827003463=ce8152=z{;i26=4={<0`=?46?279oi4k7:p6fg=838p1?mn:334?84dk3n<7p}=cc83>7}::jh1><94=3a`>a>52z?1gf<59>16>nj5d99~w7e4290>w0;5lo03563=d08g2>;5m:0o463=c88g3>{t:jl1<7=><5;n96??8;|q1`5<72;q6>i>5205897b52m=0q~2wx>i:50;0x97b421201?j9:334?xu5l<0;6?u22e79641<5;n=6i64}r0`1?6==r79h:4=289>6`6=0h16>h;5d69>6fd=l?16>495d79~w7b?2909w0f348h57j7;<0:=?b13ty9hn4?:3y>6ad=0116>ik52058yv4cl3:1>v3=de8152=::mo1h55rs3g1>5<4s48n<766;<0f7?46?279i84k8:p6`2=838p1?k>:9;897c22;;<7p}=e783>7}::ml1>4j4=3f5>a152z?1a5<51m16>io5d69~w7c?2909w0;5ll0o;6s|2d;94?74s4;o:79i;<3g3?1a34;on79i;<3gg?1a34;oh79i;<3ga?1a34;oj79i;<3f4?1a34;n=79i;<3f6?1a34;o479i;<3g=?1a34;om79i;<3`b?1a348nm7<>7:?1`a279h44k6:?1`0279h=4k6:p77b=83?pR>760=:8=0q~=<0;296~;4;909=:523249`2=z{:9:6=4={<105?46?278?>4k7:p764=838p1>==:334?854;3n37p}<3283>7}:;:91><94=217>a>52z?071<59>16?>;5d99~w6522909w0=<5;023>;4;?0o46s|34c94?4|V:?j70=:c;:;?xu4=j0;6>u234a967?<5l=1h5523209`3=z{:2i6=4={_1;f>;40k09>45r}c13N5n=1C>hl4ie494?=h:8=1<75rb23e>5<5290;w)>i59>0;66sm31694?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl<0483>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo=?6;297?6=8r.9o?4<1:J1b1=O:lh0ei950;9j`=<722e9=:4?::a7=g=8381<7>t$3a1>c2<@;l?7E5;|`04f<72;0;6=u+2b09a4=O:o>0D?km;hf5>5<N5n=1C>hl4ie494?=h:8=1<75rb20a>5<5290;w)>i59>0;66smc683>7<729q/>n<5e09K6c2<@;oi7dj9:188k7702900qomj:181>5<7s-8h>7k>;I0e0>N5mk1bh;4?::m152<722wi?>h50;094?6|,;i96h?4H3d7?M4bj2co:7>5;n023?6=3th89o4?:383>5}#:j81i<5G2g68L7ce3`n=6=44o334>5<52;294~"5k;0n=6F=f59K6`d31<7<50;2x 7e52l;0D?h;;I0ff>oc>3:17b<>7;29?xd0;3:1>7>50z&1g7<950;9~f63a29096=4?{%0`6?c63A8m86F=ec9j`3<722e9=:4?::a7fb=8381<7>t$3a1>`7<@;l?7E5;|`56?6=:3:1N5n=1C>hl4ie494?=h:8=1<75rb7394?4=83:p(?m=:d38L7`33A8nn6gk6;29?j46?3:17pl90;296?6=8r.9o?4j1:J1b1=O:lh0ei850;9l641=831vn8h50;094?6|,;i96h?4H3d7?M4bj2co:7>5;n023?6=3th>i7>52;294~"5k;0n=6F=f59K6`doc>3:17b<>7;29?xd2k3:1>7>50z&1g7<950;9~f0d=8381<7>t$3a1>`7<@;l?7E5;|`6e?6=:3:1N5n=1C>hl4ie494?=h:8=1<75rb4;94?4=83:p(?m=:d38L7`33A8nn6gk6;29?j46?3:17pl:8;296?6=8r.9o?4j1:J1b1=O:lh0ei850;9l641=831vn8950;094?6|,;i96h?4H3d7?M4bj2co:7>5;n023?6=3th>:7>52;294~"5k;0n=6F=f59K6`doc>3:17b<>7;29?xd1;3:1>7>50z&1g7<950;9~f6>?290?6=4?{%0`6?77n2B9j95G2d`8ma0=831b5>4?::m152<722em97>5;|`41?6=:3:10D?km;hf5>5<0D?km;hf5>5<0D?km;hf5>5<0D?km;hf5>5<5<5290;w)N5n=1C>hl4ie494?=h:8=1<75rb2:5>5<5290;w)N5n=1C>hl4ie494?=h:8=1<75rb2:2>5<5290;w)N5n=1C>hl4ie494?=h:8=1<75rb21;>5<5290;w)N5n=1C>hl4ie494?=h:8=1<75rb20f>5<5290;w)N5n=1C>hl4ie494?=h:8=1<75rb22f>5<4290;w)N5n=1C>hl4ie494?=n1:0;66a=1683>>{e;;:1<7<50;2x 7e52;;37E>{e;191<7<50;2x 7e52;;37E>{e;0<1<7=50;2x 7e52;;27E7<729q/>n<520:8L7`33A8nn6gk6;29?j46?3:17pl<0383>7<729q/>n<520:8L7`33A8nn6gk6;29?j46?3:17pl<0883>7<729q/>n<520:8L7`33A8nn6gk6;29?j46?3:17pl<8d83>7<729q/>n<520:8L7`33A8nn6gk6;29?j46?3:17pl<4683>7<729q/>n<520:8L7`33A8nn6gk6;29?j46?3:17pl<6083>6<729q/>n<520;8L7`33A8nn6gk6;29?l?42900c??8:188yg5fl3:1?7>50z&1g7<5901C>k:4H3ga?lb12900e4=50;9l641=831vn>:l:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn>;::181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn><<:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;;:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;<:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;k:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;l:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;m:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;n:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;6:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;7:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;8:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;9:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;::181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;=:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831v??50;0x97`a2;:01>>;:e48yv452909w0=?0;03?857=3n=7p}=e;296~;48909i63<098g2>{t;m0;6?uQ3e9>102wx?h4?:3y]7`=:=?0o:6s|3g83>7}Y;o169:4k6:p05<72;qU8=52598g2>{t<80;6?uQ409>1<2wx8?4?:3y]07=:=h0o:6s|4283>7}Y<:169o4k6:p01<72;qU89525b8g2>{t<<0;6?uQ449>1a2wx8;4?:3y]03=:=l0o:6s|4983>7}Y<1169k4k6:p0<<72;qU8452618g2>{t242wx8n4?:3y]0f=:>;0o:6s|5583>7}Y==16:>4k6:p10<72:q6>kj57:?0g`<034?>6??8;|q62?6=;r79ji47;<1`a?><5<<1><94}r74>5<4s48mh774=2af><=:=>09=:5rs4:94?5|5;lo6l523bg9e>;2038:;6s|5883>6}::on1n632;;<7p}:a;297~;5nm0h70=le;a890g=:8=0q~;m:18084al3n01>mj:e9>1g<59>1v8m50;1x97`c2l16?nk5e:?6g?46?2wx9i4?:2y>6cb=n278oh4i;<7g>7703ty>i7>53z?1ba<68278oh4>0:?6a?46?2wx9k4?:2y>6cb=9;16?nk5139>1c<59>1v;>50;1x97`c28>01>mj:068936=:8=0q~8>:18184al3;>708>:334?xu1:3:1>v3=fe823>;1:38:;6s|6283>6}::on1=k523bg95c=:>:09=:5rs7694?1|5;lm6;:4=3d`>32<5:;m6i84=22f><5<5:3=64=4=242><5<5:ko64=4}r4`>5<3s48mh78l;<1`a?0d348mi78l;<134?0d3ty<>7>52z\46>;0;3n=7p}83;296~;5no0<>6383;023>{t?=0;6?uQ759>30<59>1v:;50;1x97`22m>01>67:e48923=l?1v:850;0xZ20<5>=1><94}r54>5<4s48m:7<=3:?1b`<5:k16;:4k6:p3=<72;qU;552788g2>{t?00;6?u22gd93==:?009=:5rs8194?4|V0901n95d79~wf1=839p1?hi:81897`d20901n952058yvec2909wSmk;a07349hi76?;<0ea?ec349;<76?;<0eg?>734in6??8;|qgb?6=:r79ji4kf:?1b`756=n?16>kh5f79~w46f2909w0=?0;33e>;5no0:5<5s48mh7?>0:?045<6991v399~w45>2909w0;4890:?45rs063>5<4s48mh7?;0:?1b`<6<916?=>51528yv73;3:1>v3=fd8206=:;9:1=9=4}r37499>6c`=9=20q~?68;296~;4890:55522gd95de52z?045<6im16>kh51`f8yv46=3:1?v3<898152=::on1?594=3de>6>03ty9>n4?:3y]67e<5;?96??8;|q16a<72;qU>?j4=370>7703ty9>k4?:3y]67`<5;??6??8;|q175<72;qU>>>4=376>7703ty9?<4?:3y]667<5;?=6??8;|q177<72;qU>><4=374>7703ty9?>4?:3y]665<5;?36??8;|q171<72;qU>>:4=37:>7703ty9?84?:3y]663<5;?j6??8;|q173<72;qU>>84=37a>7703ty9?:4?:3y]661<5;?h6??8;|q17=<72;qU>>64=37g>7703ty9?l4?:3y>6cb=::k01>mj:31b?xu5;k0;6?u22gf966d<5;ln6?=n;|q10f<72;q6?nk525a897`b2;>h7p}=5383>7}:;jo1>?m4=371>a0?7>52z?0g`<5:m16>8=5d79~w7332909w0=le;01b>;5==0o:6s|24794?4|5:in6?=?;<061?b13ty99;4?:3y>7fc=::;01?;9:e48yv42?3:1>v35<5s49hi7<<3:?11=2wx>8750;0x96eb2;9?70<:9;f5?xu5=h0;6?u23bg9663<5;?j6i84}r06f?6=:r78oh4=379>60d=l?1v?;l:18185dm388;63=5b8g2>{t:75?348>h7j9;|q11`<72:q6>kj524g896eb2;?n70=?0;06a>{t:?91<7704348mi7<:e:p6<4=838p1>mj:3;0?84ak382>6s|28`94?4|5:in6?7l;<0eg?4>j2wx>lj50;0x97`c2;ko70{t;9;1<74?:3y>752=:8=01>>9:e58yv57<3:1>v3<048152=:;9<1h55rs224>5<5sW9;;63<088152=z{::26=4={<13>m;<13g?b13ty87fc=;9k01?hj:22b?857839;m63<0b8152=z{::o6=4={_13`>;48l09=:5rs22e>5<5s48mj7=>0:?04`2wx?7:p776=838p1>?i:334?85583n=7p}<2383>7}Y;;801><<:334?xu4::0;6?u23129774<5:886i84}r11e?6=:rT8>l5233`9`3=z{:8i6=4={<0eb?559278>o4=169~w64c2909wS==d:?06`<59>1v>{t;:=1<7=8;<10kk532`8965c2m<0q~=h5d79~w65a2909w0;4;o09=:5rs265>5<5sW9?:63<468152=z{:><6=4={<0eb?53>2788:4k6:p71g=838pR>:n;<17g?46?2wx?9m50;0x96672:>j70=;c;f5?xu4=:0;6?uQ341896322;;<7p}<5483>7}::ol1?8=4=276>a0m7>52z\01d=:;5<5s48mo7=:a:?01g<59>1v>;j:181[52m2789k4k6:p70`=839p1>mj:27g?857839>h63<5g8152=z{:<;6=4={_154>;4>809=:5rs241>5<5s48mj7=:d:?0242wx?:850;0xZ611349<57<>7:p72?=838p1>mj:255?85013n=7p}<8183>7}Y;1:01>6>:334?xu4080;6?u23bg973`<5:2:6i84}r1;6?6=:rT84?52391964152z?0g`<4i=16?5=5d79~w6>32909wS=74:?0<3<59>1v>69:18185dm393863<878g2>{t;1=1<77p}<8883>7}:;1k1><94=2:;><552z?1bf<40k16?5o5d79~w6>d2909wS=7c:?0<`<59>1v>6j:18185dm393o63<8d8g2>{t;1l1<7938:;6s|38394?4|5:in6>6i;<1:5?b13ty85>4?:3y]7<5<5:3?6i84}r1:0?6=;r78oh4<939>756=;0801>7;:334?xu41<0;6?uQ387896?12;;<7p}<9683>7}::ol1?4<4=2;5>a052z\0ef=:;hn1><94}r1ba?6=:r79jk47db=l?1v>m>:181[5d9278o?4=169~w6e52909w0;4k;0o:6s|3b194?4|V:i870=l4;f5?xu4k=0;6?u22gd97f6<5:i?6??8;|q0gf<72;qU?nm4=2ag>a054z?1ba<4kk16?nk53b`896672:ii70=ld;023>{zfjnj6=4={I0ff>{ikmh1<7hl4}oaf5?6=9rB9io5rnbg1>5<6sA8nn6sacd194?7|@;oi7p`le583>4}O:lh0qcmj5;295~N5mk1vbnk9:182M4bj2weoh950;3xL7ce3tdhi54?:0yK6`d51zJ1ag=zfjoj6=4>{I0ff>{iklh1<7?tH3ga?xhdmj0;6>uG2d`8ykebl3:1?vF=ec9~jfcb2908wEhl4}oae5?6=;rB9io5rnbd1>5<4sA8nn6sacg194?5|@;oi7p`lf583>1}O:lh0qcmi5;291~N5mk1vbnh9:181M4bj2weok950;0xL7ce3tdhj54?:3yK6`d52zJ1ag=zfjlj6=4<{I0ff>{ikoh1<7=tH3ga?xhdnj0;68uG2d`8ykeal3:1=vF=ec9~jf`b2909wEhl4}of35?6=9rB9io5rne21>5<6sA8nn6sad1194?7|@;oi7p`k0583>7}O:lh0qcj?5;295~N5mk1vbi>9:180M4bj2weh=950;3xL7ce3tdo<54?:7yK6`d53zJ1ag=zfm:j6=4<{I0ff>{il9h1<7=tH3ga?xhc8j0;6>uG2d`8ykb7l3:1?vF=ec9~ja6b2908wEhl4}of25?6=;rB9io5rne31>5<4sA8nn6sad0194?5|@;oi7p`k1583>6}O:lh0qcj>5;297~N5mk1vbi?9:182M4bj2weh<950;3xL7ce3tdo=54?:0yK6`d51zJ1ag=zfm;j6=4>{I0ff>{il8h1<7?tH3ga?xhc9j0;6hl4}of15?6=9rB9io5rne01>5<4sA8nn6sad3194?4|@;oi7p`k2583>7}O:lh0qcj<1;290~N5mk1vbi==:180M4bj2weh>=50;1xL7ce3tdo?94?:5yK6`d51zJ1ag=zfm9<6=4>{I0ff>{il:21<7?tH3ga?xhc;00;6hl4}of0a?6=9rB9io5rne1e>5<5sA8nn6sad5294?7|@;oi7p`k4083>4}O:lh0qcj;2;295~N5mk1vbi:<:181M4bj2weh9:50;3xL7ce3tdo884?:3yK6`d51zJ1ag=zfm><6=4={I0ff>{il=21<7?tH3ga?xhc<00;6?uG2d`8ykb3i3:1=vF=ec9~ja2e2909wEhl4}of7a?6=9rB9io5rne6e>5<5sA8nn6sad4294?7|@;oi7p`k5083>7}O:lh0qcj:2;295~N5mk1vbi;<:181M4bj2weh8:50;3xL7ce3tdo984?:3yK6`d:7>51zJ1ag=zfm?<6=4<{I0ff>{il<21<7?tH3ga?xhc=00;6?uG2d`8ykb2i3:1=vF=ec9~ja3e2909wEhl4}of6a?6=9rB9io5rne7e>5<5sA8nn6sad7294?7|@;oi7p`k6083>7}O:lh0qcj92;295~N5mk1vbi8<:182M4bj2weh;:50;0xL7ce3tdo:84?:0yK6`d52zJ1ag=zfm<<6=4>{I0ff>{il?21<700;6hl4}of5a?6=:rB9io5rne4e>5<6sA8nn6sad6294?4|@;oi7p`k7083>4}O:lh0qcj82;296~N5mk1vbi9<:182M4bj2weh::50;6xL7ce3tdm87>51zJ1ag=zfo?1<7?tH3ga?xha>3:1=vF=ec9~jc1=83;pD?km;|le51zJ1ag=zf8:;6=4>{I0ff>{i9981<7?tH3ga?xh68:0;6hl4}o33=?6=9rB9io5rn02b>5<6sA8nn6sa11`94?7|@;oi7p`>0b83>4}O:lh0qc??d;295~N5mk1vb<>j:182M4bj2we==h50;3xL7ce3td:==4?:0yK6`d51zJ1ag=zf;926=4>{I0ff>{i::k1<7?tH3ga?xh5;k0;6hl4}o07g?6=9rB9io5rn36g>5<6sA8nn6sa25g94?7|@;oi7p`=4g83>4}O:lh0qc<:0;295~N5mk1vb?;>:182M4bj2we>8<50;3xL7ce3td99>4?:0yK6`d97>51zJ1ag=zf;?=6=4>{I0ff>{i:<=1<7?tH3ga?xh5=00;6hl4}o06a?6=9rB9io5rn37e>5<6sA8nn6sa27294?7|@;oi7p`=6083>4}O:lh0qc<92;295~N5mk1vb?8<:182M4bj2we>;:50;3xL7ce3td9:84?:0yK6`d51zJ1ag=zf;<<6=4>{I0ff>{i:?21<7?tH3ga?xh5>00;6hl4}o05a?6=9rB9io5rn34e>5<6sA8nn6sa26294?7|@;oi7p`=7083>4}O:lh0qc<82;295~N5mk1vb?9<:182M4bj2we>:650;3xL7ce3td9;o4?:0yK6`d51zJ1ag=zf;=o6=4>{I0ff>{i:>o1<7?tH3ga?xh5090;63:1=vF=ec9~j7>0290:wE=83;pD?km;|l1<<<728qC>hl4}o0f1?6=9rB9io5rn3g5>5<6sA8nn6sa2d594?7|@;oi7p`=e883>4}O:lh0qc:182M4bj2we>k<50;3xL7ce3td9j>4?:0yK6`d51zJ1ag=zf;l>6=4>{I0ff>{i:o21<7?tH3ga?xh5n00;6hl4}o0eb?6=9rB9io5rn223>5<6sA8nn6sa50494?7|@;oi7p`:1883>4}O:lh0qc;>a;295~N5mk1vb8?l:182M4bj2we9?>50;3xL7ce3td>>?4?:0yK6`d51zJ1ag=zf<8?6=4>{I0ff>{i=;?1<7?tH3ga?xh2:?0;6hl4}o71f?6=9rB9io5rn40`>5<6sA8nn6sa53f94?7|@;oi7p`:2d83>4}O:lh0qc;=f;295~N5mk1vb8=?:182M4bj2we9>?50;3xL7ce3td>??4?:0yK6`d51zJ1ag=zf<9>6=4>{I0ff>{i=:<1<7?tH3ga?xh2;>0;6hl4}o70b?6=9rB9io5rn463>5<6sA8nn6sa55394?7|@;oi7p`:4383>4}O:lh0qc;;3;295~N5mk1vb8:;:182M4bj2we99;50;3xL7ce3td>8;4?:0yK6`d51zJ1ag=zf<>36=4>{I0ff>{i==31<7?tH3ga?xh2hl4}o77b?6=9rB9io5rn473>5<6sA8nn6sa54394?7|@;oi7p`:5383>4}O:lh0qc;:3;295~N5mk1vb8;;:182M4bj2we98;50;3xL7ce3td>9;4?:0yK6`d47>51zJ1ag=zf{I0ff>{i=hl4}o754?6=9rB9io5rn442>5<6sA8nn6sa57094?7|@;oi7p`:6283>4}O:lh0qc;94;295~N5mk1vb88::182M4bj2we9;850;3xL7ce3td>::4?:0yK6`d51zJ1ag=zf<{I0ff>{i=?h1<7?tH3ga?xh2>j0;6hl4}o745?6=9rB9io5rn451>5<6sA8nn6sa56194?7|@;oi7p`:7583>4}O:lh0qc;85;295~N5mk1vb899:182M4bj2we9:950;3xL7ce3td>;54?:0yK6`d51zJ1ag=zf<=j6=4>{I0ff>{i=>h1<7?tH3ga?xh2?m0;6hl4}o7;6?6=9rB9io5rn4:0>5<6sA8nn6sa59694?7|@;oi7p`:8483>4}O:lh0qc;76;295~N5mk1vb868:182M4bj2we95650;3xL7ce3td>444?:0yK6`d51zJ1ag=zf<2i6=4>{I0ff>{i=1i1<7?tH3ga?xh20m0;6a290:wEhl4}o7:6?6=9rB9io5rn4;0>5<6sA8nn6sa58694?7|@;oi7p`:9683>4}O:lh0qc;68;295~N5mk1vb876:182M4bj2we94o50;3xL7ce3td>5n4?:0yK6`d51zJ1ag=zf<3n6=4>{I0ff>{i=0l1<7?tH3ga?xh2i90;6>;3:1=vF=ec9~j=?3290:wEhl4}o::3?6=9rB9io5rn9;;>5<6sA8nn6sa88;94?7|@;oi7p`79`83>4}O:lh0qc66b;295~N5mk1vb57l:182M4bj2we4l>50;3xL7ce3td3m<4?:0yK6`d7>51zJ1ag=zf1k86=4>{I0ff>{i0h>1<7?tH3ga?xh?i<0;6f>3:1=vF=ec9~j=g0290:wEhl4}o:bf?6=9rB9io5rn9c`>5<6sA8nn6sa8`f94?7|@;oi7p`7ad83>4}O:lh0qc6nf;295~N5mk1vb5l?:182M4bj2we4o?50;0xL7ce3td3n?4?:0yK6`d51zJ1ag=zf1h?6=4={I0ff>{i0k?1<7?tH3ga?xh?j?0;6e?3:1>vF=ec9~j=d?290:wEhl4}o:af?6=:rB9io5rn9``>5<6sA8nn6sa8cf94?7|@;oi7p`7bd83>4}O:lh0qc6mf;295~N5mk1vb5m?:182M4bj2we4n?50;3xL7ce3td3o?4?:0yK6`d51zJ1ag=zf1i?6=4>{I0ff>{i0j?1<7?tH3ga?xh?k?0;6d?3:1>vF=ec9~j=e?2909wEhl4}o:`g?6=9rB9io5rn9ag>5<6sA8nn6sa8bg94?7|@;oi7p`7cg83>4}O:lh0qc6k0;295~N5mk1vb5j>:182M4bj2we4i<50;3xL7ce3td3h>4?:0yK6`d51zJ1ag=zf1n>6=4>{I0ff>{i0m<1<7?tH3ga?xh?l>0;6c03:1=vF=ec9~j=b>290:wEhl4}o:gg?6=9rB9io5rn9ff>5<6sA8nn6sa8d294?7|@;oi7p`7e083>4}O:lh0qc6j2;295~N5mk1vb5k<:182M4bj2we4h:50;3xL7ce3td3i84?:0yK6`d51zJ1ag=zf1o<6=4>{I0ff>{i0lk1<7?tH3ga?xh?mk0;6bk3:1=vF=ec9~j=cc290:wEhl4}o:e4?6=9rB9io5rn9d2>5<6sA8nn6sa8g094?7|@;oi7p`7f283>4}O:lh0qc6i4;295~N5mk1vb5h::182M4bj2we4k850;3xL7ce3td3j:4?:0yK6`d51zJ1ag=zf1l26=4>{I0ff>{i0ok1<7?tH3ga?xh?nk0;6ak3:1=vF=ec9~j=`c290:wEhl4}o;34?6=9rB9io5rn822>5<6sA8nn6sa91094?7|@;oi7p`60283>4}O:lh0qc7?4;295~N5mk1vb4>::182M4bj2we5=850;3xL7ce3td2<:4?:0yK6`d51zJ1ag=zf0:26=4>{I0ff>{i19k1<7?tH3ga?xh>8k0;6hl4}o;24?6=9rB9io5rn832>5<6sA8nn6sa90094?7|@;oi7p`61283>4}O:lh0qc7>4;295~N5mk1vb4?::182M4bj2we5<650;3xL7ce3td2=44?:0yK6`d51zJ1ag=zf0;i6=4>{I0ff>{i18n1<7?tH3ga?xh>9l0;6hl4}o;17?6=9rB9io5rn807>5<6sA8nn6sa93794?7|@;oi7p`62783>4}O:lh0qc7=7;295~N5mk1vb4h4?:0yK6`d51zJ1ag=zf09:6=4>{I0ff>{i1:81<7?tH3ga?xh>;:0;6hl4}o;05<6sA8nn6sa92c94?7|@;oi7p`63e83>4}O:lh0qc750;3xL7ce3td28<4?:0yK6`d7>51zJ1ag=zf0>86=4>{I0ff>{i1=>1<7?tH3ga?xh><<0;63:1=vF=ec9~j<2?290:wEhl4}o;7f?6=9rB9io5rn86`>5<6sA8nn6sa95f94?7|@;oi7p`64d83>4}O:lh0qc7;f;295~N5mk1vb4;?:182M4bj2we58?50;3xL7ce3td29?4?:0yK6`d?7>51zJ1ag=zf0??6=4>{I0ff>{i1?3:1=vF=ec9~jg??290:wEhl4}o`:f?6=9rB9io5rnc;f>5<6sA8nn6sab8d94?7|@;oi7p`ma183>4}O:lh0qcln1;295~N5mk1vboo=:182M4bj2wenl=50;3xL7ce3tdim94?:0yK6`d51zJ1ag=zfkk=6=4>{I0ff>{ijh=1<7?tH3ga?xhei10;6hl4}o`b`?6=9rB9io5rnccf>5<6sA8nn6sab`d94?7|@;oi7p`mb183>4}O:lh0qclm1;295~N5mk1vbol=:182M4bj2weno=50;3xL7ce3tdin94?:3yK6`d52zJ1ag=zfkh=6=4={I0ff>{ijk=1<7vF=ec9~jgdf2909wEhl4}o`a`?6=:rB9io5rnc`f>5<5sA8nn6sabcd94?4|@;oi7p`mc183>7}O:lh0qcll1;296~N5mk1vbom=:181M4bj2wenn=50;0xL7ce3tdio94?:3yK6`d52zJ1ag=zfki=6=4={I0ff>{ijj=1<7vF=ec9~jgef2909wEhl4}o```?6=:rB9io5rncaf>5<5sA8nn6sabbd94?4|@;oi7p`md183>7}O:lh0qclk1;296~N5mk1vboj=:181M4bj2weni=50;0xL7ce3tdih94?:3yK6`d52zJ1ag=zfkn=6=4={I0ff>{ijm=1<7hl4}o`ga?6=9rB9io5rncfe>5<6sA8nn6sabd294?7|@;oi7p`me083>4}O:lh0qclj2;295~N5mk1vbok<:182M4bj2wenh:50;3xL7ce3tdii84?:0yK6`d52zJ1ag=zfko<6=4>{I0ff>{ijl21<7?tH3ga?xhem00;6vF=ec9~jgce290:wEhl4}o`fa?6=9rB9io5rncge>5<6sA8nn6sabg394?7|@;oi7p`mf383>4}O:lh0qcli3;296~N5mk1vboh;:181M4bj2wenk;50;0xL7ce3tdij;4?:3yK6`d52zJ1ag=zfkl36=4>{I0ff>{ijok1<7?tH3ga?xhenk0;6hl4}oa34?6=9rB9io5rnb21>5<6sA8nn6sr}|BCG~`a=38=:5;765CDG}7uIJ[wpNO \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngd b/cpld/XC95144XL/WarpSE.ngd index 6295aa9..d754e71 100644 --- a/cpld/XC95144XL/WarpSE.ngd +++ b/cpld/XC95144XL/WarpSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5fg5=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857<4FNQWW>D:593;5=95=3;KMTPR=IVLXN1<<:0G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?k;@NF4Zkrp9:;>d:COA4YXg{:;<GIL;>0MCJ<4:CM@1286OAD768EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P735MUG33?GSNDMU_M_IJT@P@L==E]ZUBBKA>;B68G40J12I::@QFBTD5?F47ELDi7N2I99@KAb:A11HCIWFH^J>5L8L:8G=KXAK_M>6MJ3:AOO6=DD[90OCZ6;BMNILRSMM=0O_KNTDF1?FU43J^G:6Mce`p2b>Ekmhx:SRoad12344=Cm2NYOR]ZCBGPWCSK?2N_MNEJNg9GPDELMGUTc>?0133?ARFKBOESRa}0123546?5028@QGDCLDTSb|?012555=C\HI@ICQPos2345103M^JOF|if:FWEFMunVddx=>?1028@QGDC{lTbbz?01323>Bf|h6;2:5Kauc?5;0h5KotvLAZiu89:;?h5KotvLAZiu89:;8h5KotvLAZiu89:;9h5KotvLAZiu89:;:h5KotvLAZiu89:;;h5KotvLAZiu89:;4<5J5:GEeij13LLj`a?9;DDbhi423LY7<3;4ER>2:0=B[58596K\<2<6?@U;<7>0I^Q?d:GP[5YXign;<=>j;DQ\4ZYffm:;<=?j;DQ\4ZYffm:;<=CTW8UTmcj?0122a>CTW8UTmcj?01210>CTW;n0I^Q=_^cm`5678l1N_RCTW=UTmcj?012f?@UX?013f?@UX?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`>1`9FWZKflmUTmij?012\[dhc89::=<74ER]NeabXWhno<=>?_^cm`567:8k0I^QBaef\[dbc89:;SRoad12364b?01>2:`=B[VUjhi>?01>1:`=B[VUjhi>?01>0:`=B[VUjhi>?01>7:d=B[Vkeh=>?0c9FWZgil9:;<?02`8AVYffm:;<=:m;DQ\ekb789:>n6K\_`lg4567>k1N_Road12342d?01:a?@UXign;<=>65:GWEFMc3L^JOFQnne2345cCuW8>0JNBD9:D@HNYNJ\L97KJ;;GF@A1=ALJZ97KH7;GPLIZSDN:1M_O?4G29DJA785FABQ00>OE]O80EC64IODFVWCC12CEEY][AUG4?LHN\Z^H46GAIUQWG4c2:KMMQUXNZGTJKj>-Hl1a>OIA]YTJ^CPFGf154=NF@^XSK]B_GDg6(Oi9;1BBDZ\_GQN[C@c:$Ce=<<4IOKWWZ@TEVLMh?#Fn331?LHN\ZUM_@QIFe0.Mk56:2CEEY]PFRO\BCb5%@d?=?5FNHVP[CUJWOLo> Ga5d9JJLRTWOYFSB{{3g9JJLRTWOYFSB{{30d8MKOS[VLXARAzt2024>OIA]YTo`~Pep23465h5FO@AW[hs89:;?h5FO@AW[hs89:;8h5FO@AW[hs89:;9h5FO@AW[hs89:;:h5FO@AW[hs89:;;h5FO@AW[hs89:;4h5FO@AW[hs89:;5;5FO@AWv1=NGKG?7DAMS`9JKGUXZlkouo5FOCQ\V`gcq8h0EBL\_Sgb`|4e3@EI_R\jae{0f>OHJZUYiljv4c9JKGUXZlkou8l4IN@P[Wcflp2CDNXHJ6:KLGJKW<2CDO^;4INAP53=NGJY:<;5FOBQ253=NGJY:>;5FOBQ270=NGJY996G@CR16?LID[=?0EBM\549JKFU1=2CDO^9:;HM@W=3OHD9Ufyu>?01f8MJJ7Wds<=>?1e9JKI6Xe|r;<=>=d:KLH5Yj}q:;<==k;HMO4Zkrp9:;<9j4INN3[hs89:;995FOM3`?LIK9Vddx=>?1e9JKI7Xff~;<=?>5:KLHAU13@E[[N]8;HMSSFU6?2CD\ZM\269JKUQD[:=0EB^XCR66?LIUMXn0EB\JQ^ov|5678l1BC_K^_lw{45679o1BC_K^_lw{456799o0EB\JQ^ov|5678;o0EB\JQ^ov|5678:o0EB\JQ^ov|5678=o0EB\JQ^ov|5678o0EB\JQ^ov|56781o0EB\JQ^ov|56780<0EB\JQs;8MJTBY{UEj;HMQS5Yj}q:;<=?j;HMQS5Yj}q:;<=k4INPT4Zhh|9:;=9k4INPT4Zhh|9:;=8k4INPT4Zhh|9:;=;k4INPT4Zhh|9:;=:;4INPT5a=NG[]:Sl`k0123a>OHZ^;Tmcj?0122a>OHZ^;Tmcj?0121a>OHZ^;Tmcj?0120a>OHZ^;Tmcj?0127a>OHZ^;Tmcj?0126a>OHZ^;Tmcj?0125a>OHZ^;Tmcj?0124g>OH[VLXARHId0d8MJUXNZGTJKj>-Hl24>OH[VLXARHId0/Jj4773@EXSK]B_GDg5(Oi:8:0EB]PFRO\BCb6%@d8==5FOR]EWHYANm;&Ec:l;HMP[CUJWOLo>k5FOR]EWHYANm8&Ec??;HMP[CUJWOLo> Ga1038MJUXNZGTJKj=-Hl2447<>4INQ\BVKXNOn9!D`=119JKVYA[DUMJi<"Io124>OH[VLXARHId3/Jj1773@EXSK]B_GDg6(Oi=8:0EB]PFRO\BCb5%@d===5FOR]EWHYANm8&Ec9>0:KLWZ@TEVLMh?#Fn933?LITWOYFSKHk2,Km=f=NGZUM_@QIFe1e?LITWOYFSKHk3,Km55=NGZUM_@QIFe1.Mk7682CD_RH\M^DE`6+Nf;;;7DA\_GQN[C@c;$Ce?<>4INQ\BVKXNOn8!D`;119JKVYA[DUMJi="Io724>OH[VLXARHId2/Jj3773@EXSK]B_GDg7(Oi?8:0EB]PFRO\BCb4%@d3==5FOR]EWHYANm9&Ec79;HMW]UC33@E^Pmtz34564l2CDY=Qbuy23452c3@E^_omw45669<1BCXJ\4:KLS@cJSadoy0<<19:NWmhcu48427AZfmdp?6;?89OPlkbz5>556B[ilgq808>3E^bah|36?;8HQojm{6<245CThofv9>912F_e`k}<8<;?IRnelx_O<=4LUknawRDWjg{Sh?01220>JSadoyXNQlmq]fu56788;?7AZfmdpWGZejxVoz<=>?2068HQojm{^HSnc_ds345649=1GXdcjrUA\ghvXmx:;<=:>4:NWmhcu\JUha}Qjq12340>9:NWmhcuW8837AZfmdp\6==K\`gn~R=7;MVji`tX<11GXdcjr^7;?IRnelxT:55CThofvZ1?3E^bah|P899OPlkbzV3986B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2>0?07?IRnelxTAljk_^cg`5678VGscQ]erwop9766;>0@Ygbes]NeabXWhno<=>?_LzlvZTb{|f0<<1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?5;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz33?00?IRnelxTAljk_^cg`5678VGscQ]erwop929::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~793<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=4=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;?7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx161229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?=;413E^bah|PM`fg[Zgcl9:;d:NWmhcuWDkohRQnde2345YXign;<=>>e:NWmhcuWDkohRQnde2345YXign;<=>>1e9OPlkbzVGjhiQPaef3456XWhdo<=>>1d9OPlkbzVGjhiQPaef3456XWhdo<=>>10f8HQojm{UFmijP_`fg4567WVkeh=>?20g8HQojm{UFmijP_`fg4567WVkeh=>?203g?IRnelxTAljk_^cg`5678VUjbi>?023f?IRnelxTAljk_^cg`5678VUjbi>?0232`>JSadoyS@okd^]b`a6789UTmcj?0162a>JSadoyS@okd^]b`a6789UTmcj?01625a=K\`gn~RCnde]\eab789:TSl`k01265`=K\`gn~RCnde]\eab789:TSl`k012654b6m2F_e`k}_Lcg`ZYflm:;<=QPaof345>69m1GXdcjr^Ob`aYXimn;<=>P_`lg456>9l1GXdcjr^Ob`aYXimn;<=>P_`lg456>98n0@Ygbes]NeabXWhno<=>?_^cm`56688o0@Ygbes]NeabXWhno<=>?_^cm`56688;97AZfmdp\[dbc89:;0=0>3:NWmhcuWVkoh=>?0=33:45008HQojm{UTmij?012?5;753E^bah|P_`fg45674;4:>6B[ilgq[Zgcl9:;<1=1139OPlkbzVUjhi>?01>7:442:NWmhcuWVkoh=>?0=5=57=K\`gn~RQnde2345:?6880@Ygbes]\eab789:753;4Lncg5`=Kghn:SRoad1234f=JiceyZh||inl`?Hoig{\n~~g`n39M46=I88=0BHZXOSI5?KIDD@Z:7B:4OCWE1>IE]OO>7BJLSsf8KAETzVkeh=>?0d9L@FUuWhdo<=>?1d9L@FUuWhdo<=>?2d9L@FUuWhdo<=>?3d9L@FUuWhdo<=>?4d9L@FUuWhdo<=>?5d9L@FUuWhdo<=>?629LJ@4IR\81[o6^!21305574WE90\<><;Q327>V6::1[=>=4P060?U72;2Z::>5_1618T4>43Y;2>6^=3:R146=W:;90\?=<;Q077>V5=:1[>:=4P3:0?U4>:2Z8?6^<029S7759=;Q60?U27;2Z?=>5_4318T1543Y>=?6^:029S175V1;2Z=<>5_6018T3543YV0::1[;>=4P660?U11;2Z<;>5_7918T2?53Y287]6?3:R;56=W0;90\5;<;Q:57>V??:1[44<4P818T<643Y3:?6^6229S=6587]7:3:R:26=W1>90\LJ;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAH=4PSG2?T31:0=UI59596\N<5<6?WG;=7?0^L29>49QE919=2XJ050:;SC?=;57_OBCR48VDKD[8<0^LCLS348VDKD[:?0^LCJNe9QEHCIWds<=>?e:PBI@HXe|r;<=>>f:PBI@HXe|r;<=>>0g9QEHCIWds<=>?10d8VDKBFVg~t=>?000e?WGJMGUfyu>?0130b>TFELDTaxv?01220c=UIDOES`{w012350`k5]ALGM[hs89:;>>h4R@OFJZkrp9:;=6d9QEHCIWds<=>?3d9QEHCIWds<=>?4d9QEHCIWds<=>?5d9QEHCIWds<=>?6d9QEHCIWds<=>?7d9QEHCIWds<=>?8d9QEHCIWds<=>?999QEHTbims:<6\NMSgb`|Yffm:;<=?>;SCNV`gcqVkeh=>?0033?WGJZlkouRczx123447?00326>TFE[ojhtQbuy234575981YM@\jae{\ip~789:9=<5]ALPfeaXe|r;<=><109QEHTbimsTaxv?012754=UIDXnmiwPmtz34562981YM@\jae{\ip~789:==<5]ALPfeaXe|r;<=>8109QEHTbimsTaxv?012;54=UIDXnmiwPmtz3456>12XJAR\jae{b?WGJW[ojht?n;SCN[Wcflp8>7_O\EMf8VDUBDVg~t=>?0d9QEVCKWds<=>?1g9QEVCKWds<=>?11d8VDUBDVg~t=>?003e?WGTMEUfyu>?0131b>TF[LFTaxv?01227c=UIZOGS`{w012351`?h4R@QFHZkrp9:;=5d9QEVCKWds<=>?3d9QEVCKWds<=>?4d9QEVCKWds<=>?5d9QEVCKWds<=>?6d9QEVCKWds<=>?7d9QEVCKWds<=>?8d9QEVCKWds<=>?989QEZ6XGK_Mo6\N_1]nq}6789n0^LQ?_lw{45679m1YMR>Pmtz34565l2XJS=Qbuy23455c3[KTTFW8Ufyu>?016:?WGX:VEIYKm4R@]1[hs89:;h6\N_3]nq}6789;o7_OP2^ov|5678;n0^LQ=_lw{4567;m1YMRQ@BTD`?WGX;Vg~t=>?0e9QEZ5Xe|r;<=>>d:PB[6Yj}q:;<=j4R@]0[hs89:;845]A^6\KGSAk2XJS9Qbuy2345bTaxv?0121`>TFW=Ufyu>?011g?WGX?05;8VDY2WFH^Jn5]A^7\ip~789:o7_OP5^ov|56788n0^LQ:_lw{4567:m1YMR;Pmtz34564l2XJS8Qbuy23452>3[KT:RAMUGa8VDY1Wds<=>?d:PB[3Yj}q:;<=?k;SC\2Zkrp9:;TFW>Ufyu>?010g?WGX?Vg~t=>?02f8VDY0Wds<=>?489QEZ>XGK_Mo6\N_9]nq}6789n0^LQ7_lw{45679m1YMR6Pmtz34565l2XJS5Qbuy23455c3[KT4Rczx12341bTFW0UDNXHl;SC\=Zkrp9:;THEJY::6\@MBQ12>THEJY8:6\@MBQ72>THEJY>:6\@MBQ52>THEJY0:PP[CUJWOLo= Ga1133?WUXNZGTJKj>-Hl2546 Gaf:PP[CUJWOLo> Ga1028VVYA[DUMJi<"Io3355=U[VLXARHId3/Jj47682XXSK]B_GDg6(Oi9;;;7_]PFRO\BCb5%@d:?<>4RR]EWHYANm8&Ec?;119QWZ@TEVLMh?#Fn0724>TTWOYFSKHk2,Km53773[YTJ^CPFGf1)Lh6?8:0^^QISL]EBa4*Ag;3==5]S^DPIZ@Al;'Bb<7i;SQ\BVKXNOn9!D`=f:PP[CUJWOLo> Ga3g9QWZ@TEVLMh?#Fn5d8VVYA[DUMJi<"Io7e?WUXNZGTJKj=-Hl5b>TTWOYFSKHk2,Km3c=U[VLXARHId3/Jj=`TTWOYFSKHk3,Kmb>TTWOYFSKHk3,Km5c=U[VLXARHId2/Jj7`6k2XXSK]B_Nww<70}_^mq4567991Yiljv0s]\kw6789;:<6\jae{3vZYhz9:;?119Qadb~9{UTc>?01324>Tbims:~RQ`r123470?3028V``CggoTSb|?0127a>TbnOxda_]?Ndzwb>TbnOxda_]?Ndzw5463028V``AzfgY_=@jxu37b>TbnOxda_]?Ndzw6c=UmoLyc`\\0Og{p6`o1YikH}olPP4Kc|>l0^hhIrnoQW5Hbp}2m7_kiFsmnVV6Imq~2h6\jfGpliWU7X{on7_kiFsmnVV6Wzl;n7_kiFsmnVV6Wzl8n7_kiFsmnVV6Wzl9n7_kiFsmnVV6Wzl>n7_kiFsmnVV6Wzl?n7_kiFsmnVV6Wzl?0g9QacTbyVkeh=>?00d8V``UmxUjbi>?010f?WcaZl{Tbbz?013e?WcaZl{Tbbz?0132`>Tbn[ozSb|?012f?WcaZl{Tc>?013f?WcaZl{Tc>?010f?WcaZl{Tc>?011f?WcaZl{Tc>?0165?Wca]{m37_kiUseJj`=Umo_ykRoad1234c=Umo_ykRoad12344`^KAQC1<[^686<0:;RU?7;g<[^682RGMUG68W`hsk2YnbyQPos2345b<[ldSRa}01235`=Tmg~TSb|?01224a=Tmg~TSb|?0121`>Ubf}UTc>?011g?Vci|VUd~=>?05f8W`hsWVey<=>?5e9PakrXWfx;<=>9d:QfjqYXg{:;<=9k;RgmpZYhz9:;<5j4Sdlw[Ziu89:;5l5\nePBIFUPZ81_?6Z]I99WVLUSI]O?7Y\ZEc9WWZ@TEVLMhh5[S^DPIZ@Al;'Bbk5[S^DPIZ@Al;'Bb0g9WWZ@TEVLMh?#Fn3d8PVYA[DUMJi<"Io1e?QUXNZGTJKj=-Hl7b>RTWOYFSKHk2,Km1c=S[VLXARHId3/Jj3`<\ZUM_@QIFe0.Mk1a3]YTJ^CPFGf1)Lh?n2^XSK]B_GDg6(Oi1k1__RH\M^Mvp5e<\ZUM_@Q@uu22g>RTWOYFSB{{0368P\VB02^bah|30?:8Plkbz5;546Zfmdp?6;><\`gn~1=17:Vji`tSK880XdcjrUA\ghvXmx:;<=?<;UknawRDWjg{Sh?012256=SadoyXNQlmq]fu5678;;87YgbesV@[fkwWl{;<=><129Wmhcu\JUha}Qjq12341743]cfiZL_bos[`w789:>;6Zfmdp\446<\`gn~R>P_`lg4567981_e`k}_1]\ekb789::=<5[ilgq[5YXign;<=>=109WmhcuW9UTmcj?01203>RnelxT=<>4ThofvZ7XWhdo<=>?109WmhcuW8UTmcj?012254=SadoyS=239WmhcuWDkohRQnde2345YJpfxT^h}zlu>2:74<\`gn~RCnde]\eab789:TAua}_Sgpqir;:7897Ygbes]NeabXWhno<=>?_LzlvZTb{|f0>0>c:Vji`tXEhnoSRokd1234ZYffm:;<=?k;UknawYJimnTSljk0123[Zgil9:;<>038PlkbzVUjhi>?01>1:47<\`gn~RQnde2345:46m1_e`k}_`lg4567m2^bah|Paof34566>2_EY^KKa:WPAWYFD@KXo6[\ES]UMVOEDL90ZNM6;WKFSZR^XL90Z]Ol;WRB[Zgil9:;?011`?SVFWVey<=>?4b9UTDYXg{:;<=;;;WRBvf=QXHxTbbz?013g?SVFzVddx=>?1048Sdtw[L;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@90TB\;;YMQ63=_[]FBN:5WSU]DJA10eblbc:klfhYig}:;<=j4in`n[kis89:;=95focq:?kGCg|~GI=k4n@FlqqJB8VEIYK??;oCGkprKM9Ud~=>?0038jDBh}}FNhFLf@H?POCWE7>hF[>1eM^QISCa8jDUXNZHTEO[I7:lBWZOHJm1eM^QFOC]LFP@Bi2dJ_RG@Bnww2>hF[fi6`NSnww[kis89::j6`NSnww[kis89::=k5aARmvpZhh|9:;=?h4n@QlqqYig}:;<<=i;oCPkprXff~;<=?;7:lBkprHM11eMb{{OD3`?kGh}}ENSBLZF49mF@TU12dII_\PFR@b?kDBZ[UM_O?n;o@FVWYA[K8n7cLJRS]EWGYHJ\L27cLJRS]JKGchEZVCDNRczx123446??;o@Q[LIEWds<=>?4028jGTXAFHTaxv?012655=iJ[UBCOQbuy23450682dI^RG@B^ov|5678>>0bNO\d:l@EVYDdbUBB[?8;oABWZH712dHM^Q@BTD`?kEF[Vddx=>?1e9mGDUXff~;<=?>6:lGPDELi2dOXLMD_GQAb>hC\HI@SK]M_N@VBd=iL]KHGRG@Bg9m@QGDCVCDNRGMUGg8jARFKBUTc>?01d8jARFKBUTc>?013e?kBSIJATSb|?01212>hCagFNn6`KioNF[JDRNm1eHd`CE^mq4567m2dOecBJ_np34566>2dOecAJ7:lGmkIB9k1eHd`@E^MAQCchCg|~DI<64nEmvpJC502dOcxz@E2a8jAir|FOTCO[I7:lJKGCUZ11eEBJ[ABI6?kOWD;k0bD^C2^KAQC0:4nMFP<>hKLZUM_Oj4nMFP[CUEW@H^J55aLEQ\MJDb3gFO_RG@B^MAQCCe3gFO_RG@Bnwwg>hKLZUjbi>?00f8jIBTWhdo<=>>1b9mHAUXff~;<=?k;oNGWZhh|9:;=?006`?kJC[Vey<=>>5b9mHAUXg{:;<<8l;oNGWZiu89::;95aLVG6?kJPM8i0bAYJ_`lg4567l2dG[HQnne23457c3gF\IRoad12347bhHM=1eCH?;;oMF6==iGLUDNXH7;oMuawjfq8:0bBxjrmcz[kis89::=<5aOwgqhdXff~;<=?>109mKscudhsTbbz?013154=iGoy`lwPnnv34574981eC{k}l`{\jjr789;?;6`]ALNTAf=iZHGG[HQ@BTD4?kTFE\]No6`]ALWTAZIE]O>0b_O\5:lQEV723gXJ_?;4nSCP70=iZHY?96`]AR7:?kTF[VEIYK:4nSGPf>hUMZUBCO[IEb9mV@UXff~;<=?k;oPFWZhh|9:;=<94nSGPkpr13gXDAN]8;oPLIFU6j2dYC@M\_N@VB3=iZFG\I:5aRNOTA4dhRLZUecy>?0037?kSPM<1eYZK>c:lVS@Yffm:;<=j4nTUF[dhc89:;=i5aUVG\ekb789:9h6`ZWD]bja67899o7c[XE^cm`5678=>0b[CN8:lUIDYNGKo0b[CN_HMA[JDRNLh0b[CN_HMAkprc3g\FMRQnne2345chQEHUTc>?013g?kPJIVUd~=>?03f8jSKFWVey<=>?3e9mRHGXWfx;<=>;d:lUIDYXg{:;<=;k;oTNEZYhz9:;<;j4nWOB[Ziu89:;;i5aVLC\[jt789:3h6`YM@]\kw67893h7cXBA^cm`5678m1eZ@OPaof34566l2d]ALQnne23454c3g\FMRoad12346bhQXH?0b[^N149mRUG502d]\LQISCf8jSVFWOYISBLZF99mRUGXAFHo7cX_A^KLFZOE]O90bZK8;oUF[CUEk2d\IRH\B^KAQC>1:z`=073?-02;?}okagr~b<64xml{[dssgV:Ttil crv-|gecuIJ{=n<7;AB{054=N3>1=v]7d;627?4493;88o??1;3g`5e|f=;;6<5a40392>"38j0?<>5rS9a9045=::;1=>:m11395ab7n2Y:o>4;d680>453j8::6086<=;b022>4bc9<1o8=<50;395~U?l3>:?7<<1;300g7793;oh=m4$6f90a?<@090zYh;:182>4<41oqX4i4;128174<6;=h:<<4>de2`?!5a=3;h96X;0g81p46i3;0y??m:19~ 71b2=nj7)h8:19'b0<3lj1/j;4>2b9a054=83>i6l;5adyK7c5<,:i369>=;[5f>6}02h0i6p*>c080f1=,<0h1<75`8383>>i3>i3l90;66g;a683>>i3i:0;66a74;29?j2><3:17d:83:17b:;8;29?j24m3:17dol:188mc`=831b88?50;9l07?=831d8><50;9j03g=831d84<50;9j0a4=831d8l750;9l0=0=831b4;4?::k;4?6=3f>:h7>5;n617?6=3`>=i7>5;h60g?6=3f>j<7>5;n662?6=3f><<7>5;n6a>m7>5;n657?6=3`>o97>5;n602?6=3f83:7>5$3:3>7>23g84;n0;0?6=,;2;6?6:;o04b?7<3f83j7>5$3:3>7>23g85$3:3>7>23g85$3:3>7>23g85$3:3>7>23g85$3:3>7>23g8i6=4+29290d=i:>l1<65f4883>!4?83>j7c<8f;38?l2?290/>5>54`9m62`=:21b8:4?:%0;4?2f3g85<#:1:18l5a26d90>=n<=0;6)<70;6b?k40n3?07d:<:18'6=6=:h56:9j07<72-83<7:n;o04b?1<3`>:6=4+29290d=i:>l1465f4183>!4?83>j7c<8f;;8?l5a290/>5>54`9m62`=i21b?h4?:%0;4?2f3g85<#:1:18l5a26d9g>=n;j0;6)<70;6b?k40n3n07d;<:18'6=6=:h5e:9j17<72-83<7:n;o04b?`<3`?:6=4+29290d=i:>l1==54i4294?"5090?m6`=7g825>=n72=k0b?9i:018?l2c290/>5>54`9m62`=9=10e9m50;&1<5<3i2d9;k4>5:9j03<72-83<7:n;o04b?7132c8m?4?:%0;4?5f92d9;k4?;:k0=c<72-83<7=n1:l13c<632c85i4?:%0;4?5f92d9;k4=;:`742<7280;6=u+3b:955><@=:=7E=i3:&74`<39=1/=n<54e`8k460290/8=k523d8?xd3810;6<4?:1y'7f>=;o>0D9>9;I1e7>"38l09>o5+1b090ad5+3b:9<`=]?l0:w54r$0a2>6d33`226=44o04b>5<1<75`28394?=h;;91<75`10094?=n9j0;6)<70;3a?k40n3:07d?n:18'6=6=9k1e>:h51:9j5<<72-83<7?m;o04b?4<3`;<6=4+29295g=i:>l1?65f1483>!4?83;i7c<8f;68?l73290/>5>51c9m62`==21b=>4?:%0;4?7e3g85<#:1:1=o5a26d93>=n980;6)<70;3a?k40n3207d??:18'6=6=9k1e>:h59:9jb?6=,;2;6d=5<#:1:1=o5a26d9`>=n:=0;6)<70;3a?k40n3o07d<<:18'6=6=9k1e>:h5f:9a051=83;1<7>t$2a;>46?3A>;:6F0(j:30e?>{e:;91<7=52;6x 6e?28::7E:?6:J0b6=#9j818il4i6d94?=n1;0;66a=8383>>d50;0;684=:7y'7f>=9990(4?:783>5}#<9o1==:4i034>5<#<9o1=<94;h:;>5<#<9o14554i9;94?"38l03565f38a94?"38l085n54i20a>5<#<9o1??l4;n01b?6=,=:n6?t$52f>74e3f88<7>5$52f>74a32wx5?4?:3y]=7=:9991=<94}r5e>5<5sW=m70??3;::?xu?i3:1>vP7a:?246<4:k1v?6>:181[4?927:<>4<9b9~w7>52909wS<72:?246<5:o1v>h;:181877;32370:?9;004>{zj80;6<4?:1y'7c4=<2.8o54>099'05c=<8>0(j:30e?>{t:181<76s|9383>7}Y1;16>5<5939~w2`=838pR:h4=3:1>2`7>63483>76n;<39551<,:l9685r}c6;e?6==381:v*h<;%32=?0<,8i969jm;h:;>5<>o>:3:17b<72;29?g4?:3:1:7>50z&74`<68=1b=<950;&74`<69>10e5650;&74`>32c85n4?:%63a?5>k21b??l50;&74`<4:k10c?4<729q/8=k54068k74a290/8=k523d8?xu50;0;6?uQ290897>52;8m7p}62;296~X>:2794?4>169~w=g=838pR5o4=3:1>64e3ty347>52z\;<>;50;0346s|7g83>7}Y?o16>5<5889~w46?2909w0<72;1:g>;38k09>k5r}c327?6=13:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9j55e=83.?

1698m46c290/8=k51058?l77m3:1(9>j:034?>o68o0;6):?e;323>=n98:1<7*;0d8252=5$52f>74a32wi=<:50;;94?6|,:i36>84H525?M5a;2.?10e<>m:18'05c=98=07d??c;29 16b28;<76g>0e83>!27m3;:;65f11g94?"38l0:=:54i02e>5<#<9o1=<94;h324?6=,=:n6;i7?>7:9l676=83.?50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=7>52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`1=6<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi>4:50;694?6|,:i36><4H525?M5a;2.?10e<>m:18'05c=98=07d??c;29 16b28;<76a=2183>!27m389j65rb04a>5<5290;w)=l8;31f>N38?1C?k=4$52f>2e<,8i969jm;h33=?6=,=:n6;i7<=f:J74a=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`22a<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi=;k50;794?6|,:i36>=4H525?M5a;2.?10e<>m:18'05c=98=07d??c;29 16b28;<76g>0e83>!27m3;:;65`23294?"38l09>k54}c011?6=;3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?3:1>7>50z&0g=<6:k1C8=84H2d0?!27m3=h7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=O<9n07pl=2683>7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{e:;21<7<50;2x 6e?288i7E:?6:J0b6=#<9o1;n5+1b090ad5$52f>74a3A>;h65rb30:>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f74f290?6=4?{%1`;:6Fj:034?>o68j0;6):?e;323>=h:;:1<7*;0d816c=3o7>52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`71698k747290/8=k523d8L16c32wi85k50;194?6|,:i36?N4n:1/8=k523a8 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c6;b?6=;3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?7>50z&0g=<6:k1C8=84H2d0?!27m3=h7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=O<9n07pl<2483>7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{e;;<1<7<50;2x 6e?288i7E:?6:J0b6=#<9o1;n5+1b090ad5$52f>74a3A>;h65rb204>5<5290;w)=l8;31f>N38?1C?k=4$52f>2e<,8i969jm;h33=?6=,=:n6;i7<=f:J74a=53;294~"4k109>i5G4148L6`43->;i7<=c:&2g7<3lk1b==o50;&74`<69>10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm33;94?5=83:p(>m7:238L1613A9m?6*;0d804>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?1<7>t$2a;>64<@=:=7E=i3:&74`<482.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?l77k3:1(9>j:034?>i5:90;6):?e;01b>=zj8>m6=4<:183!5d0389h6F;079K7c5<,=:n6?10c?5583>7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{e99;I1e7>"38l08<6*>c387`g=n99k1<7*;0d8252=5$52f>47032c:?>50;&74`<5:o10qo?:6;297?6=8r.8o54<1:J743=O;o90(9>j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c363?6=;3:1h<;%63a?45k2.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?j4583:1(9>j:30e?>{e9<21<7<50;2x 6e?288i7E:?6:J0b6=#<9o1;n5+1b090ad5$52f>74a3A>;h65rb07:>5<4290;w)=l8;01`>N38?1C?k=4$52f>74d3-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698k747290/8=k523d8?xd6=h0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21?<5G4148L6`43->;i7=?;%3`6?2cj2c:10c?5183>6<729q/?n65309K050<@:l87):?e;13?!7d:3>on6g>0`83>!27m3;:;65f11`94?"38l0:=:54o303>5<#<9o1>?h4;|`214<72:0;6=u+3b:967b<@=:=7E=i3:&74`<5:j1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>i5:90;6):?e;01b>=zj8?96=4;:183!5d03997E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032c:?>50;&74`<5:o10qo?:3;297?6=8r.8o54=2e9K050<@:l87):?e;01g>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?3;33g>{tl3:1>vPk;<327?77l2wxi7>52z\f?876;3;;i6s|f;297~Xa34;:?7??f:?212<68h1v<>50;1xZ46<58;865<4sW;970?>4;33e>;6=<0:6}Y9=16=<:511a8943228:h7p}>5;297~X6=27:=94>0e9>503=99n0q~?8:185[7034;:87??e:?163<68016??;511;8942a28:i70?:6;33e>{t900;6;uQ189>542=99l01?<8:02:?855>3;;563>4g824d=:9<<1==l4}r3b>5<0sW;j70?>4;324>;51;0:<45217a955?<5;8>6<>m;<110`9~w4e=83=pR4763482?7??9:?22a<68016>?6511;8964028:270?:1;33e>{t::0;6?uQ229>545=99k0q~<;:181[4334;:?7??b:p36<72:qU;>52237955g<5=2o6<>6;|q;=?6=;rT3563=2284b>;30h05;014>{t9891<774734;:97??a:p542=838p1n70?9e;33e>;6=:09>=5rs06e>5<5s4;?j7<=0:?21<<68h1v<;?:1818728389<63>53824d=z{8?:6=4={<365?45827:9>4>0`9~w4352909w0?:2;014>;6=:0:5<5s4;>87<=0:?21<<68k1v<;::181872=389<63>53824g=z{8?=6=4={<362?45827:9=4>0`9~w4302909w0?:7;014>;6=h0:5<5s4;>47<=0:?217<68j1v<;6:1818721389<63>5c824g=z{8?j6=4={<36e?45827:9=4>0c9~w43e2909w0?:b;014>;6=80:5<5sW;=m63>6d8165=z{80c9~w40d2909w0?9c;014>;6>l0:5<5s4;=h7<=0:?22`<68m1v??l:181827?3;;;63;8`8;e>{t:;91<77>534>3i7??a:p672=838p1?<<:808974f2;8;7p}=2483>7}::;?1>?>4=30b>46e3ty9>;4?:3y>670=:;:01?<6:02b?xu5:>0;6?u22359676<5;826<>m;|q16=<72;q6>?652328974f28:h7p}=2883>7}::;31>?>4=30b>46f3ty95<4?:3y]6<7<5;3?6?4<5232897?328:j7p}=9283>7}::091>?>4=3;7>46d3ty8>>4?:3y]775<5:8j6?7}:;;?1>?>4=20b>46f3ty8>;4?:3y>770=:;:01><6:02b?xu4:>0;6?u23359676<5:826<>m;|q06=<72;q6??652328964f28:i7p}<2883>7}:;;31>?>4=20b>46d3ty?4l4?:4y>0=g=:1801?7;:02a?855<3;;563>55824<=:90c9~w1>b2909w0:7e;014>;30o0:5<4sW>2n63;8`8:6>;30j0:<45rs5f7>5<4sW>o863>6c824<=:9<21==74}|`7`=<72:91h44i8zJ0b6=#;j21>4>4Z6g96~1=13w/=n?53c68m=?=831d8o>50;9j070=831d8l=50;9l0<2=831d89650;9j36<722c85;4?::m1g4<722e?4;4?::k72a<722c8444?::k7`1<722c?m;4?::k1=4<722e?m=4?::k066<722e?;k4?::m1<3<72-83<7<75:l13c<732e9494?:%0;4?4?=2d9;k4>;:m14?:%0;4?4?=2d9;k4m;:k2=?6=,;2;6<64n35e>5=h5?o0:76g>5;29 7>72820b?9i:398m42=83.94=4>8:l13c<432c:?7>5$3:3>4>o693:1(?6?:0:8j71a2?10e<>50;&1<5<602d9;k48;:ke>5<#:1:1=55a26d9<>=nm3:1(?6?:0:8j71a2010ei4?:%0;4?7?3g8o5<3:1(?6?:0:8j71a2j10e?=50;&1<5<602d9;k4k;:k16?6=,;2;6<64n35e>`=h5?o0m76g=0;29 7>72820b?9i:028?l7a290/>5>5199m62`=9810e2:9j5a<72-83<7?7;o04b?7432c::7>5$3:3>4>h<;%63a?26<2.:o?4;dc9l551=83.?on6g78;29?l>f2900e:h50;9j=7<722e94?4?::`1<7<72?0;6=u+41g9552=n;0i1<7*;0d80=f=5$52f>74a32wi8=l50;394?6|,=:n69?;;n01b?6=,=:n6?5<5sW2j70<72;11f>{t010;6?uQ899>6=4=011v:h50;0xZ2`<5;296574}r3305d=:;l0qpl<9483>6<52=q/?n651138L1613A9m?6*>c387`g=n?o0;66g62;29?j4?:3:17o<72;291?4=>r.8o54>029'5f4=62900e4<50;9j5;c337?6=>3:1=n000;6):?e;::?>o41j0;6):?e;1:g>=n;;h1<7*;0d806g=5}#<9o1>?l4o313>5<#<9o1>?h4;|q:6?6=:rT2>63>028252=z{>l1<7f34;;?7==b:p6=7=838pR?6>;<337?5>k2wx>5<50;0xZ7>534;;?7<=f:p7c2=838p1<><:9:8916>2;9;7psm1;295?6=8r.8j?4;;%1`8:18'05c=:;l07p}=8383>7}Y:1801?6=:3:1?xu>:3:1>vP62:?1<7<>:2wx;k4?:3y]3c=::181;k5rs383>6}::181>5?4=3:1>=g<580:<:5+3g091>{zj:236=4<:387!5d03;;=6F;079K7c5<,8i969jm;h5e>5<i50;0;66g=8083>>o>:3:17d6n:188m2`=831i===50;494?6|,=:n6<>;;h323?6=,=:n65<#<9o14454i2;`>5<#<9o1?4m4;h11f?6=,=:n6>;i7<=f:9~f16>290:6=4?{%63a?45j2e9?=4?:%63a?45n21v4<50;0xZ<4<58:86028;=>{t0h0;6?uQ8`9>555=;;h0q~<71;296~X50816===538a8yv4?:3:1>vP=839>555=:;l0q~=i4;296~;68:03463;088175=zuk;1<7?50;2x 6`52=1/?n6511:8 16b2=;?7)?l2;6gf>i68>0;6):?e;01b>=z{;296=4={_0;6>;50;094?5rs8094?4|V0801?6=:808yv1a2909wS9i;<0;6?1a3ty96=4<{<0;6?4?92794?47a:?2>4603-9m>7;4}|`0=d<72:0969u+3b:9557<@=:=7E=i3:&2g7<3lk1b;k4?::k:6?6=3f83>7>5;c0;6?6==381:v*5<50z&74`<68=1b=<950;&74`<69>10e5650;&74`>32c85n4?:%63a?5>k21b??l50;&74`<4:k10c?4<729q/8=k523`8k757290/8=k523d8?xu>:3:1>vP62:?246<69>1v:h50;0xZ2`<58:86574}r:b>5<5sW2j70??3;11f>{t:1;1<7{t;o>1<7=><5=:26?=?;|a5?6=93:15<#<9o1>?h4;|q1<7<72;qU>5<4=3:1>7>53ty2>7>52z\:6>;50;02>6s|7g83>7}Y?o16>5<57g9~w7<72:q6>5<5293897>521k01<4>069'7c4==2wvn>6n:180>7<3s-9h47??1:J743=O;o90(5<50;796?0|,:i36<><;%3`6?2cj2e94?4?::k1<4<722c2>7>5;h:b>5<l1<75m11194?0=83:p(9>j:027?l76?3:1(9>j:034?>o?03:1(9>j:9:8?l>>290/8=k58898m6?d290/8=k538a8?l55j3:1(9>j:20a?>i5:o0;6):?e;01b>=zj=:26=4>:183!27m389n6a=3183>!27m389j65rs8094?4|V0801<><:034?xu0n3:1>vP8f:?2467>52z\1<7=:9991>?h4}r1e0?6=:r7:<>478:?74<<5;91vqo?50;394?6|,:l9695+3b:955><,=:n69?;;%3`6?2cj2e:<:4?:%63a?45n21v?6=:181[4?:2794?4=839~w<4=838pR4<4=3:1><4;628:<7)=i2;78yxd0>3:1?7<54z&0g=<6881C8=84H2d0?!7d:3>on6g8f;29?l?52900c?6=:188f7>5290>6?49{%1`5?50;9j=7<722c3m7>5;h5e>5<1683>!27m3;:;65f8983>!27m32376g79;29 16b21307d=6c;29 16b2:3h76g<2c83>!27m399n65`23d94?"38l09>k54}c63=?6=93:17}Y?o16===5889~w=g=838pR5o4=020>64e3ty94<4?:3y]6=7<58:86>7l;|q1<7<72;qU>5<4=020>74a3ty8j94?:3y>555=01168=752228yxd6290:6=4?{%1e6?2<,:i36<>7;%63a?26<2.:o?4;dc9l551=83.?vP=839>6=4=:180q~7=:181[?53483>77=;|q4b?6=:rT{t:3:1?v3=8381<4=::1814l521;333>"4n;0>7psm28794?5=:3>p(>m7:022?M27>2B8j>5+10;92>"6k;0?ho5f7g83>>o>:3:17b<72;29?g4?:3:197<56z&0g=<68:1/=n<54e`8k7>52900e?6>:188m<4=831b4l4?::k4b?6=3k;;?7>56;294~"38l0:<95f10594?"38l0:=:54i9:94?"38l03465f8883>!27m32276g<9b83>!27m392o65f33`94?"38l08>o54o30e>5<#<9o1>?h4;|`74<<7280;6=u+41g967d7>52z\:6>;68:0:=:5rs6d94?4|V>l01<><:9;8yv>f2909wS6n;<337?55j2wx>5?50;0xZ7>634;;?7=6c:p6=4=838pR?6=;<337?45n2wx?k:50;0x9464212019>6:313?x{e93:1=7>50z&0b7<33-9h47??8:&74`<39=1/=n<54e`8k460290/8=k523d8?xu50;0;6?uQ290897>52;297p}62;296~X>:2794?462:p3c<72;qU;k5229093c=z{;0;6>u229096=7<5;2965o4=08242=#;o8196srb3`2>5<42;0?w)=l8;335>N38?1C?k=4$03:>3=#9j818il4i6d94?=n1;0;66a=8383>>d50;0;684=:7y'7f>=9990(4?:783>5}#<9o1==:4i034>5<#<9o1=<94;h:;>5<#<9o14554i9;94?"38l03565f38a94?"38l085n54i20a>5<#<9o1??l4;n01b?6=,=:n6?t$52f>74e3f88<7>5$52f>74a32wx5?4?:3y]=7=:9991=<94}r5e>5<5sW=m70??3;::?xu?i3:1>vP7a:?246<4:k1v?6>:181[4?927:<>4<9b9~w7>52909wS<72:?246<5:o1v>h;:181877;32370:?9;004>{zj80;6<4?:1y'7c4=<2.8o54>099'05c=<8>0(j:30e?>{t:181<76s|9383>7}Y1;16>5<5939~w2`=838pR:h4=3:1>2`7>63483>76n;<39551<,:l9685r}c0`0?6=;3818v*h<;%32=?0<,8i969jm;h5e>5<i50;0;66g=8083>>o>:3:17d6n:188m2`=831i===50;494?6|,=:n6<>;;h323?6=,=:n65<#<9o14454i2;`>5<#<9o1?4m4;h11f?6=,=:n6>;i7<=f:9~f16>290:6=4?{%63a?45j2e9?=4?:%63a?45n21v4<50;0xZ<4<58:86028;=>{t0h0;6?uQ8`9>555=;;h0q~<71;296~X50816===538a8yv4?:3:1>vP=839>555=:;l0q~=i4;296~;68:03463;088175=zuk;1<7?50;2x 6`52=1/?n6511:8 16b2=;?7)?l2;6gf>i68>0;6):?e;01b>=z{;296=4={_0;6>;50;094?5rs8094?4|V0801?6=:808yv1a2909wS9i;<0;6?1a3ty96=4<{<0;6?4?92794?47a:?2>4603-9m>7;4}|`0<2<72:0969u+3b:9557<@=:=7E=i3:&25<<13-;h>7:kb:k4b?6=3`396=44o3:1>5<c387`g=h:181<75f29394?=n1;0;66g7a;29?l1a2900n<><:185>5<7s->;i7??4:k252<72->;i7?>7:9j<=<72->;i767;:k;=?6=,=:n6574;h1:g?6=,=:n6>7l;:k06g<72->;i7==b:9l67`=83.?50z&74`<5:k1d>>>50;&74`<5:o10q~7=:181[?534;;?7?>7:p3c<72;qU;k521119<<=z{1k1<77}Y:1;01<><:2;`?xu50;0;6?uQ290894642;8m7p}7}:9991455241;9666:183!5a:3>0(>m7:02;?!27m3>:86*>c387`g=h99=1<7*;0d816c=7>52z\1<7=::181>5<4}r;1>5<5sW3970<72;;1?xu0n3:1>vP8f:?1<7<0n2wx>7>53z?1<7<50816>5<58`9>5?77?2.8j?4:;|a01>=8391>7:t$2a;>4663A>;:6F5;h;1>5<>d68:0;6;4?:1y'05c=99>0ej:30a?j4483:1(9>j:30e?>{t1;0;6?uQ939>555=98=0q~9i:181[1a34;;?766;|q;e?6=:rT3m63>02806g=z{;2:6=4={_0;5>;68:085n5rs3:1>5<5sW83>63>02816c=z{:l?6=4={<337?>?34>;57<<0:~f4<7280;6=u+3g090>"4k10:<55+41g9042<,8i969jm;n333?6=,=:n6?l01?6=:6d8yv4=839p1?6=:3:2?84?:32j70?51158 6`52<1vqo==c;297?4=009K050<@:l87)?>9;48 4e52=ni7d9i:188m<4=831d>5<50;9a6=4=83?1>78t$2a;>4643-;h>7:kb:m1<7<722c94<4?::k:6?6=3`2j6=44i6d94?=e9991<7850;2x 16b28:?7d?>7;29 16b28;<76g78;29 16b21207d66:18'05c=0010e>7l:18'05c=;0i07d==b;29 16b2:8i76a=2g83>!27m389j65rb52:>5<6290;w):?e;01f>i5;90;6):?e;01b>=z{081<7479:p52z\1<4=:9991?4m4}r0;6?6=:rT94?52111967`52z?246t$2d1>1=#;j21==64$52f>1733-;h>7:kb:m242<72->;i7<=f:9~w7>52909wS<72:?1<7<50;1v4<50;0xZ<4<5;2964<4}r5e>5<5sW=m70<72;5e?xu52908w0<72;0;5>;50;03m63>:024?!5a:3?0qpl<3c83>6<52=q/?n651138L1613A9m?6*>1885?!7d:3>on6g8f;29?l?52900c?6=:188f7>5290>6?49{%1`5?50;9j=7<722c3m7>5;h5e>5<1683>!27m3;:;65f8983>!27m32376g79;29 16b21307d=6c;29 16b2:3h76g<2c83>!27m399n65`23d94?"38l09>k54}c63=?6=93:17}Y?o16===5889~w=g=838pR5o4=020>64e3ty94<4?:3y]6=7<58:86>7l;|q1<7<72;qU>5<4=020>74a3ty8j94?:3y>555=01168=752228yxd6290:6=4?{%1e6?2<,:i36<>7;%63a?26<2.:o?4;dc9l551=83.?vP=839>6=4=:180q~7=:181[?53483>77=;|q4b?6=:rT{t:3:1?v3=8381<4=::1814l521;333>"4n;0>7psm34294?5=:3>p(>m7:022?M27>2B8j>5+10;92>"6k;0?ho5f7g83>>o>:3:17b<72;29?g4?:3:197<56z&0g=<68:1/=n<54e`8k7>52900e?6>:188m<4=831b4l4?::k4b?6=3k;;?7>56;294~"38l0:<95f10594?"38l0:=:54i9:94?"38l03465f8883>!27m32276g<9b83>!27m392o65f33`94?"38l08>o54o30e>5<#<9o1>?h4;|`74<<7280;6=u+41g967d7>52z\:6>;68:0:=:5rs6d94?4|V>l01<><:9;8yv>f2909wS6n;<337?55j2wx>5?50;0xZ7>634;;?7=6c:p6=4=838pR?6=;<337?45n2wx?k:50;0x9464212019>6:313?x{e93:1=7>50z&0b7<33-9h47??8:&74`<39=1/=n<54e`8k460290/8=k523d8?xu50;0;6?uQ290897>52;297p}62;296~X>:2794?462:p3c<72;qU;k5229093c=z{;0;6>u229096=7<5;2965o4=08242=#;o8196srb3a1>5<5290;w)=l8;31f>N38?1C?k=4$52f>2e<,8i969jm;h33=?6=,=:n6;i7<=f:J74a=53;294~"4k109>i5G4148L6`43->;i7<=c:&2g7<3lk1b==o50;&74`<69>10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm4c394?4=83:p(>m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj=2;6=4=:183!5d03;9n6F;079K7c5<,=:n6:m4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?5}#;j21=?l4H525?M5a;2.?10c?k;:a7=b=8391<7>t$2a;>67<@=:=7E=i3:&74`<482.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?j4583:1(9>j:30e?>{e;021<7<50;2x 6e?288i7E:?6:J0b6=#<9o1;n5+1b090ad5$52f>74a3A>;h65rb2;:>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f12c29096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo=:c;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd4=m0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21=?l4H525?M5a;2.?10c?k;:a0d2=8391<7>t$2a;>74c3A>;:6Fj:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=3;7>52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`7<=<72:0;6=u+3b:967b<@=:=7E=i3:&74`<5:j1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>i5:90;6):?e;01b>=zj=h86=4=:183!5d03;9n6F;079K7c5<,=:n6:m4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?5}#;j21=?l4H525?M5a;2.?10c?k;:a0g0=83?1<7>t$2a;>65<@=:=7E=i3:&74`<482.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?l77k3:1(9>j:034?>o68m0;6):?e;323>=h:;:1<7*;0d816c=3>7>52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`7<6<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi85:50;094?6|,:i36<N4n:1/8=k57b9'5f4=6:18'05c=98=07b<=0;29 16b2;8m7E:?d:9~f1>2290>6=4?{%1`;:6Fj:034?>o68j0;6):?e;323>=n99n1<7*;0d8252=4?:583>5}#;j21??5G4148L6`43->;i7=?;%3`6?2cj2c:10e<>l:18'05c=98=07b<=0;29 16b2;8m76sm2d394?5=83:p(>m7:238L1613A9m?6*;0d804>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?t$2a;>44e3A>;:6F290/8=k51058?j4583:1(9>j:30e?M27l21vn?k<:180>5<7s-9h47=>;I632>N4n:1/8=k5319'5f4=n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rb3g7>5<4290;w)=l8;01`>N38?1C?k=4$52f>74d3-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698k747290/8=k523d8?xd5m00;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21=?l4H525?M5a;2.?10c?k;:a6`d=8391<7>t$2a;>67<@=:=7E=i3:&74`<482.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?j4583:1(9>j:30e?>{e:li1<7=50;2x 6e?2;8o7E:?6:J0b6=#<9o1>?m4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qoj:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c0e4?6=:3:1h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?5}#;j21?<5G4148L6`43->;i7=?;%3`6?2cj2c:10c?6<729q/?n6523f8L1613A9m?6*;0d816f=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f7`229086=4?{%1`;:6Fj:034?>i5:90;6):?e;01b>=zj;l=6=4=:183!5d03;9n6F;079K7c5<,=:n6:m4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<5:m1C8=84H2d0?!27m389o6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wi>kl50;194?6|,:i36>?4H525?M5a;2.?10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm2ga94?4=83:p(>m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj;lo6=4<:183!5d039:7E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032e9>=4?:%63a?45n21vn?hj:180>5<7s-9h47<=d:J743=O;o90(9>j:30`?!7d:3>on6g>0`83>!27m3;:;65f11`94?"38l0:=:54o303>5<#<9o1>?h4;|`044<72:0;6=u+3b:974=O<9<0D>h<;%63a?573-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698k747290/8=k523d8?xd48;0;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=m7:238L1613A9m?6*;0d804>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?t$2a;>74c3A>;:6Fj:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo=?8;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd4800;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21>?j4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rb22g>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f66b29096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo=?f;297?6=8r.8o54<1:J743=O;o90(9>j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c124?6=;3:1h<;%63a?45k2.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?j4583:1(9>j:30e?>{e;8h1<7=50;2x 6e?2:;0D9>9;I1e7>"38l08<6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wi?N4n:1/8=k57b9'5f4=6:18'05c=98=07b<=0;29 16b2;8m7E:?d:9~f67c29086=4?{%1`;:6Fj:034?>i5:90;6):?e;01b>=zj:;n6=4<:183!5d0389h6F;079K7c5<,=:n6?10c?6<729q/?n6523f8L1613A9m?6*;0d816f=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f63429086=4?{%1`;:6Fj:034?>i5:90;6):?e;01b>=zj:??6=4<:183!5d0389h6F;079K7c5<,=:n6?10c?7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{e;<<1<7;50;2x 6e?2:90D9>9;I1e7>"38l08<6*>c387`g=n99k1<7*;0d8252=5$52f>47032c:?>50;&74`<5:o10qo=:7;297?6=8r.8o54<1:J743=O;o90(9>j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c16h<;%63a?45k2.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?j4583:1(9>j:30e?>{e;<31<7=50;2x 6e?2:;0D9>9;I1e7>"38l08<6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wi?8o50;194?6|,:i36?N4n:1/8=k523a8 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c10`?6=:3:1h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?5}#;j21>?j4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rb26:>5<5290;w)=l8;31f>N38?1C?k=4$52f>2e<,8i969jm;h33=?6=,=:n6;i7<=f:J74a=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo=;b;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd4=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj:>n6=4<:183!5d039:7E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032e9>=4?:%63a?45n21vn>:i:181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg54m3:1?7>50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo=;0;297?6=8r.8o54<1:J743=O;o90(9>j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c175?6=;3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<5:m1C8=84H2d0?!27m389o6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wi?9=50;194?6|,:i36>?4H525?M5a;2.?10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm35694?5=83:p(>m7:30g?M27>2B8j>5+41g967e<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<5:m1C8=84H2d0?!27m389o6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wi?9850;194?6|,:i36>?4H525?M5a;2.?10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm35594?2=83:p(>m7:30f?M27>2B8j>5+41g967e<,8i969jm;h33e?6=,=:n6;i7?>7:9j55e=83.?

1698k747290/8=k523d8?xd4:l0;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj:9?6=4<:183!5d039:7E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032e9>=4?:%63a?45n21vn>=::181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg54>3:1?7>50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`07=<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi?>750;194?6|,:i36?N4n:1/8=k523a8 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c10e?6=;3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo=<1;290?6=8r.8o54<2:J743=O;o90(9>j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65f11a94?"38l0:=:54o303>5<#<9o1>?h4;|`077<72=0;6=u+3b:967c<@=:=7E=i3:&74`<5:j1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>o68j0;6):?e;323>=h:;:1<7*;0d816c=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`051<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi?<;50;694?6|,:i36><4H525?M5a;2.?10e<>m:18'05c=98=07d??c;29 16b28;<76a=2183>!27m389j65rb235>5<4290;w)=l8;01`>N38?1C?k=4$52f>74d3-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698k747290/8=k523d8?xd49>0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21>?j4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rb25e>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f6>729096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo=71;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd40;0;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj:2?6=4<:183!5d039:7E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032e9>=4?:%63a?45n21vn>6::187>5<7s-9h47==;I632>N4n:1/8=k5319'5f4=n:18'05c=98=07d??b;29 16b28;<76g>0b83>!27m3;:;65`23294?"38l09>k54}c1;2?6=<3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9j55e=83.?

1698k747290/8=k523d8?xd31<0;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj=3<6=4=:183!5d03;9n6F;079K7c5<,=:n6:m4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?h<;%63a?45k2.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?l77k3:1(9>j:034?>i5:90;6):?e;01b>=zj=326=4<:183!5d039:7E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032e9>=4?:%63a?45n21vn>8m:181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg51k3:1>7>50z&0g=<6:k1C8=84H2d0?!27m3=h7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=O<9n07pl<6e83>6<729q/?n65309K050<@:l87):?e;13?!7d:3>on6g>0`83>!27m3;:;65f11`94?"38l0:=:54o303>5<#<9o1>?h4;|`02`<72:0;6=u+3b:967b<@=:=7E=i3:&74`<5:j1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>i5:90;6):?e;01b>=zj:=;6=4=:183!5d03;9n6F;079K7c5<,=:n6:m4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?7>50z&0g=<6:k1C8=84H2d0?!27m3=h7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=O<9n07pl<7983>7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{e;>31<7<50;2x 6e?288i7E:?6:J0b6=#<9o1;n5+1b090ad5$52f>74a3A>;h65rb25b>5<5290;w)=l8;31f>N38?1C?k=4$52f>2e<,8i969jm;h33=?6=,=:n6;i7<=f:J74a=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`03f<72:0;6=u+3b:974=O<9<0D>h<;%63a?573-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698k747290/8=k523d8?xd4?m0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21?<5G4148L6`43->;i7=?;%3`6?2cj2c:10c?6<729q/?n6523f8L1613A9m?6*;0d816f=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f61429086=4?{%1`;:6Fj:034?>i5:90;6):?e;01b>=zj:=?6=4<:183!5d0389h6F;079K7c5<,=:n6?10c?1<729q/?n65339K050<@:l87):?e;13?!7d:3>on6g>0`83>!27m3;:;65f11`94?"38l0:=:54i02`>5<#<9o1=<94;n014?6=,=:n6?t$2a;>67<@=:=7E=i3:&74`<482.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?j4583:1(9>j:30e?>{e:k31<7<50;2x 6e?288i7E:?6:J0b6=#<9o1;n5+1b090ad5$52f>74a3A>;h65rb3`b>5<5290;w)=l8;31f>N38?1C?k=4$52f>2e<,8i969jm;h33=?6=,=:n6;i7<=f:J74a=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`1ff<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi>oj50;094?6|,:i36<N4n:1/8=k57b9'5f4=6:18'05c=98=07b<=0;29 16b2;8m7E:?d:9~f7db29096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd5k90;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21?85G4148L6`43->;i7=?;%3`6?2cj2c:10e<>l:18'05c=98=07d??d;29 16b28;<76g>0d83>!27m3;:;65f11d94?"38l0:=:54o303>5<#<9o1>?h4;|`1f2<72:0;6=u+3b:974=O<9<0D>h<;%63a?573-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698k747290/8=k523d8?xd5j10;6>4?:1y'7f>=:;n0D9>9;I1e7>"38l09>n5+1b090ad5$52f>47032e9>=4?:%63a?45n21vn?m9:180>5<7s-9h47=>;I632>N4n:1/8=k5319'5f4=n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rb3f2>5<5290;w)=l8;31f>N38?1C?k=4$52f>2e<,8i969jm;h33=?6=,=:n6;i7<=f:J74a=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`1`<<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi>io50;194?6|,:i36>?4H525?M5a;2.?10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm2e`94?4=83:p(>m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj;nh6=4=:183!5d03;9n6F;079K7c5<,=:n6:m4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?5}#;j21=?l4H525?M5a;2.?10c?k;:a6f1=8381<7>t$2a;>44e3A>;:6F290/8=k51058?j4583:1(9>j:30e?M27l21vn?m7:181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg4d13:1?7>50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qoj:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65f11a94?"38l0:=:54o303>5<#<9o1>?h4;|`1gf<72:0;6=u+3b:967b<@=:=7E=i3:&74`<5:j1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>i5:90;6):?e;01b>=zj;io6=4<:183!5d0389h6F;079K7c5<,=:n6?10c?6<729q/?n6523f8L1613A9m?6*;0d816f=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f7ea29086=4?{%1`;:6Fj:034?>i5:90;6):?e;01b>=zj;n;6=4<:183!5d039:7E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032e9>=4?:%63a?45n21vn?j=:180>5<7s-9h47=>;I632>N4n:1/8=k5319'5f4=n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rb3f0>5<4290;w)=l8;01`>N38?1C?k=4$52f>74d3-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698k747290/8=k523d8?xd5l=0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21>?j4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rb3f5>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f7b029086=4?{%1`o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21=?l4H525?M5a;2.?10c?k;:a6d4=8391<7>t$2a;>74c3A>;:6Fj:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`1eg<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi>lm50;094?6|,:i36<N4n:1/8=k57b9'5f4=6:18'05c=98=07b<=0;29 16b2;8m7E:?d:9~f7gc29096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qoj:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c0bb?6=:3:1h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?5}#;j21=?l4H525?M5a;2.?10c?k;:a6<>=8381<7>t$2a;>44e3A>;:6F290/8=k51058?j4583:1(9>j:30e?M27l21vn?76:181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg4>i3:1>7>50z&0g=<6:k1C8=84H2d0?!27m3=h7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=O<9n07pl=9c83>7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{e:0i1<7<50;2x 6e?288i7E:?6:J0b6=#<9o1;n5+1b090ad5$52f>74a3A>;h65rb3;g>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f7?b29086=4?{%1`;:6Fj:034?>i5:90;6):?e;01b>=zj;3m6=4<:183!5d039:7E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032e9>=4?:%63a?45n21vn?o?:180>5<7s-9h47=>;I632>N4n:1/8=k5319'5f4=n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rb3c2>5<0290;w)=l8;16?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7?>7:9j55b=83.?

1698m46b290/8=k51058?l77n3:1(9>j:034?>i5:90;6):?e;01b>=zj;k86=4<:183!5d0389h6F;079K7c5<,=:n6?10c?6<729q/?n6523f8L1613A9m?6*;0d816f=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f7g229086=4?{%1`;:6Fj:034?>i5:90;6):?e;01b>=zj;k=6=4<:183!5d039:7E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032e9>=4?:%63a?45n21vn?o8:180>5<7s-9h47<=d:J743=O;o90(9>j:30`?!7d:3>on6g>0`83>!27m3;:;65f11`94?"38l0:=:54o303>5<#<9o1>?h4;|`1e=<72:0;6=u+3b:974=O<9<0D>h<;%63a?573-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698k747290/8=k523d8?xd5i00;694?:1y'7f>=:;o0D9>9;I1e7>"38l09>n5+1b090ad5$52f>47032c:?>50;&74`<5:o10q~m50;0xZf=::l91==o4}rf94?4|Vm16>hl511c8yvc=838pRh522g3955g6c1=99k0q~??:181[77348mh7??a:p54<72;qU=<52311955g7}Y9:16?=h511c8yv732909wS?;;<123?77i2wx=;4?:3y]53=::l;1==l4}r34>5<5sW;<70=>b;33f>{t9m0;6?uQ1e9>6`?=99h0q~?j:181[7b348nj7??b:p5c<72;qU=k522g7955d7}Y:816?=?511`8yv452909wS<=;<133?77j2wx>>4?:3y]66=:;9n1==l4}r07>5<5sW8?70=>5;33e>{t?:0;6<>t^618920=1;16>o=511c8963428:i70=:6;33e>;4<00:<45235c955d<5:>m6<>6;<102?77j278;k4>0`9>726=99301>99:02a?84c93;;563=de824<=::m81==o4=3;;>46>3ty<:7>54z?42?4?:2788o4>089>721=99301?jl:02:?xu?13:1jvP79:?02<<0n2785848f:?0<=<0n2785l48f:?0?57??9:p64e=838p19>8:024?851132j7p}=8283>7}Y:1901?k;:303?xu50=0;6?uQ189]6=253z\21>X50?16?vP=869>6`e=:;:0q~<78;296~X50116>k<52328yv4?13:1>vP=889>6c>=:;:0q~<7a;296~X50h16>kk52328yv4?j3:1>vP=8c9>752=:;:0q~<7c;296~X50j16?=o52328yv4?l3:1>vP=8e9>746=:;:0q~<7e;296~X50l16?<652328yv4?n3:1>vP=8g9>74c=:;:0q~<61;29a~X51816>n<511;897d428:i70=:3;33e>;46;<121?77j278;k4>0c9>0<3=99301>97:02:?84ci3;;m63=dc824<=::mo1==74}r0:1?6=ir79584=839>0g0=99k0196::02b?84e;3;;o63<55824d=:;;l1==o4=2:3>46>34>2:7??9:?1`d<68k16>l6511c8yv4>>3:1>v3=948:6>;5i009>=5rs3;4>5<5s482;7<=0:?1=a<68k1v?77:18184>0389<63=a0824d=z{;326=4={<0:=?458279m<4>0b9~w7?f2909w0<6a;014>;5i80:5<5s482n7<=0:?1e4<68m1v?7l:18184>k389<63=a0824c=z{;3o6=4={<0:`?458279m>4>0`9~w7?b2909w0<6e;014>;5i:0:5<5s482j7<=0:?1e1<68k1v?o?:18184f8389<63=a4824g=z{;k:6=4={<0b5?458279m44>0b9~w7g52909w0;51l0:5<5s48j?7<=0:?1e2<68h1v?o;:18184f<389<63=a7824d=z{;k>6=4={<0b1?458279m44>0`9~w7g12909w0;5i>0:5<5s48j;7<=0:?1e=<68k1v?o7:18184f0389<63=a8824g=z{;kj6=4={<0be?4582795h4>0c9~w7ge2909w0;51o0:5<5s48jo7<=0:?1=c<68k1v?ok:18184fl389<63=a7824g=z{;kn6=4={<0ba?458279m84>0`9~w7ga2909w0;5i90:5<5s48i<7<=0:?1e4<68k1v?l>:18184e9383>63=c2824d=z{;h96=4={<0a7?458279nk4>089~w7d32909w06?o850;0x97d12;8;70{t:k=1<7747348i47??a:p6g?=838p1?l6:303?84d83;;n6s|2cc94?4|5;hj6?ol50;0x97de2;8;70{t:ki1<7747348i:7??c:p6gb=838p1?lk:303?84e>3;;i6s|2cg94?4|5;hn6?oh50;0x97da2;8;70{t:j:1<7747348i;7??b:p6f7=838pR?m>;<0`7?4582wx>n<50;0x97e52;8;70{t:j>1<7?9{<0`0?4?:27?8i4>089>6`7=99k01?k=:02:?84b13;;m63=e`824<=::ll1==o4=3d3>46>348m97??a:?1b3<68016>kl511c897`d28:270=?1;33e>;48;0:<452315955g<5::36<>6;<13`?77i278

089>74d=99k01>?l:02:?856<3;;563<14824f=z{;i>6=4={<0`0??5348o;7<=0:p6f0=838p1?m9:303?84d13;;m6s|2b594?4|5;i<6?n650;0x97e?2;8;70{t:j31<7747348hh7??a:p6fg=838p1?mn:303?84c=3;;m6s|2b`94?4|5;ii6?nm50;0x97ed2;8;70{t:jn1<7747348o<7??b:p6fc=838p1?mj:303?84c:3;;n6s|2bd94?4|5;im6?i>50;0x97b72;8;70{t:m;1<7747348h57??b:p6a4=838p1?j=:303?84c;3;;m6s|2e194?4|5;n86?i:50;0x97b32;8;70{t:m?1<7747348o:7??a:p6a0=838p1?j9:303?84c?3;;n6s|2e:94?4|5;n36?i750;0x97b>2;8;70{t:mk1<7747348hi7??a:p6ad=838p1?jm:303?84dj3;;m6s|2ea94?4|5;nh6?ij50;0x97bc2;8;70{t:mo1<7747348ho7??b:p6`6=838p1?k>:303?84b<3;;m6s|2d394?4|5;o96?h<50;0x97c42;8;70{t:l21<7747348no7??a:p6`?=838p1?kn:303?84bj3;;n6s|2dc94?4|5;oi6?hk50;0x97ca2;8;70{t:ll1<7747348m=7??b:p6c6=838p1?h>:303?84a:3;;n6s|2g694?4|5;l>6?k;50;0x97`12;8;70{t:o<1<7747348m47??b:p6cg=838p1?hm:303?84am3;;m6s|2g`94?4|5;lh6?km50;0x97`c2;8;70{t;9:1<7747349;87??a:p757=838p1>>=:303?857;3;;n6s|31094?4|5::86?{t;9=1<7747349;57??b:p75>=838p1>>6:303?857i3;;n6s|31a94?4|5::o6?{t;9o1<7747349:<7??b:p744=838p1>?<:303?856>3;;m6s|30194?4|5:;?6?8;33f>{t;8?1<7747349:;7??b:p740=838p1>?8:303?85603;;m6s|30c94?4|5:;i6?d;33f>{t;8i1<7747349:i7??b:p775=838pR><<;<6b5?7712wx??m50;30855k383>63<5b824<=:;<81==l4=275>46d349?47??a:?00`<68k16?>;511;8965728:j70=73;33=>;4>k0:<45236;955?<5;hh6<>6;<0`4?77i279o;4>0c9>6f>=99301?o=:02b?84fl3;;563=ad824d=::0h1==74}r11`?6=:r78>n462:?077<5:91v>0`9~w6572909w0=<0;014>;4;;0:5<5s498=7<=0:?077<68j1v>=<:181854;389<63<38824g=z{:9?6=4={<100?458278?l4>0`9~w6522909w0=<5;014>;4;h0:5<5s498:7<=0:?074<68k1v>=8:181854?389<63<2g824g=z{:936=4={<100b9~w65>2909w0=<9;014>;4;90:5<5s498m7<=0:?077<68h1v>=m:1821~;4;k094?5234f955g<5:?96<>n;<162?77l2788i4>089>76c=99k01>46f349oo511;897b?28:270;5kh0:m;<0ba?77j2795l4>089~w65d2909w0=k50;0x965b2;8;70=;7;33f>{t;:l1<7747349?87??a:p716=838p1>:?:303?853:3;;n6s|35394?4|5:>:6?{t;=91<7747349?87??b:p712=838p1>:;:303?853=3;;n6s|35794?4|5:>>6?{t;=21<7747349?97??a:p71?=838p1>:6:303?854n3;;n6s|35c94?4|5:>j6?{t;=i1<7747349??7??b:p71b=838p1>:k:303?853>3;;n6s|35g94?4|5:>n6?{t;<:1<7?={<164?4?:278984>089>76b=99301>:7:02a?854;3;;563<35824g=:;:21==74=2:1>46>349ol511;897b>28:270;5ih0:<45228a955?<5;3o6<>n;<0b4?77i279m94>0`9~w6362909w0=:0;;1?852i389<6s|34094?4|5:?96?{t;<>1<7747349>;7??b:p703=838p1>;::303?85213;;n6s|34494?4|5:?=6?{t;<21<7747349>57??a:p70?=838p1>;6:303?852i3;;m6s|34`94?4|5:?h6?2;2970=7c;33=>;4110:<45rs24b>5<5s49=5767;<15a?4582wx?;l50;0x960e2;8;70=9d;33f>{t;?i1<7747349=i7??b:p73b=838p1>8k:303?851m3;;m6s|37d94?2|5:=>6?089>6g6=9930q~=80;296~;4?909>=5236a955d52z?034<5:916?:;511a8yv50:3:1>v3<738165=:;>91==o4}r147?6=:r78;>4=219>722=99h0q~=84;296~;4?=09>=52367955d52z?033<5:916?:j511c8yv50?3:1>v3<768165=:;>n1==l4}r14725=99h0q~=89;296~;4?009>=52367955g52z?03d<5:916?:?511c8yv50j3:1>v3<7c8165=:;>;1==l4}r14g?6=:r78;n4=219>722=99k0q~=8d;296~;4?m09>=52360955d54z?0<3<5:916>oj511;897gd28:270<69;33=>{t;>l1<77473493:7??b:p7=6=838p1>6?:303?85?<3;;n6s|39394?4|5:2:6?52;8;70=75;33e>{t;191<7747349397??c:p7=2=838p1>6;:303?85?>3;;m6s|39794?4|5:2>6?02;2970:67;33=>{t;121<7:t=2:;>7>5349?m7??a:?033<68h16>nl511`8yv5?13:1>vP<889>7=g=1;1v>6n:187851133970=7a;0;6>;40m0:52z?0<=<>:2784i4=219~w6>d2909w0=7c;014>;40m0:5;4<;0:n;<146?77i279n44>089>6f0=99k01?mi:02b?84fn3;;56s|38494?4|V:3=70=6a;;1?xu41>0;6?u23879=7=:;031>?>4}r1:7:97>52z?0<2<>:2789i4=219~w141290=wS:=6:?7e1<68k16856511c891d428:270:72;33=>;3110:5<5sW>?463;4981<7=z{=>j6=4={<67?57<=0:p01e=838p19:7:808912c2;8;7p};6e83>7}Y72;8;7p};8083>7}:<1:1==74=5:6>7473ty?4?4?:3y>0=4=:;:0196::02a?xu30:0;6?u24919676<5=2>6<>l;|q7<1<72;q685:5232891>228:o7p};8783>7}Y<1<01967:303?xu30>0;6?u24959676<5=236<>m;|q7=1<72;qU84:4=5;:>7473ty?584?:3y>0<3=:;:01977:02b?xu31?0;6?u24849676<5=336<>l;|q7=2<72;q68495232891?>28:i7p};9983>7}:<021>?>4=5;:>46f3ty?m=4?:3y]0d6<5=k:6?7473ty?m;4?:3y]0d0<5=h>6<>6;|q7f5<72;qU8o>4=5`2>7473ty?n?4?:3y>0g7=993019l9:303?xu3j:0;6?u24c19676<5=h=6<>m;|q7f1<72;q68o:5232891d128:h7p};b483>7}:?>4=5`5>46c3ty?h94?:4y]0a2<5=k?6<>n;<6;3?77127?n94>089>0=5=9930qpl;1b83>43=>h0<:vF=9=90(:2`7?l>>2900e<8n:188m10>2900e<5;n602?6=3k>;;7>51;294~"4k10:<55G4148L6`43->;i7:>4:&2g7<3lk1d==950;&74`<5:o10qo7;:186>7<1s-9h47??2:J743=O;o90(5;h;1>5<5<1290;w):?e;330>o69>0;6):?e;323>=n010;6):?e;:;?>o?13:1(9>j:9;8?l5>k3:1(9>j:2;`?>o4:k0;6):?e;11f>=h:;l1<7*;0d816c=;n7>51;294~"38l0?=95`23d94?"38l09>k54}r0;6?6=:rT94?52290967`7}Y0h16>5<533`8yv>?2909wS67;<0;6?>?3ty52z\4b>;50;0356s|11:94?4|5;296>7l;<63f?45n2wvn>o;:186>7<1s-9h47??2:J743=O;o90(5;h;1>5<5<1290;w):?e;330>o69>0;6):?e;323>=n010;6):?e;:;?>o?13:1(9>j:9;8?l5>k3:1(9>j:2;`?>o4:k0;6):?e;11f>=h:;l1<7*;0d816c=;n7>51;294~"38l0?=95`23d94?"38l09>k54}r0;6?6=:rT94?52290967`7}Y0h16>5<533`8yv>?2909wS67;<0;6?>?3ty52z\4b>;50;0356s|11:94?4|5;296>7l;<63f?45n2wvn?j50;796?0|,:i36<>=;I632>N4n:1/=n<54e`8m=>=831b4l4?::k4b?6=3`396=44o3:1>5<1683>!27m3;:;65f8983>!27m32376g79;29 16b21307d=6c;29 16b2:3h76g<2c83>!27m399n65`23d94?"38l09>k54}c63f?6=93:17>52z\1<7=::181>?h4}r;1>5<5sW3970<72;323>{t0h0;6?uQ8`9>6=4=;;h0q~67:181[>?3483>767;|q4b?6=:rT{t9921<76?d34>;n7<=f:~f<6=8391>7:t$2a;>4663A>;:6F5;h;1>5<>d68:0;6;4?:1y'05c=99>0ej:30a?j4483:1(9>j:30e?>{t1;0;6?uQ939>555=98=0q~9i:181[1a34;;?766;|q;e?6=:rT3m63>02806g=z{;2:6=4={_0;5>;68:085n5rs3:1>5<5sW83>63>02816c=z{:l?6=4={<337?>?34>;57<<0:~f4<7280;6=u+3g090>"4k10:<55+41g9042<,8i969jm;n333?6=,=:n6?l01?6=:6d8yv4=839p1?6=:3:2?84?:32j70?51158 6`52<1vqo<>8;297?4=009K050<@:l87)?l2;6gf>o0n3:17d7=:188k7>52900n?6=:186>7<1s-9h47??3:&2g7<3lk1d>5<50;9j6=7=831b5?4?::k;e?6=3`=m6=44b020>5<1290;w):?e;330>o69>0;6):?e;323>=n010;6):?e;:;?>o?13:1(9>j:9;8?l5>k3:1(9>j:2;`?>o4:k0;6):?e;11f>=h:;l1<7*;0d816c=;57>51;294~"38l09>o5`22294?"38l09>k54}r;1>5<5sW3970??3;323>{t?o0;6?uQ7g9>555=001v5o50;0xZ=g<58:86>5?4=020>6?d3ty94?4?:3y]6=4<58:86?05?=:::0qpl>:182>5<7s-9m>7:4$2a;>46?3->;i7:>4:&2g7<3lk1d==950;&74`<5:o10q~<72;296~X50;16>5<52908yv?52909wS7=;<0;6??53ty52z\4b>;50;05290=6=4?{%63a?77<2c:=:4?:%63a?76?21b454?:%63a?>?32c357>5$52f>=?<3`92o7>5$52f>6?d32c8>o4?:%63a?55j21d>?h50;&74`<5:o10qo:?b;295?6=8r.?vP=839>6=4=:;l0q~7=:181[?53483>7?>7:p=838p1?6=:2;`?827j389j6srb07e>5<22;0=w)=l8;336>N38?1C?k=4$0a1>1be3`236=44i9c94?=n?o0;66g62;29?j4?:3:17o<72;292?6=8r.?

059j541=83.?

1698m=>=83.?;i766;:k0=f<72->;i7=6c:9j77d=83.?0c?7}Y:1801?6=:30e?xu>:3:1>vP62:?1<7<69>1v5o50;0xZ=g<5;296>{t?o0;6?uQ7g9>6=4=001v<>7:18184?:392o63;0c816c=zuk;55;092~"4k10:7:kb:k;>d50;0;6;4?:1y'05c=99>0ej:537?j45n3:1(9>j:30e?>{t:181<77}Y1;16>5<51058yv>f2909wS6n;<0;6?55j2wx454?:3y]<==::181455rs6d94?4|V>l01?6=:9;8yv7703:1>v3=8380=f=:<9h1>?h4}|`2ff<72<096;u+3b:9554<@=:=7E=i3:&2g7<3lk1b454?::k;e?6=3`=m6=44i8094?=h:181<75m29094?0=83:p(9>j:027?l76?3:1(9>j:034?>o?03:1(9>j:9:8?l>>290/8=k58898m6?d290/8=k538a8?l55j3:1(9>j:20a?>i5:o0;6):?e;01b>=zj=:i6=4>:183!27m3>:86a=2g83>!27m389j65rs3:1>5<5sW83>63=83816c=z{081<7528;<7p}7a;296~X?i2794?4<2c9~w=>=838pR564=3:1>=>0983>7}::181?4m4=52a>74a3twi=;850;796?0|,:i36<>=;I632>N4n:1/=n<54e`8m=>=831b4l4?::k4b?6=3`396=44o3:1>5<1683>!27m3;:;65f8983>!27m32376g79;29 16b21307d=6c;29 16b2:3h76g<2c83>!27m399n65`23d94?"38l09>k54}c63f?6=93:17>52z\1<7=::181>?h4}r;1>5<5sW3970<72;323>{t0h0;6?uQ8`9>6=4=;;h0q~67:181[>?3483>767;|q4b?6=:rT{t9921<76?d34>;n7<=f:~f4>d290>6?49{%1`7>5;c0;6?6=>3:1=n000;6):?e;::?>o41j0;6):?e;1:g>=n;;h1<7*;0d806g=5}#<9o18<:4o30e>5<#<9o1>?h4;|q1<7<72;qU>5<4=3:1>74a3ty2>7>52z\:6>;50;0:=:5rs9c94?4|V1k01?6=:20a?xu?03:1>vP78:?1<75<5s483>7=6c:?74g<5:o1vqo<::180>7<3s-9h47??1:J743=O;o90(5<50;796?0|,:i36<><;%3`6?2cj2e94?4?::k1<4<722c2>7>5;h:b>5<l1<75m11194?0=83:p(9>j:027?l76?3:1(9>j:034?>o?03:1(9>j:9:8?l>>290/8=k58898m6?d290/8=k538a8?l55j3:1(9>j:20a?>i5:o0;6):?e;01b>=zj=:26=4>:183!27m389n6a=3183>!27m389j65rs8094?4|V0801<><:034?xu0n3:1>vP8f:?2467>52z\1<7=:9991>?h4}r1e0?6=:r7:<>478:?74<<5;91vqo?50;394?6|,:l9695+3b:955><,=:n69?;;%3`6?2cj2e:<:4?:%63a?45n21v?6=:181[4?:2794?4=839~w<4=838pR4<4=3:1><4;628:<7)=i2;78yxd6>o0;6>4=:5y'7f>=99;0D9>9;I1e7>"6k;0?ho5f7g83>>o>:3:17b<72;29?g4?:3:197<56z&0g=<68:1/=n<54e`8k7>52900e?6>:188m<4=831b4l4?::k4b?6=3k;;?7>56;294~"38l0:<95f10594?"38l0:=:54i9:94?"38l03465f8883>!27m32276g<9b83>!27m392o65f33`94?"38l08>o54o30e>5<#<9o1>?h4;|`74<<7280;6=u+41g967d7>52z\:6>;68:0:=:5rs6d94?4|V>l01<><:9;8yv>f2909wS6n;<337?55j2wx>5?50;0xZ7>634;;?7=6c:p6=4=838pR?6=;<337?45n2wx?k:50;0x9464212019>6:313?x{e93:1=7>50z&0b7<33-9h47??8:&74`<39=1/=n<54e`8k460290/8=k523d8?xu50;0;6?uQ290897>52;297p}62;296~X>:2794?462:p3c<72;qU;k5229093c=z{;0;6>u229096=7<5;2965o4=08242=#;o8196srb062>5<42;0?w)=l8;335>N38?1C?k=4$03:>3=#9j818il4i6d94?=n1;0;66a=8383>>d50;0;684=:7y'7f>=9990(4?:783>5}#<9o1==:4i034>5<#<9o1=<94;h:;>5<#<9o14554i9;94?"38l03565f38a94?"38l085n54i20a>5<#<9o1??l4;n01b?6=,=:n6?t$52f>74e3f88<7>5$52f>74a32wx5?4?:3y]=7=:9991=<94}r5e>5<5sW=m70??3;::?xu?i3:1>vP7a:?246<4:k1v?6>:181[4?927:<>4<9b9~w7>52909wS<72:?246<5:o1v>h;:181877;32370:?9;004>{zj80;6<4?:1y'7c4=<2.8o54>099'05c=<8>0(j:30e?>{t:181<76s|9383>7}Y1;16>5<5939~w2`=838pR:h4=3:1>2`7>63483>76n;<39551<,:l9685r}c36g?6=;3818v*h<;%32=?0<,8i969jm;h5e>5<i50;0;66g=8083>>o>:3:17d6n:188m2`=831i===50;494?6|,=:n6<>;;h323?6=,=:n65<#<9o14454i2;`>5<#<9o1?4m4;h11f?6=,=:n6>;i7<=f:9~f16>290:6=4?{%63a?45j2e9?=4?:%63a?45n21v4<50;0xZ<4<58:86028;=>{t0h0;6?uQ8`9>555=;;h0q~<71;296~X50816===538a8yv4?:3:1>vP=839>555=:;l0q~=i4;296~;68:03463;088175=zuk;1<7?50;2x 6`52=1/?n6511:8 16b2=;?7)?l2;6gf>i68>0;6):?e;01b>=z{;296=4={_0;6>;50;094?5rs8094?4|V0801?6=:808yv1a2909wS9i;<0;6?1a3ty96=4<{<0;6?4?92794?47a:?2>4603-9m>7;4}|`0f0<72:0969u+3b:9557<@=:=7E=i3:&25<<13-;h>7:kb:k4b?6=3`396=44o3:1>5<c387`g=h:181<75f29394?=n1;0;66g7a;29?l1a2900n<><:185>5<7s->;i7??4:k252<72->;i7?>7:9j<=<72->;i767;:k;=?6=,=:n6574;h1:g?6=,=:n6>7l;:k06g<72->;i7==b:9l67`=83.?50z&74`<5:k1d>>>50;&74`<5:o10q~7=:181[?534;;?7?>7:p3c<72;qU;k521119<<=z{1k1<77}Y:1;01<><:2;`?xu50;0;6?uQ290894642;8m7p}7}:9991455241;9666:183!5a:3>0(>m7:02;?!27m3>:86*>c387`g=h99=1<7*;0d816c=7>52z\1<7=::181>5<4}r;1>5<5sW3970<72;;1?xu0n3:1>vP8f:?1<7<0n2wx>7>53z?1<7<50816>5<58`9>5?77?2.8j?4:;|a7g?=8391>7:t$2a;>4663A>;:6F2.:o?4;dc9j3c<722c2>7>5;n0;6?6=3k83>7>55;092~"4k10:<>5+1b090ad5<>o0n3:17o??3;292?6=8r.?

059j541=83.?

1698m=>=83.?;i766;:k0=f<72->;i7=6c:9j77d=83.?:27:<>4>169~w2`=838pR:h4=020>=?{t:181<77573twi=7>51;294~"4n;0?7)=l8;33<>"38l0?=95+1b090ad63=838:6>{t?o0;6?uQ7g9>6=4=?o1v?4?:2y>6=4=:1;01?6=:9c894<68>1/?k<55:~f13e29096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo?:e;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd6>10;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj;l1<7=50;2x 6e?2;8o7E:?6:J0b6=#<9o1>?m4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo=l6;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd4k>0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21=?l4H525?M5a;2.?10c?k;:a06>=8391<7>t$2a;>74c3A>;:6Fj:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo?71;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd60;0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=4?:283>5}#;j21>?j4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rb042>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f40529096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo?93;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd6>=0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21>?j4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rb0`4>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f4d?29096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo?m9;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd6jh0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21>?j4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rb57f>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f13a29096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo:90;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd3>80;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21?<5G4148L6`43->;i7=?;%3`6?2cj2c:10c?7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{e;kn1<7=50;2x 6e?2;8o7E:?6:J0b6=#<9o1>?m4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo=me;297?6=8r.8o54<1:J743=O;o90(9>j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c1ab?6=:3:1h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?5}#;j21=?l4H525?M5a;2.?10c?k;:a7f7=8391<7>t$2a;>67<@=:=7E=i3:&74`<482.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?j4583:1(9>j:30e?>{e;j81<7=50;2x 6e?2:;0D9>9;I1e7>"38l08<6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wi?n=50;194?6|,:i36?N4n:1/8=k523a8 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c1`0?6=;3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<5:m1C8=84H2d0?!27m389o6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wi?o950;194?6|,:i36>?4H525?M5a;2.?10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm3c:94?5=83:p(>m7:30g?M27>2B8j>5+41g967e<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?;:6Fj:034?>i5:90;6):?e;01b>=zj0=1<7<50;2x 6e?288i7E:?6:J0b6=#<9o1;n5+1b090ad5$52f>74a3A>;h65rb8:94?4=83:p(>m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj031<7<50;2x 6e?288i7E:?6:J0b6=#<9o1;n5+1b090ad5$52f>74a3A>;h65rb8c94?5=83:p(>m7:238L1613A9m?6*;0d804>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?h<;%63a?573-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698m46d290/8=k51058?j4583:1(9>j:30e?>{e1j0;6>4?:1y'7f>=:;n0D9>9;I1e7>"38l09>n5+1b090ad5$52f>47032e9>=4?:%63a?45n21vn<9>:181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg70;3:1?7>50z&0g=<5:m1C8=84H2d0?!27m389o6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wi=::50;194?6|,:i36>?4H525?M5a;2.?10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm16794?4=83:p(>m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj8==6=4=:183!5d03;9n6F;079K7c5<,=:n6:m4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k109>i5G4148L6`43->;i7<=c:&2g7<3lk1b==o50;&74`<69>10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm16c94?5=83:p(>m7:238L1613A9m?6*;0d804>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?t$2a;>74c3A>;:6Fj:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`203<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi=9950;094?6|,:i36<N4n:1/8=k57b9'5f4=6:18'05c=98=07b<=0;29 16b2;8m7E:?d:9~f42?29086=4?{%1`o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21?<5G4148L6`43->;i7=?;%3`6?2cj2c:10c?4`83>6<729q/?n65309K050<@:l87):?e;13?!7d:3>on6g>0`83>!27m3;:;65f11`94?"38l0:=:54o303>5<#<9o1>?h4;|`20g<72=0;6=u+3b:967c<@=:=7E=i3:&74`<5:j1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>o68j0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo?7f;297?6=8r.8o54=2e9K050<@:l87):?e;01g>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?t$2a;>44e3A>;:6F290/8=k51058?j4583:1(9>j:30e?M27l21vn<7>:181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg7>:3:1?7>50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo?64;290?6=8r.8o54<2:J743=O;o90(9>j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65f11a94?"38l0:=:54o303>5<#<9o1>?h4;|`2<0<72:0;6=u+3b:974=O<9<0D>h<;%63a?573-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698k747290/8=k523d8?xd60?0;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj8236=4=:183!5d03;9n6F;079K7c5<,=:n6:m4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<5:m1C8=84H2d0?!27m389o6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wi=5l50;694?6|,:i36><4H525?M5a;2.?10e<>m:18'05c=98=07d??c;29 16b28;<76a=2183>!27m389j65rb2c5>5<5290;w)=l8;31f>N38?1C?k=4$52f>2e<,8i969jm;h33=?6=,=:n6;i7<=f:J74a=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`0e<<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi?lo50;094?6|,:i36<N4n:1/8=k57b9'5f4=6:18'05c=98=07b<=0;29 16b2;8m7E:?d:9~f6ge29096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo=nc;297?6=8r.8o54<1:J743=O;o90(9>j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c1b`?6=;3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<5:m1C8=84H2d0?!27m389o6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wi?lh50;694?6|,:i36><4H525?M5a;2.?10e<>m:18'05c=98=07d??c;29 16b28;<76a=2183>!27m389j65rb2c4>5<4290;w)=l8;01`>N38?1C?k=4$52f>74d3-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698k747290/8=k523d8?xu5=3:1>vP=5:?11?4?:2wx>i4?:gy]6a=::m094?52192955g<582:6<>6;<355?77i27::?4>089>5g1=99k01;6?:0:6;<3;e?77i278m44>089~w7c=838p1?j5899>6c<5:91v:=50;;xZ25<50>15?523`69=7=:;ko1==l4=8;955?<58=?6<>m;<3;a?77i27:484>0c9>7dd=9930q~66:1826~X?1272879i;<1b0?1a348o6:h4=8293c=::821;k521c793c=:9;6>o04084b>;6=j0;4j007}:19094?522g824d=z{0;1<7:t=829=7=:9k?1455214d9<==:;j=1>?>4}r;7>5<4s43?6?6=;<603?77127:8l4>0`9~w<3=838p14:5899>=f<5:91v4850;0x9<0=:;:014l511`8yv?02909w078:303?8?f28:i7p}68;296~;>0389<636b;33g>{t100;6?u2988165=:1j0:?>4=8`955g747343h6<>n;|q26`<72:qU=?k4=062><4<58>>6<>6;|q204<72;>i3;;m63>70824<=z{8>?6=4={_370>;6=5rs066>5<5s4;?97<=0:?20=<68k1v<:9:181873>389<63>48824g=z{8><6=4={<373?45827:8o4>0b9~w42?2909w0?;8;014>;65<5s4;?57<=0:?20g<68h1v<:n:181873i389<63>4c824g=z{8>n6=46{_37a>;3=l0:46f34;?;7??9:?2<`<68k16=5;511c896ga28:i7p}>5b83>7}:95<4=06;>46f3ty:9i4?:3y>50e=1;16=8k52328yv72n3:1>vP>5g9>50`=:180q~?90;296~;6=o02>63>648165=z{8<:6=4={<355?45827::84>0`9~w4052909w0?92;014>;6>=0:5<5s4;=?7<=0:?221<68k1v<8;:181871<389<63>64824g=z{8<=6=4={<352?4?:27::<4>0c9~w4002909w0?96;;1?8710389<6s|17c94?3|V893824d=:;ho1==o4}r35b?6=:rT::k5217d96=452z?22c<>:27:;?4=219~w4162909w0?81;014>;6?>0:5<5s4;79824d=z{8=>6=4={<341?45827:;54>0c9~w4112909w0?86;014>;6?h0:5<5s4;<;7<=0:?237<68h1v<97:1818700389<63>78824g=z{8=26=4={<34=?45827:;l4>0`9~w41f2909w0?8a;014>;6?;0:5<5sW;7d81<7=z{8=m6=4={<34a??534;3?7<=0:p5=6=838p1<6?:303?87?;3;;m6s|19394?4|582:6?52;8;70?73;33f>{t91>1<7=><582i6?>28:j7p}>8783>7}:91<1>?>4=0::>46e3ty:4:4?:3y>5=1=:;:01<6m:02b?xu6010;6?u219:9676<582i6<>l;|q2<<<72;q6=575232894>f28:i7p}>8`83>7}:91k1>?>4=0:a>46e3ty:4n4?:3y>5=e=:1801<6?:02a?xu60m0;6?u219g9676<583?6<>m;|q2<`<72;q6=5h5232894?528:i7p}>8g83>7}:90:1>?>4=0;0>46f3ty:5=4?:3y>5<7=:;:01<7<:02a?xu6180;6?u21809676<583?6<>n;|q2=7<72;q6=4=5232894?328:h7p}>b483>7}Y9k?01?>4}r3a3?6=:r7:n:4=219>5gd=99k0q~?m8;296~;6j109>=521cc955g52z?2f<<5:916=oo511`8yv7ei3:1>v3>b`8165=:9kh1==l4}r3ag?6=:r7:nn4=839>5g1=99h0q~?md;296~;6jj02>63>bd8165=z{;;36=4:{<0g><4<5;;36?6=;<3ag?>?34;=:767;<0e>46e3ty9=44?:2y>64>=1;16=5m5899>5<2=:;:0q~<>c;29e~;38>0:<:52958;e>;4i=03m63=d;:b?87e=32j70?:f;:b?870m32j70?mc;:b?871>32j70?7c;:b?xu4::0;6?uQ3318910728:27p}2}:;h>1>5<4=2`e>46>343=6<>m;<341?77127:5<4>089>5=0=99301>on:02:?xu4i<0;6?u23`69<==:;h=1>?>4}r1b2?6=:r78m;4=219>7de=99k0q~=n8;296~;4i109>=523`a955d52z?0e<<5:916?lj511c8yv5fi3:1>v37d1=99h0q~=nc;296~;4ij09>=523`g955d52z?0ea<5:916?lh511a8yv5fm3:1>v37d1=99k0q~=m5;29<~;4j<094?523b4955?<5:i;6<>6;<1a3?77i27:;;4>089>5=`=99h01<68:02:?85f03;;56s|3c494?4|5:h>64<4=2`;>7473ty8n:4?:3y>7g1=:;:01>l7:02a?xu4j00;6ou2248:6>;4j0094?523b5955g<5:ho6<>m;<1`5?77i278n54>0`9>525=99h01<98:02b?87?n3;;m63>89824<=:;h<1==74}r1ae?6=:r78n4462:?0ff<5:91v>lm:18185ej389<634>0`9~w6db2909w0=me;014>;4k;0:5<5s49ij7<=0:?0g7<68k1v>m?:18185d8389<630`9~w6e52909w0=l2;014>;4k:0:5<5s49h?7<=0:?0g1<68h1v>m;:18185d<389<636=4={<1`2?458278o:4>0c9~w1412909wS:=6:?71c<6801v9=9:181[24>27??54=219~w1502909w0:<7;014>;3;10:5<5sW>>m63;5c8165=z{=?o6=4={<66f?77127?:?4=219~w13b2909w0::e;014>;3>;0:5<5s4>>j7<=0:?724<68h1v98?:1818218389<63;60824g=z{=<:6=4={<655?45827?:?4>0c9~w10?2909wS:98:?77=<68h1v986:181[21127:9h4>089~w10c2908wS:9d:?22=<68016=;=511;8yv2f>3:1?vP;a79>5gc=99301d20801<6=:02b?822m3;;n6srb53;>5<>2821=iuG3g18 6e?28:=7)?l1;1a0>i3km0;66a;4d83>>o3:?0;66a83;29?l`a2900e>;j:188m6042900e>87:188m4752900n9>8:182>5<7s-9h47??8:J743=O;o90(9>j:537?!7d:3>on6a>0683>!27m389j65rb56f>5<22;0=w)=l8;336>N38?1C?k=4$0a1>1be3`236=44i9c94?=n?o0;66g62;29?j4?:3:17o<72;292?6=8r.?

059j541=83.?

1698m=>=83.?;i766;:k0=f<72->;i7=6c:9j77d=83.?0c?7}Y:1801?6=:30e?xu>:3:1>vP62:?1<7<69>1v5o50;0xZ=g<5;296>{t?o0;6?uQ7g9>6=4=001v<>7:18184?:392o63;0c816c=zuk9>j7>55;092~"4k10:7:kb:k;>d50;0;6;4?:1y'05c=99>0ej:537?j45n3:1(9>j:30e?>{t:181<77}Y1;16>5<51058yv>f2909wS6n;<0;6?55j2wx454?:3y]<==::181455rs6d94?4|V>l01?6=:9;8yv7703:1>v3=8380=f=:<9h1>?h4}|`021<72<096;u+3b:9554<@=:=7E=i3:&2g7<3lk1b454?::k;e?6=3`=m6=44i8094?=h:181<75m29094?0=83:p(9>j:027?l76?3:1(9>j:034?>o?03:1(9>j:9:8?l>>290/8=k58898m6?d290/8=k538a8?l55j3:1(9>j:20a?>i5:o0;6):?e;01b>=zj=:i6=4>:183!27m3>:86a=2g83>!27m389j65rs3:1>5<5sW83>63=83816c=z{081<7528;<7p}7a;296~X?i2794?4<2c9~w=>=838pR564=3:1>=>0983>7}::181?4m4=52a>74a3twi?k850;796?0|,:i36<>=;I632>N4n:1/=n<54e`8m=>=831b4l4?::k4b?6=3`396=44o3:1>5<1683>!27m3;:;65f8983>!27m32376g79;29 16b21307d=6c;29 16b2:3h76g<2c83>!27m399n65`23d94?"38l09>k54}c63f?6=93:17>52z\1<7=::181>?h4}r;1>5<5sW3970<72;323>{t0h0;6?uQ8`9>6=4=;;h0q~67:181[>?3483>767;|q4b?6=:rT{t9921<76?d34>;n7<=f:~f61=8391>7:t$2a;>4663A>;:6F2.:o?4;dc9j3c<722c2>7>5;n0;6?6=3k83>7>55;092~"4k10:<>5+1b090ad5<>o0n3:17o??3;292?6=8r.?

059j541=83.?

1698m=>=83.?;i766;:k0=f<72->;i7=6c:9j77d=83.?:27:<>4>169~w2`=838pR:h4=020>=?{t:181<77573twi=7>51;294~"4n;0?7)=l8;33<>"38l0?=95+1b090ad63=838:6>{t?o0;6?uQ7g9>6=4=?o1v?4?:2y>6=4=:1;01?6=:9c894<68>1/?k<55:~f22=8381<7>t$2a;>44e3A>;:6F290/8=k51058?j4583:1(9>j:30e?M27l21vn:;50;194?6|,:i36?N4n:1/8=k523a8 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c1a>5<5290;w)=l8;31f>N38?1C?k=4$52f>2e<,8i969jm;h33=?6=,=:n6;i7<=f:J74a=>87>52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`710<72:0;6=u+3b:967b<@=:=7E=i3:&74`<5:j1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>i5:90;6):?e;01b>=zj:l36=4<:183!5d039:7E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032e9>=4?:%63a?45n21vn>89:181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg51?3:1?7>50z&0g=<5:m1C8=84H2d0?!27m389o6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wi?;?50;094?6|,:i36<N4n:1/8=k57b9'5f4=6:18'05c=98=07b<=0;29 16b2;8m7E:?d:9~f60529086=4?{%1`o68h0;6):?e;323>=n99h1<7*;0d8252=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`7g`<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi8nh50;194?6|,:i36?N4n:1/8=k523a8 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c1ee?6=;3:1h<;%63a?45k2.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?j4583:1(9>j:30e?>{e;oh1<7=50;2x 6e?2;8o7E:?6:J0b6=#<9o1>?m4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo=ic;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd4nm0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21>?j4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rs2594?4|5:=1>5<4=67955g2`<5:21>?>4}r1b>5<5s49<64<4=2`9676;4n10:6;<1eg?7712wx;94?:3y>31<5:916;84>0c9~wc`=832`<5:?m6:h4=247>2`<5:l=6:h4=2:955?7>53z\257=:<46e3ty9=n4?:4y>051=99=019:j:9c8963a21k01>8;:9c896`121k0q~=:e;297~X4=l16?;<511c896`e28:j7p}<5g83>7}:;5<4=2da>46e3ty8:=4?:3y>70`=0116?;<52328yv5193:1>v3<608165=:;?81==l4}r157?6=;rT8:>52375955g<5:lj6<>n;|q021<72;q6?;:5290896`f28:i7p}<6483>7}:;?>14552375967652z?023<5:916?;9511`8yv5a>3:1>v3h6:18085a>32370:;e;:;?85am389<6s|3gc94?4|5:lj6?{t;oi1<7747349mi7??b:p7cb=838p1>hk:303?85am3;;m6s|43494?2|V=8=709;:02:?85e28:270:lf;33e>{t<=o1<76s|44194?4|5=>n64<4=576>7473ty?994?:3y>002=:;:019;::02a?xu3km0;6?uQ4bf891ea2;8;7p};cd83>7}:?>4=5ae>46e3twi8<750;37>d7=imqC?k=4$2a;>4253-;h=7=m4:k7ga<722e?>n4?::k70`<722c:o=4?::m26`<722cjo7>5;h6:f?6=3`;=j7>5;h:5>5<>i3i?0;66g>bg83>>o3;<0;66a;5783>>i3>:0;66a=5;29?j2e?3:17o:?7;295?6=8r.8o54>099K050<@:l87):?e;620>"6k;0?ho5`11594?"38l09>k54}c6a3?6==381:v*h<;%3`6?2cj2c347>5;h:b>5<l1<75f9383>>i50;0;66l=8383>3<729q/8=k51168m470290/8=k51058?l>?290/8=k58998m=?=83.?j:30e?>{e<9h1<7?50;2x 16b2=;?7b<=f;29 16b2;8m76s|29094?4|V;2970<72;01b>{t1;0;6?uQ939>6=4=98=0q~6n:181[>f3483>7==b:p<=<72;qU45522909<==z{>l1<752130q~??8;296~;50;085n5241`967`53;090~"4k10:<<5G4148L6`43-;h>7:kb:k4b?6=3`396=44o3:1>5<c387`g=h:181<75f29394?=n1;0;66g7a;29?l1a2900n<><:185>5<7s->;i7??4:k252<72->;i7?>7:9j<=<72->;i767;:k;=?6=,=:n6574;h1:g?6=,=:n6>7l;:k06g<72->;i7==b:9l67`=83.?50z&74`<5:k1d>>>50;&74`<5:o10q~7=:181[?534;;?7?>7:p3c<72;qU;k521119<<=z{1k1<77}Y:1;01<><:2;`?xu50;0;6?uQ290894642;8m7p}7}:9991455241;9666:183!5a:3>0(>m7:02;?!27m3>:86*>c387`g=h99=1<7*;0d816c=7>52z\1<7=::181>5<4}r;1>5<5sW3970<72;;1?xu0n3:1>vP8f:?1<7<0n2wx>7>53z?1<7<50816>5<58`9>5?77?2.8j?4:;|ag<<72:0969u+3b:9557<@=:=7E=i3:&2g7<3lk1b;k4?::k:6?6=3f83>7>5;c0;6?6==381:v*5<50z&74`<68=1b=<950;&74`<69>10e5650;&74`>32c85n4?:%63a?5>k21b??l50;&74`<4:k10c?4<729q/8=k523`8k757290/8=k523d8?xu>:3:1>vP62:?246<69>1v:h50;0xZ2`<58:86574}r:b>5<5sW2j70??3;11f>{t:1;1<7{t;o>1<7=><5=:26?=?;|a5?6=93:15<#<9o1>?h4;|q1<7<72;qU>5<4=3:1>7>53ty2>7>52z\:6>;50;02>6s|7g83>7}Y?o16>5<57g9~w7<72:q6>5<5293897>521k01<4>069'7c4==2wvnn;50;196?2|,:i36<>>;I632>N4n:1/=n<54e`8m2`=831b5?4?::m1<7<722h94?4?:481>3}#;j21===4$0a1>1be3f83>7>5;h0;5?6=3`396=44i9c94?=n?o0;66l>0283>3<729q/8=k51168m470290/8=k51058?l>?290/8=k58998m=?=83.?j:30e?>{e<931<7?50;2x 16b2;8i7b<<0;29 16b2;8m76s|9383>7}Y1;16===51058yv1a2909wS9i;<337?>>3ty3m7>52z\;e>;68:08>o5rs3:2>5<5sW83=63>0280=f=z{;296=4={_0;6>;68:09>k5rs2d7>5<5s4;;?767;<63=?4482wvn<4?:083>5}#;o8186*1be3f;;;7>5$52f>74a32wx>5<50;0xZ7>53483>7<72:p=7<72;qU5?522909=7=z{>l1<752>l0q~<50;1x97>52;2:70<72;:b?87=99=0(>h=:49~yge629086?4;{%1`5<50;9a6=4=83?1>78t$2a;>4643-;h>7:kb:m1<7<722c94<4?::k:6?6=3`2j6=44i6d94?=e9991<7850;2x 16b28:?7d?>7;29 16b28;<76g78;29 16b21207d66:18'05c=0010e>7l:18'05c=;0i07d==b;29 16b2:8i76a=2g83>!27m389j65rb52:>5<6290;w):?e;01f>i5;90;6):?e;01b>=z{081<7479:p52z\1<4=:9991?4m4}r0;6?6=:rT94?52111967`52z?246t$2d1>1=#;j21==64$52f>1733-;h>7:kb:m242<72->;i7<=f:9~w7>52909wS<72:?1<7<50;1v4<50;0xZ<4<5;2964<4}r5e>5<5sW=m70<72;5e?xu52908w0<72;0;5>;50;03m63>:024?!5a:3?0qplmd;297?4=009K050<@:l87)?l2;6gf>o0n3:17d7=:188k7>52900n?6=:186>7<1s-9h47??3:&2g7<3lk1d>5<50;9j6=7=831b5?4?::k;e?6=3`=m6=44b020>5<1290;w):?e;330>o69>0;6):?e;323>=n010;6):?e;:;?>o?13:1(9>j:9;8?l5>k3:1(9>j:2;`?>o4:k0;6):?e;11f>=h:;l1<7*;0d816c=;57>51;294~"38l09>o5`22294?"38l09>k54}r;1>5<5sW3970??3;323>{t?o0;6?uQ7g9>555=001v5o50;0xZ=g<58:86>5?4=020>6?d3ty94?4?:3y]6=4<58:86?05?=:::0qpl>:182>5<7s-9m>7:4$2a;>46?3->;i7:>4:&2g7<3lk1d==950;&74`<5:o10q~<72;296~X50;16>5<52908yv?52909wS7=;<0;6??53ty52z\4b>;50;04=:5y'7f>=99;0D9>9;I1e7>"6k;0?ho5f7g83>>o>:3:17b<72;29?g4?:3:197<56z&0g=<68:1/=n<54e`8k7>52900e?6>:188m<4=831b4l4?::k4b?6=3k;;?7>56;294~"38l0:<95f10594?"38l0:=:54i9:94?"38l03465f8883>!27m32276g<9b83>!27m392o65f33`94?"38l08>o54o30e>5<#<9o1>?h4;|`74<<7280;6=u+41g967d7>52z\:6>;68:0:=:5rs6d94?4|V>l01<><:9;8yv>f2909wS6n;<337?55j2wx>5?50;0xZ7>634;;?7=6c:p6=4=838pR?6=;<337?45n2wx?k:50;0x9464212019>6:313?x{e93:1=7>50z&0b7<33-9h47??8:&74`<39=1/=n<54e`8k460290/8=k523d8?xu50;0;6?uQ290897>52;297p}62;296~X>:2794?462:p3c<72;qU;k5229093c=z{;0;6>u229096=7<5;2965o4=08242=#;o8196srb00f>5<42;0?w)=l8;335>N38?1C?k=4$0a1>1be3`=m6=44i8094?=h:181<75m29094?3=:3m7:020?!7d:3>on6a=8383>>o5080;66g62;29?l>f2900e:h50;9a555=83<1<7>t$52f>4633`;:;7>5$52f>47032c347>5$52f>=><3`226=4+41g9<<=5$52f>64e32e9>k4?:%63a?45n21vn9>6:182>5<7s->;i7<=b:m175<72->;i7<=f:9~w<4=838pR4<4=020>4703ty52z\4b>;68:0356s|8`83>7}Y0h16===533`8yv4?93:1>vP=809>555=;0i0q~<72;296~X50;16===523d8yv5a<3:1>v3>028;<>;38009?=5r}c394?7=83:p(>h=:59'7f>=9920(9>j:537?!7d:3>on6a>0683>!27m389j65rs3:1>5<5sW83>63=8381<7=z{081<752080q~9i:181[1a3483>79i;|q1>5<4s483>7<71:?1<78;%1e6?353;090~"4k10:<<5G4148L6`43-;h>7:kb:k4b?6=3`396=44o3:1>5<c387`g=h:181<75f29394?=n1;0;66g7a;29?l1a2900n<><:185>5<7s->;i7??4:k252<72->;i7?>7:9j<=<72->;i767;:k;=?6=,=:n6574;h1:g?6=,=:n6>7l;:k06g<72->;i7==b:9l67`=83.?50z&74`<5:k1d>>>50;&74`<5:o10q~7=:181[?534;;?7?>7:p3c<72;qU;k521119<<=z{1k1<77}Y:1;01<><:2;`?xu50;0;6?uQ290894642;8m7p}7}:9991455241;9666:183!5a:3>0(>m7:02;?!27m3>:86*>c387`g=h99=1<7*;0d816c=7>52z\1<7=::181>5<4}r;1>5<5sW3970<72;;1?xu0n3:1>vP8f:?1<7<0n2wx>7>53z?1<7<50816>5<58`9>5?77?2.8j?4:;|a0d0=8391>7:t$2a;>4663A>;:6F5;h;1>5<>d68:0;6;4?:1y'05c=99>0ej:30a?j4483:1(9>j:30e?>{t1;0;6?uQ939>555=98=0q~9i:181[1a34;;?766;|q;e?6=:rT3m63>02806g=z{;2:6=4={_0;5>;68:085n5rs3:1>5<5sW83>63>02816c=z{:l?6=4={<337?>?34>;57<<0:~f4<7280;6=u+3g090>"4k10:<55+41g9042<,8i969jm;n333?6=,=:n6?l01?6=:6d8yv4=839p1?6=:3:2?84?:32j70?51158 6`52<1vqo:9d;297?4=009K050<@:l87)?l2;6gf>o0n3:17d7=:188k7>52900n?6=:186>7<1s-9h47??3:&2g7<3lk1d>5<50;9j6=7=831b5?4?::k;e?6=3`=m6=44b020>5<1290;w):?e;330>o69>0;6):?e;323>=n010;6):?e;:;?>o?13:1(9>j:9;8?l5>k3:1(9>j:2;`?>o4:k0;6):?e;11f>=h:;l1<7*;0d816c=;57>51;294~"38l09>o5`22294?"38l09>k54}r;1>5<5sW3970??3;323>{t?o0;6?uQ7g9>555=001v5o50;0xZ=g<58:86>5?4=020>6?d3ty94?4?:3y]6=4<58:86?05?=:::0qpl>:182>5<7s-9m>7:4$2a;>46?3->;i7:>4:&2g7<3lk1d==950;&74`<5:o10q~<72;296~X50;16>5<52908yv?52909wS7=;<0;6??53ty52z\4b>;50;0>d50;0;684=:7y'7f>=9990(4?:783>5}#<9o1==:4i034>5<#<9o1=<94;h:;>5<#<9o14554i9;94?"38l03565f38a94?"38l085n54i20a>5<#<9o1??l4;n01b?6=,=:n6?t$52f>74e3f88<7>5$52f>74a32wx5?4?:3y]=7=:9991=<94}r5e>5<5sW=m70??3;::?xu?i3:1>vP7a:?246<4:k1v?6>:181[4?927:<>4<9b9~w7>52909wS<72:?246<5:o1v>h;:181877;32370:?9;004>{zj80;6<4?:1y'7c4=<2.8o54>099'05c=<8>0(j:30e?>{t:181<76s|9383>7}Y1;16>5<5939~w2`=838pR:h4=3:1>2`7>63483>76n;<39551<,:l9685r}c662?6=;3818v*h<;%3`6?2cj2c5;h;1>5<5<22;0=w)=l8;337>"6k;0?ho5`29094?=n:1;1<75f9383>>o?i3:17d9i:188f464290=6=4?{%63a?77<2c:=:4?:%63a?76?21b454?:%63a?>?32c357>5$52f>=?<3`92o7>5$52f>6?d32c8>o4?:%63a?55j21d>?h50;&74`<5:o10qo:?9;295?6=8r.?<:20a?xu5080;6?uQ293894642:3h7p}=8383>7}Y:1801<><:30e?xu4n=0;6?u21119<==:<931>>>4}|`2>5<6290;w)=i2;68 6e?28:37):?e;620>"6k;0?ho5`11594?"38l09>k54}r0;6?6=:rT94?5229096=46=4=0h16=7??7:&0b7<23twij44?:281>1}#;j21==?4H525?M5a;2.:o?4;dc9j3c<722c2>7>5;n0;6?6=3k83>7>55;092~"4k10:<>5+1b090ad5<>o0n3:17o??3;292?6=8r.?

059j541=83.?

1698m=>=83.?;i766;:k0=f<72->;i7=6c:9j77d=83.?:27:<>4>169~w2`=838pR:h4=020>=?{t:181<77573twi=7>51;294~"4n;0?7)=l8;33<>"38l0?=95+1b090ad63=838:6>{t?o0;6?uQ7g9>6=4=?o1v?4?:2y>6=4=:1;01?6=:9c894<68>1/?k<55:~f6`a29086?4;{%1`5<50;9a6=4=83?1>78t$2a;>4643-;h>7:kb:m1<7<722c94<4?::k:6?6=3`2j6=44i6d94?=e9991<7850;2x 16b28:?7d?>7;29 16b28;<76g78;29 16b21207d66:18'05c=0010e>7l:18'05c=;0i07d==b;29 16b2:8i76a=2g83>!27m389j65rb52:>5<6290;w):?e;01f>i5;90;6):?e;01b>=z{081<7479:p52z\1<4=:9991?4m4}r0;6?6=:rT94?52111967`52z?246t$2d1>1=#;j21==64$52f>1733-;h>7:kb:m242<72->;i7<=f:9~w7>52909wS<72:?1<7<50;1v4<50;0xZ<4<5;2964<4}r5e>5<5sW=m70<72;5e?xu52908w0<72;0;5>;50;03m63>:024?!5a:3?0qpl<2183>6<52=q/?n651138L1613A9m?6*>c387`g=n?o0;66g62;29?j4?:3:17o<72;291?4=>r.8o54>029'5f4=62900e4<50;9j5;c337?6=>3:1=n000;6):?e;::?>o41j0;6):?e;1:g>=n;;h1<7*;0d806g=5}#<9o1>?l4o313>5<#<9o1>?h4;|q:6?6=:rT2>63>028252=z{>l1<7f34;;?7==b:p6=7=838pR?6>;<337?5>k2wx>5<50;0xZ7>534;;?7<=f:p7c2=838p1<><:9:8916>2;9;7psm1;295?6=8r.8j?4;;%1`8:18'05c=:;l07p}=8383>7}Y:1801?6=:3:1?xu>:3:1>vP62:?1<7<>:2wx;k4?:3y]3c=::181;k5rs383>6}::181>5?4=3:1>=g<580:<:5+3g091>{zj>=1<7=52;6x 6e?28::7E:?6:J0b6=#9j818il4i6d94?=n1;0;66a=8383>>d50;0;684=:7y'7f>=9990(4?:783>5}#<9o1==:4i034>5<#<9o1=<94;h:;>5<#<9o14554i9;94?"38l03565f38a94?"38l085n54i20a>5<#<9o1??l4;n01b?6=,=:n6?t$52f>74e3f88<7>5$52f>74a32wx5?4?:3y]=7=:9991=<94}r5e>5<5sW=m70??3;::?xu?i3:1>vP7a:?246<4:k1v?6>:181[4?927:<>4<9b9~w7>52909wS<72:?246<5:o1v>h;:181877;32370:?9;004>{zj80;6<4?:1y'7c4=<2.8o54>099'05c=<8>0(j:30e?>{t:181<76s|9383>7}Y1;16>5<5939~w2`=838pR:h4=3:1>2`7>63483>76n;<39551<,:l9685r}c;g>5<42;0?w)=l8;335>N38?1C?k=4$0a1>1be3`=m6=44i8094?=h:181<75m29094?3=:3m7:020?!7d:3>on6a=8383>>o5080;66g62;29?l>f2900e:h50;9a555=83<1<7>t$52f>4633`;:;7>5$52f>47032c347>5$52f>=><3`226=4+41g9<<=5$52f>64e32e9>k4?:%63a?45n21vn9>6:182>5<7s->;i7<=b:m175<72->;i7<=f:9~w<4=838pR4<4=020>4703ty52z\4b>;68:0356s|8`83>7}Y0h16===533`8yv4?93:1>vP=809>555=;0i0q~<72;296~X50;16===523d8yv5a<3:1>v3>028;<>;38009?=5r}c394?7=83:p(>h=:59'7f>=9920(9>j:537?!7d:3>on6a>0683>!27m389j65rs3:1>5<5sW83>63=8381<7=z{081<752080q~9i:181[1a3483>79i;|q1>5<4s483>7<71:?1<78;%1e6?31}#;j21==?4H525?M5a;2.:=449;%3`6?2cj2c5;h;1>5<5<22;0=w)=l8;337>"6k;0?ho5`29094?=n:1;1<75f9383>>o?i3:17d9i:188f464290=6=4?{%63a?77<2c:=:4?:%63a?76?21b454?:%63a?>?32c357>5$52f>=?<3`92o7>5$52f>6?d32c8>o4?:%63a?55j21d>?h50;&74`<5:o10qo:?9;295?6=8r.?<:20a?xu5080;6?uQ293894642:3h7p}=8383>7}Y:1801<><:30e?xu4n=0;6?u21119<==:<931>>>4}|`2>5<6290;w)=i2;68 6e?28:37):?e;620>"6k;0?ho5`11594?"38l09>k54}r0;6?6=:rT94?5229096=46=4=0h16=7??7:&0b7<23twiin4?:281>1}#;j21==?4H525?M5a;2.:=449;%3`6?2cj2c5;h;1>5<5<22;0=w)=l8;337>"6k;0?ho5`29094?=n:1;1<75f9383>>o?i3:17d9i:188f464290=6=4?{%63a?77<2c:=:4?:%63a?76?21b454?:%63a?>?32c357>5$52f>=?<3`92o7>5$52f>6?d32c8>o4?:%63a?55j21d>?h50;&74`<5:o10qo:?9;295?6=8r.?<:20a?xu5080;6?uQ293894642:3h7p}=8383>7}Y:1801<><:30e?xu4n=0;6?u21119<==:<931>>>4}|`2>5<6290;w)=i2;68 6e?28:37):?e;620>"6k;0?ho5`11594?"38l09>k54}r0;6?6=:rT94?5229096=46=4=0h16=7??7:&0b7<23twim?4?:281>1}#;j21==?4H525?M5a;2.:=449;%3`6?2cj2c5;h;1>5<5<22;0=w)=l8;337>"6k;0?ho5`29094?=n:1;1<75f9383>>o?i3:17d9i:188f464290=6=4?{%63a?77<2c:=:4?:%63a?76?21b454?:%63a?>?32c357>5$52f>=?<3`92o7>5$52f>6?d32c8>o4?:%63a?55j21d>?h50;&74`<5:o10qo:?9;295?6=8r.?<:20a?xu5080;6?uQ293894642:3h7p}=8383>7}Y:1801<><:30e?xu4n=0;6?u21119<==:<931>>>4}|`2>5<6290;w)=i2;68 6e?28:37):?e;620>"6k;0?ho5`11594?"38l09>k54}r0;6?6=:rT94?5229096=46=4=0h16=7??7:&0b7<23twi=4;50;196?2|,:i36<>>;I632>N4n:1/=<756:&2g7<3lk1b;k4?::k:6?6=3f83>7>5;c0;6?6==381:v*5<50z&74`<68=1b=<950;&74`<69>10e5650;&74`>32c85n4?:%63a?5>k21b??l50;&74`<4:k10c?4<729q/8=k523`8k757290/8=k523d8?xu>:3:1>vP62:?246<69>1v:h50;0xZ2`<58:86574}r:b>5<5sW2j70??3;11f>{t:1;1<7{t;o>1<7=><5=:26?=?;|a5?6=93:15<#<9o1>?h4;|q1<7<72;qU>5<4=3:1>7>53ty2>7>52z\:6>;50;02>6s|7g83>7}Y?o16>5<57g9~w7<72:q6>5<5293897>521k01<4>069'7c4==2wvn<7m:180>7<3s-9h47??1:J743=O;o90(5;h;1>5<>d68:0;6;4?:1y'05c=99>0ej:30a?j4483:1(9>j:30e?>{t1;0;6?uQ939>555=98=0q~9i:181[1a34;;?766;|q;e?6=:rT3m63>02806g=z{;2:6=4={_0;5>;68:085n5rs3:1>5<5sW83>63>02816c=z{:l?6=4={<337?>?34>;57<<0:~f4<7280;6=u+3g090>"4k10:<55+41g9042<,8i969jm;n333?6=,=:n6?l01?6=:6d8yv4=839p1?6=:3:2?84?:32j70?51158 6`52<1vqo?n9;297?4=009K050<@:l87)?>9;48 4e52=ni7d9i:188m<4=831d>5<50;9a6=4=83?1>78t$2a;>4643-;h>7:kb:m1<7<722c94<4?::k:6?6=3`2j6=44i6d94?=e9991<7850;2x 16b28:?7d?>7;29 16b28;<76g78;29 16b21207d66:18'05c=0010e>7l:18'05c=;0i07d==b;29 16b2:8i76a=2g83>!27m389j65rb52:>5<6290;w):?e;01f>i5;90;6):?e;01b>=z{081<7479:p52z\1<4=:9991?4m4}r0;6?6=:rT94?52111967`52z?246t$2d1>1=#;j21==64$52f>1733-;h>7:kb:m242<72->;i7<=f:9~w7>52909wS<72:?1<7<50;1v4<50;0xZ<4<5;2964<4}r5e>5<5sW=m70<72;5e?xu52908w0<72;0;5>;50;03m63>:024?!5a:3?0qplk0;297?7=;rB8j>5+3b:95f0>i41k0;66l;1383>6<729q/?n654178L1613A9m?6*;0d8741=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~wd6=838pRl>4=531>46e3tyj=7>52z\b5>;39;0:5<5sW92n63;138165=zukim6=4<:080M5a;2.8o54>c79je5<722cj=7>5;n1:f?6=3k>:>7>53;294~"4k10?<85G4148L6`43->;i7:?4:&2g7<3lk1b==o50;&74`<69>10e<>m:18'05c=98=07b<=0;29 16b2;8m76s|a183>7}Yi9168<<511`8yvg62909wSo>;<626?77i2wx?4l50;0xZ6?e34>:>7<=0:~ffc=8391=7=tH2d0?!5d03;h:6gn0;29?lg62900c>7m:188f17529086=4?{%1`o68h0;6):?e;323>=n99h1<7*;0d8252=52z\b4>;39;0:6<62:qC?k=4$2a;>4e13`k;6=44i`394?=h;0h1<75m40094?5=83:p(>m7:526?M27>2B8j>5+41g9052<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?5}#;j21?<5G4148L6`43->;i7=?;%3`6?2cj2c:10c?6<729q/?n65309K050<@:l87):?e;13?!7d:3>on6g>0`83>!27m3;:;65f11`94?"38l0:=:54o303>5<#<9o1>?h4;|`:b?6=:3:1h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`067<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi8=?50;094?6|,:i36<N4n:1/8=k57b9'5f4=6:18'05c=98=07b<=0;29 16b2;8m7E:?d:9~f11129096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo:nf;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd3jj0;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{ekj0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|``N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?i0(j:034?>i5:90;6):?e;01b>N38m10qom;:180>5<7s-9h47=>;I632>N4n:1/8=k5319'5f4=n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rbcd94?4=83:p(>m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zjj:1<7=50;2x 6e?2:;0D9>9;I1e7>"38l08<6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wih?4?:283>5}#;j21?<5G4148L6`43->;i7=?;%3`6?2cj2c:10c?j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}cf5>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f2?=8381<7>t$2a;>44e3A>;:6F290/8=k51058?j4583:1(9>j:30e?M27l21vn<9k:181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg21<3:1>7>50z&0g=<6:k1C8=84H2d0?!27m3=h7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=O<9n07pl;6483>7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{e?m4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo::9;297?6=8r.8o54<1:J743=O;o90(9>j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c3bf?6=;3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`2e`<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi=lh50;094?6|,:i36<N4n:1/8=k57b9'5f4=6:18'05c=98=07b<=0;29 16b2;8m7E:?d:9~f4d729086=4?{%1`;:6Fj:034?>i5:90;6):?e;01b>=zj8h:6=4<:183!5d0389h6F;079K7c5<,=:n6?10c?b383>1<729q/?n65339K050<@:l87):?e;13?!7d:3>on6g>0`83>!27m3;:;65f11`94?"38l0:=:54i02`>5<#<9o1=<94;n014?6=,=:n6?t$2a;>74c3A>;:6Fj:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`2e4<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi=l<50;094?6|,:i36<N4n:1/8=k57b9'5f4=6:18'05c=98=07b<=0;29 16b2;8m7E:?d:9~f4g429096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo?n4;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd6i<0;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj8k<6=4::183!5d03987E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032c:10c?a983>6<729q/?n65309K050<@:l87):?e;13?!7d:3>on6g>0`83>!27m3;:;65f11`94?"38l0:=:54o303>5<#<9o1>?h4;|`2=`<72=0;6=u+3b:967c<@=:=7E=i3:&74`<5:j1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>o68j0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo?n0;297?6=8r.8o54=2e9K050<@:l87):?e;01g>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?t$2a;>67<@=:=7E=i3:&74`<482.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?j4583:1(9>j:30e?>{e9021<7<50;2x 6e?288i7E:?6:J0b6=#<9o1;n5+1b090ad5$52f>74a3A>;h65rb0;:>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f4?f29086=4?{%1`o68h0;6):?e;323>=n99h1<7*;0d8252=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`fb?6=:3:1h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`e5?6=:3:1h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?7>52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`e7?6=?3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9j55e=83.?

1698m46c290/8=k51058?l77m3:1(9>j:034?>o68o0;6):?e;323>=h:;:1<7*;0d816c=1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~fag=8391<7>t$2a;>74c3A>;:6Fj:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=h47>55;294~"4k108?6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:10e<>k:18'05c=98=07b<=0;29 16b2;8m76sm4b;94?4=83:p(>m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj=ij6=4=:183!5d03;9n6F;079K7c5<,=:n6:m4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9j55e=83.?

1698k747290/8=k523d8?xd3kj0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=53;294~"4k109>i5G4148L6`43->;i7<=c:&2g7<3lk1b==o50;&74`<69>10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm2`83>7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{e:k0;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=53;294~"4k109>i5G4148L6`43->;i7<=c:&2g7<3lk1b==o50;&74`<69>10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm43g94?4=83:p(>m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj=8m6=4=:183!5d03;9n6F;079K7c5<,=:n6:m4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?h<;%63a?45k2.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?j4583:1(9>j:30e?>{e<:;1<7=50;2x 6e?2:;0D9>9;I1e7>"38l08<6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wii=4?:383>5}#;j21=?l4H525?M5a;2.?10c?k;:aa1<72=0;6=u+3b:977=O<9<0D>h<;%63a?573-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698m46d290/8=k51058?j4583:1(9>j:30e?>{em<0;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{em>0;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{em00;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=6<729q/?n6523f8L1613A9m?6*;0d816f=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f`d=83>1<7>t$2a;>64<@=:=7E=i3:&74`<482.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?l77k3:1(9>j:034?>i5:90;6):?e;01b>=zjl;1<7=50;2x 6e?2:;0D9>9;I1e7>"38l08<6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wii?4?:283>5}#;j21>?j4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rbd194?2=83:p(>m7:208L1613A9m?6*;0d804>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;h33g?6=,=:n6;i7<=f:9~f1db290=6=4?{%1`;:6Fj:034?>o68j0;6):?e;323>=n99n1<7*;0d8252=5$52f>74a32wi8oh50;094?6|,:i36<N4n:1/8=k57b9'5f4=6:18'05c=98=07b<=0;29 16b2;8m7E:?d:9~f1e729096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo:l1;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd3k;0;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj=i?6=4;:183!5d03997E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032c:?>50;&74`<5:o10qo:l5;297?6=8r.8o54=2e9K050<@:l87):?e;01g>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?1<7>t$2a;>64<@=:=7E=i3:&74`<482.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?l77k3:1(9>j:034?>i5:90;6):?e;01b>=zj89;6=4=:183!5d03;9n6F;079K7c5<,=:n6:m4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?5}#;j21=?l4H525?M5a;2.?10c?k;:a56d=8381<7>t$2a;>44e3A>;:6F290/8=k51058?j4583:1(9>j:30e?M27l21vn<=l:181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg74l3:1>7>50z&0g=<6:k1C8=84H2d0?!27m3=h7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=O<9n07pl>3d83>7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{e9:l1<7=50;2x 6e?2;8o7E:?6:J0b6=#<9o1>?m4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo?;0;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd6;80;6>4?:1y'7f>=;81C8=84H2d0?!27m39;7)?l2;6gf>o68h0;6):?e;323>=n99h1<7*;0d8252=5}#;j21??5G4148L6`43->;i7=?;%3`6?2cj2c:10e<>l:18'05c=98=07b<=0;29 16b2;8m76sm12194?5=83:p(>m7:238L1613A9m?6*;0d804>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?t$2a;>74c3A>;:6Fj:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo?<6;290?6=8r.8o54=2d9K050<@:l87):?e;01g>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;h33g?6=,=:n6;i7<=f:9~f45029086=4?{%1`;:6Fj:034?>i5:90;6):?e;01b>=zj8936=4<:183!5d0389h6F;079K7c5<,=:n6?10c?"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?1698k747290/8=k523d8L16c32wim;4?:383>5}#;j21=?l4H525?M5a;2.?10c?k;:ae2<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wim54?:383>5}#;j21=?l4H525?M5a;2.?10c?k;:ae<<72:0;6=u+3b:974=O<9<0D>h<;%63a?573-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698k747290/8=k523d8?xdfi3:1:7>50z&0g=<4<2B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=n99i1<7*;0d8252=5$52f>47032e9>=4?:%63a?45n21vnll50;194?6|,:i36?N4n:1/8=k523a8 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c`4>5<5290;w)=l8;31f>N38?1C?k=4$52f>2e<,8i969jm;h33=?6=,=:n6;i7<=f:J74a=1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?5<5290;w)=l8;31f>N38?1C?k=4$52f>2e<,8i969jm;h33=?6=,=:n6;i7<=f:J74a=5$52f>47032e9>=4?:%63a?45n21vnol50;194?6|,:i36?N4n:1/8=k523a8 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c``>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f11?29096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo:89;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd3?h0;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=m7:30g?M27>2B8j>5+41g967e<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<4:2B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=n99i1<7*;0d8252=5}#;j21?<5G4148L6`43->;i7=?;%3`6?2cj2c:10c?6<729q/?n6523f8L1613A9m?6*;0d816f=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~w73=838pR?;4=3796=4<4<5;i1>?>4}r0:>5<5s4826?46f3ty9m7>52z?1e?458279n7??b:p6g<72;q6>o4=219>6f<68k1v;l50;6xZ3d<5=<>6<>6;<3bg?77j27jm7??b:p32<72:q6;:4=839>53g<5:91v5>50;33[>734>i;79i;<`6>2`<5j31;k52c484b>;d93=m70lk:6d8973=?o16=?k57g9>bd<0n27no79i;2`<583>6:h4=0;a>2`<58k26:h4=05g>46>3ty3:7>55z\;2>;013;;563>ae824<=:9h?1==74=013>46>3ty2h7>53z?:`?4?:27:m<4>089>56b=9930q~7j:1818?c208014h52328yvg52909w0o=:3:1?821<3;;56s|a283>7}:i;02>63nb;014>{ti=0;6?u2a58165=:i00:?>4=`c955g74734kj6<>l;|qb3?6=:r7j;7<=0:?be?77l2wxm54?:3y>e=<5:916ml4>0d9~wd?=838p1l7523289dd=99k0q~on:1818gf2;8;70om:02a?xufk3:1>vPnc:?e=??53tyi<7>5az?a1?4?:27hh7o?;46e34on6<>6;<6`0`9>0f6=99301o6511;8yvd12909w0l::8089ge=:;:0q~l8:1818d02;8;70ln:02a?xue03:1>v3m8;014>;ej3;;n6s|b883>7}:j009>=52bb824g=z{kk1<75<5s4hi6?46f3tyi=7>59z?a`?4?:27hh7o>;46f34l;6<>6;<6`0c9>0g`=9930q~lj:1818dc20801n>52328yvda2909w0li:303?8e728:i7p}m2;29<~;d9383>63le;c2?8b328:j70h=:02:?82dj3;;n63j8;33=>;3jl0:<4<5j>1>?>4}ra0>5<5s4i86?46e3tyi?7>57z?`1?4?:27hj7o>;46f34l:6<>6;<6`=?77127n57??9:?7g6<6801vn850;0x9f3=1;16o54=219~wf1=838p1n9523289f>=99h0q~l;:1848e>2;2970j?:`389c5=99h019mn:02:?8c328:h70k8:02:?82d:3;;56s|c`83>7}:k002>63lc;014>{tkk0;6?u2cc8165=:kj0:74734n26<>m;|qg=?6=:r7o57<=0:?ge?77j2wxho4?:3y>ga<41k16o=4>0`9~wae=838p1nk538`89f2=99k0q~jk:1818ea2:3i70m7:02b?xucm3:1>v3k0;1:f>;dk3;;m6s|dg83>6}:lh0:?>4=dc955d74734o86<>l;|qf6?6=:r7n>7<=0:?f7?77i2wxi94?:3y>a1<5:916i?4>0`9~w`3=838p1h;523289`d=99h0q~k9:1818c12;8;70km:02b?xub?3:1>v3j7;014>;bj3;;o6s|e983>7}:m109>=52e0824d=z{l31<75<5s4oj6?46e3tynn7>52z?ff?45827n>7??b:paf<72:q6in4=839>5d4=99301<=6:02:?xubl3:1>v3jc;;1?8`42;8;7p}je;296~;bm389<63i3;33e>{tmo0;6?u2eg8165=:n:0:?>4=g1955c74734l86<>k;|qe6?6=:r7m>7<=0:?e7?77n2wxj44?:4y>bd<>:27m57<72:?gbd<50;16h44>0`9>a5<68016nl4>0`9~w44b290?wS?=e:?26`<50;168n6511c891db28:o7p}>2g83>7}:9;o15?5212:967652z?275<5:916=>?511`8yv7493:1>v3>308165=:9:<1==l4}r306?6=:r7:??4=219>562=99k0q~?<3;296~;6;:09>=5212:955d52z?271<5:916=>;511c8yv74=3:1>v3>348165=:9:<1==o4}r302?6=:r7:?;4=219>561=99h0q~?<7;296~;6;>09>=5212:955g52z?27<<5:916=><511`8yv74i3:1>v3>3`8165=:9:81==o4}r30f?6=:r7:?o4=219>564=99i0q~?=52126955d52z?27a<5:916=>;511`8yv74m3:1>v3>3d8165=:9:<1==m4}r30b?6=:r7:?k4=219>565=99k0q~?;0;296~;6<909>=52121955d52z\22<=:<>>1==o4}r35b?6=:rT::k5216`9=7=z{8=i6=4:{<34f?4?:27:mn4>0`9>6<<68h16=>h511c89d3=9930q~?8c;293~;6?k0;3>m0;3:j0;6?m09>=5rs0;6>5;61>0:46>34;?<7??9:?b2?77127?;44>089>02d=99k0q~?66;296~;61<02>63>9`8165=z{83<6=4={<3:3?45827:5l4>0`9~w4??2909w0?68;014>;6100:5<5s4;257<=0:?2=d<68k1v<7m:18`87>j383>63;58824d=:9hh1==l4=0cf>46>34;2j7??a:?2=<<68h16>n4>0`9>07`=99301<=8:02b?8g328:j70o8:02:?820l3;;m6s|18a94?4|583i64<4=0c3>7473ty:5i4?:3y>5m;|q2=c<72;q6=4h5232894g728:j7p}>a083>7}:9h;1>?>4=0c4>46e3ty:m?4?:3y>5d4=:;:01k;|q2e1<72;q6=l:5232894?b28:j7p}>a483>7}:9h?1>?>4=0;f>46d3ty:m;4?:3y>5d0=:;:010;6?u21`59676<583n6<>m;|q2e=<72;q6=l65232894g728:i7p}>a883>c}:9h31>5<4=0`2>46f34;j87??9:?2e=<68h16=49511c894??28:270<6:02a?825m3;;563>3b824<=:9:l1==l4=012>46f34k?6<>m;46>34><47??9:?73f<68k1v{t9hh1<774734;i?7??a:p5de=838p1{t9hl1<774734;i>7??c:p5g6=838p1{t9kl1<78;<6a3?>f3ty8>=4?:2y>776=:18017473ty8jk4?:2y>7c`=:18019m7:02a?82em3;;i6s|41294?4|5:lm64<4=522>7473ty?>n4?:3y]07e<5=8h6?6=;|q76a<72;q68?m5939>067=:;:0q~:=e;296~;3:l09>=52422955d9j7>52z?76c<5:9168>?511`8yv2483:1>v3;318165=:<:;1==o4}r601?6=:rT??8527c824<=z{=>n6=4={_67a>;>n3;;56s|44494?4|V=?=70::6;0;6>{t<<21<7<4<5=?26?7473ty?:94?:3y>032=:;:01989:02b?xu3><0;6?u24779676<5=<=6<>m;|q72a<72;qU8;j4=54g>7>53ty?;>4?:3y>022=:;:01999:02:?xu3?<0;6?u247f9=7=:<><1>?>4}r643?6=;r7?;94>0c9>0db=99h0199j:303?xu3?10;6?u246:9676<5==i6<>m;|q73<<72;q68:752328911d28:j7p};7`83>7}:<>k1>?>4=55`>46d3ty?;o4?:3y>02d=:;:0199k:02a?xu3?j0;6?u246a9676<5==n6<>m;|q73a<72;q68:j52328911b28:j7p};9c83>7}Y<0h01><=:02:?xu3i?0;6?uQ4`4891g12;297p};ab83>7}:?>4=5ce>46>3ty?mh4?:3y>0d0=1;168lh52328yv2e?3:1?vP;b69>0g1=:1801hh511;8yv2ej3:1>v3;b68:6>;3jj09>=5rs5`g>5<5s4>i;767;<6`2?4582wx8ok50;0x91db2;8;70:l5;33e>{t74734>h87??b:p0f6=838p19m?:303?82d<3;;m6s|4b394?4|5=i:6?{t74734>h:7??c:p0f2=838p19m;:303?82d=3;;n6s|4b794?4|5=i>6?{t74734>ho7??a:p0f?=838p19m6:303?82dj3;;m6s|4bc94?4|5=ij6?{t5:2`7?j5>=3:17d66:188k6>?2900c9=j:188mde=831b8;o50;9l0<`=831d:o4?::`742<7280;6=u+3b:955><@=:=7E=i3:&74`<39=1/=n<54e`8k460290/8=k523d8?xd4m80;684=:7y'7f>=9980D9>9;I1e7>"6900=7)?l2;6gf>o?03:17d6n:188m2`=831b5?4?::m1<7<722h94?4?:783>5}#<9o1==:4i034>5<#<9o1=<94;h:;>5<#<9o14554i9;94?"38l03565f38a94?"38l085n54i20a>5<#<9o1??l4;n01b?6=,=:n6?t$52f>1733f89j7>5$52f>74a32wx>5<50;0xZ7>53483>7<=f:p=7<72;qU5?5229095417}Y0116>5<5899~w2`=838pR:h4=3:1>=?52z?1<7<41j168=l523d8yxd4lm0;684=:7y'7f>=9980D9>9;I1e7>"6900=7)?l2;6gf>o?03:17d6n:188m2`=831b5?4?::m1<7<722h94?4?:783>5}#<9o1==:4i034>5<#<9o1=<94;h:;>5<#<9o14554i9;94?"38l03565f38a94?"38l085n54i20a>5<#<9o1??l4;n01b?6=,=:n6?t$52f>1733f89j7>5$52f>74a32wx>5<50;0xZ7>53483>7<=f:p=7<72;qU5?5229095417}Y0116>5<5899~w2`=838pR:h4=3:1>=?52z?1<7<41j168=l523d8yxd4l00;684=:7y'7f>=9980D9>9;I1e7>"6900=7)?l2;6gf>o?03:17d6n:188m2`=831b5?4?::m1<7<722h94?4?:783>5}#<9o1==:4i034>5<#<9o1=<94;h:;>5<#<9o14554i9;94?"38l03565f38a94?"38l085n54i20a>5<#<9o1??l4;n01b?6=,=:n6?t$52f>1733f89j7>5$52f>74a32wx>5<50;0xZ7>53483>7<=f:p=7<72;qU5?5229095417}Y0116>5<5899~w2`=838pR:h4=3:1>=?52z?1<7<41j168=l523d8yxd4l=0;684=:7y'7f>=9980D9>9;I1e7>"6900=7)?l2;6gf>o?03:17d6n:188m2`=831b5?4?::m1<7<722h94?4?:783>5}#<9o1==:4i034>5<#<9o1=<94;h:;>5<#<9o14554i9;94?"38l03565f38a94?"38l085n54i20a>5<#<9o1??l4;n01b?6=,=:n6?t$52f>1733f89j7>5$52f>74a32wx>5<50;0xZ7>53483>7<=f:p=7<72;qU5?5229095417}Y0116>5<5899~w2`=838pR:h4=3:1>=?52z?1<7<41j168=l523d8yxd6lk0;684=:7y'7f>=9980D9>9;I1e7>"6k;0?ho5f8983>>o?i3:17d9i:188m<4=831d>5<50;9a6=4=83<1<7>t$52f>4633`;:;7>5$52f>47032c347>5$52f>=><3`226=4+41g9<<=5$52f>64e32e9>k4?:%63a?45n21vn9>m:182>5<7s->;i7:>4:m16c<72->;i7<=f:9~w7>52909wS<72:?1<7<5:o1v4<50;0xZ<4<5;29652120q~9i:181[1a3483>766;|q24=<72;q6>5<538a8916e2;8m7psm1ea94?3=:3m7:021?M27>2B8j>5+1b090ad>o0n3:17d7=:188k7>52900n?6=:185>5<7s->;i7??4:k252<72->;i7?>7:9j<=<72->;i767;:k;=?6=,=:n6574;h1:g?6=,=:n6>7l;:k06g<72->;i7==b:9l67`=83.?50z&74`<39=1d>?h50;&74`<5:o10q~<72;296~X50;16>5<523d8yv?52909wS7=;<0;6?76?2wx4l4?:3y]5<5sW2370<72;:;?xu0n3:1>vP8f:?1<752:3h70:?b;01b>{zj8o;6=4::385!5d03;;>6F;079K7c5<,8i969jm;h:;>5<>o>:3:17b<72;29?g4?:3:1:7>50z&74`<68=1b=<950;&74`<69>10e5650;&74`>32c85n4?:%63a?5>k21b??l50;&74`<4:k10c?4<729q/8=k54068k74a290/8=k523d8?xu50;0;6?uQ290897>52;8m7p}62;296~X>:2794?4>169~w=g=838pR5o4=3:1>64e3ty347>52z\;<>;50;0346s|7g83>7}Y?o16>5<5889~w46?2909w0<72;1:g>;38k09>k5r}c3f5?6==381:v*h<;%3`6?2cj2c347>5;h:b>5<l1<75f9383>>i50;0;66l=8383>3<729q/8=k51168m470290/8=k51058?l>?290/8=k58998m=?=83.?j:30e?>{e<9h1<7?50;2x 16b2=;?7b<=f;29 16b2;8m76s|29094?4|V;2970<72;01b>{t1;0;6?uQ939>6=4=98=0q~6n:181[>f3483>7==b:p<=<72;qU45522909<==z{>l1<752130q~??8;296~;50;085n5241`967`3}#;j21==<4H525?M5a;2.:o?4;dc9j<=<722c3m7>5;h5e>5<7;29 16b28;<76g78;29 16b21207d66:18'05c=0010e>7l:18'05c=;0i07d==b;29 16b2:8i76a=2g83>!27m389j65rb52a>5<6290;w):?e;620>i5:o0;6):?e;01b>=z{;296=4={_0;6>;50;09>k5rs8094?4|V0801?6=:034?xu?i3:1>vP7a:?1<7<4:k1v5650;0xZ=><5;296564}r5e>5<5sW=m70<72;::?xu6810;6?u22909778t$2a;>4653A>;:6F5;h;1>5<5<1290;w):?e;330>o69>0;6):?e;323>=n010;6):?e;:;?>o?13:1(9>j:9;8?l5>k3:1(9>j:2;`?>o4:k0;6):?e;11f>=h:;l1<7*;0d816c=;n7>51;294~"38l0?=95`23d94?"38l09>k54}r0;6?6=:rT94?52290967`7}Y0h16>5<533`8yv>?2909wS67;<0;6?>?3ty52z\4b>;50;0356s|11:94?4|5;296>7l;<63f?45n2wvn7<1s-9h47??2:J743=O;o90(?2900e5o50;9j3c<722c2>7>5;n0;6?6=3k83>7>56;294~"38l0:<95f10594?"38l0:=:54i9:94?"38l03465f8883>!27m32276g<9b83>!27m392o65f33`94?"38l08>o54o30e>5<#<9o1>?h4;|`74g<7280;6=u+41g904263=838252=z{1k1<752:8i7p}78;296~X?02794?478:p3c<72;qU;k522909<<=z{8:36=4={<0;6?5>k27?on6g78;29?l>f2900e:h50;9j=7<722e94?4?::`1<7<72?0;6=u+41g9552=n;0i1<7*;0d80=f=5$52f>74a32wi8=l50;394?6|,=:n69?;;n01b?6=,=:n6?5<5sW2j70<72;11f>{t010;6?uQ899>6=4=011v:h50;0xZ2`<5;296574}r3305d=:;l0qpl>e783>0<52?q/?n651108L1613A9m?6*>c387`g=n010;66g7a;29?l1a2900e4<50;9l6=4=831i>5<50;494?6|,=:n6<>;;h323?6=,=:n65<#<9o14454i2;`>5<#<9o1?4m4;h11f?6=,=:n6>;i7<=f:9~f16e290:6=4?{%63a?26<2e9>k4?:%63a?45n21v?6=:181[4?:2794?4=2g9~w<4=838pR4<4=3:1>4703ty3m7>52z\;e>;50;08>o5rs9:94?4|V1201?6=:9:8yv1a2909wS9i;<0;6?>>3ty:<54?:3y>6=4=;0i019>m:30e?x{e9l=1<7;52;4x 6e?28:97E:?6:J0b6=#9j818il4i9:94?=n0h0;66g8f;29?l?52900c?6=:188f7>5290=6=4?{%63a?77<2c:=:4?:%63a?76?21b454?:%63a?>?32c357>5$52f>=?<3`92o7>5$52f>6?d32c8>o4?:%63a?55j21d>?h50;&74`<5:o10qo:?b;295?6=8r.?vP=839>6=4=:;l0q~7=:181[?53483>7?>7:p=838p1?6=:2;`?827j389j6srb0fg>5<22;0=w)=l8;336>N38?1C?k=4$0a1>1be3`236=44i9c94?=n?o0;66g62;29?j4?:3:17o<72;292?6=8r.?

059j541=83.?

1698m=>=83.?;i766;:k0=f<72->;i7=6c:9j77d=83.?0c?7}Y:1801?6=:30e?xu>:3:1>vP62:?1<7<69>1v5o50;0xZ=g<5;296>{t?o0;6?uQ7g9>6=4=001v<>7:18184?:392o63;0c816c=zuk;oi7>55;092~"4k10:7:kb:k;>d50;0;6;4?:1y'05c=99>0ej:537?j45n3:1(9>j:30e?>{t:181<77}Y1;16>5<51058yv>f2909wS6n;<0;6?55j2wx454?:3y]<==::181455rs6d94?4|V>l01?6=:9;8yv7703:1>v3=8380=f=:<9h1>?h4}|`2`c<72<096;u+3b:9554<@=:=7E=i3:&2g7<3lk1b454?::k;e?6=3`=m6=44i8094?=h:181<75m29094?0=83:p(9>j:027?l76?3:1(9>j:034?>o?03:1(9>j:9:8?l>>290/8=k58898m6?d290/8=k538a8?l55j3:1(9>j:20a?>i5:o0;6):?e;01b>=zj=:i6=4>:183!27m3>:86a=2g83>!27m389j65rs3:1>5<5sW83>63=83816c=z{081<7528;<7p}7a;296~X?i2794?4<2c9~w=>=838pR564=3:1>=>0983>7}::181?4m4=52a>74a3twi=i:50;796?0|,:i36<>=;I632>N4n:1/=n<54e`8m=>=831b4l4?::k4b?6=3`396=44o3:1>5<1683>!27m3;:;65f8983>!27m32376g79;29 16b21307d=6c;29 16b2:3h76g<2c83>!27m399n65`23d94?"38l09>k54}c63f?6=93:17>52z\1<7=::181>?h4}r;1>5<5sW3970<72;323>{t0h0;6?uQ8`9>6=4=;;h0q~67:181[>?3483>767;|q4b?6=:rT{t9921<76?d34>;n7<=f:~f6ec290>6?49{%1`7>5;c0;6?6=>3:1=n000;6):?e;::?>o41j0;6):?e;1:g>=n;;h1<7*;0d806g=5}#<9o18<:4o30e>5<#<9o1>?h4;|q1<7<72;qU>5<4=3:1>74a3ty2>7>52z\:6>;50;0:=:5rs9c94?4|V1k01?6=:20a?xu?03:1>vP78:?1<75<5s483>7=6c:?74g<5:o1vqo=78;291?4=>r.8o54>039K050<@:l87)?l2;6gf>o?03:17d6n:188m2`=831b5?4?::m1<7<722h94?4?:783>5}#<9o1==:4i034>5<#<9o1=<94;h:;>5<#<9o14554i9;94?"38l03565f38a94?"38l085n54i20a>5<#<9o1??l4;n01b?6=,=:n6?t$52f>1733f89j7>5$52f>74a32wx>5<50;0xZ7>53483>7<=f:p=7<72;qU5?5229095417}Y0116>5<5899~w2`=838pR:h4=3:1>=?52z?1<7<41j168=l523d8yxdam3:1?7<54z&0g=<6881C8=84H2d0?!7d:3>on6g8f;29?l?52900c?6=:188f7>5290>6?49{%1`5?50;9j=7<722c3m7>5;h5e>5<1683>!27m3;:;65f8983>!27m32376g79;29 16b21307d=6c;29 16b2:3h76g<2c83>!27m399n65`23d94?"38l09>k54}c63=?6=93:17}Y?o16===5889~w=g=838pR5o4=020>64e3ty94<4?:3y]6=7<58:86>7l;|q1<7<72;qU>5<4=020>74a3ty8j94?:3y>555=01168=752228yxd6290:6=4?{%1e6?2<,:i36<>7;%63a?26<2.:o?4;dc9l551=83.?vP=839>6=4=:180q~7=:181[?53483>77=;|q4b?6=:rT{t:3:1?v3=8381<4=::1814l521;333>"4n;0>7psmfe83>6<52=q/?n651138L1613A9m?6*>c387`g=n?o0;66g62;29?j4?:3:17o<72;291?4=>r.8o54>029'5f4=62900e4<50;9j5;c337?6=>3:1=n000;6):?e;::?>o41j0;6):?e;1:g>=n;;h1<7*;0d806g=5}#<9o1>?l4o313>5<#<9o1>?h4;|q:6?6=:rT2>63>028252=z{>l1<7f34;;?7==b:p6=7=838pR?6>;<337?5>k2wx>5<50;0xZ7>534;;?7<=f:p7c2=838p1<><:9:8916>2;9;7psm1;295?6=8r.8j?4;;%1`8:18'05c=:;l07p}=8383>7}Y:1801?6=:3:1?xu>:3:1>vP62:?1<7<>:2wx;k4?:3y]3c=::181;k5rs383>6}::181>5?4=3:1>=g<580:<:5+3g091>{zj=9n6=4<:387!5d03;;=6F;079K7c5<,8i969jm;h5e>5<i50;0;66g=8083>>o>:3:17d6n:188m2`=831i===50;494?6|,=:n6<>;;h323?6=,=:n65<#<9o14454i2;`>5<#<9o1?4m4;h11f?6=,=:n6>;i7<=f:9~f16>290:6=4?{%63a?45j2e9?=4?:%63a?45n21v4<50;0xZ<4<58:86028;=>{t0h0;6?uQ8`9>555=;;h0q~<71;296~X50816===538a8yv4?:3:1>vP=839>555=:;l0q~=i4;296~;68:03463;088175=zuk;1<7?50;2x 6`52=1/?n6511:8 16b2=;?7)?l2;6gf>i68>0;6):?e;01b>=z{;296=4={_0;6>;50;094?5rs8094?4|V0801?6=:808yv1a2909wS9i;<0;6?1a3ty96=4<{<0;6?4?92794?47a:?2>4603-9m>7;4}|`7=c<72:0969u+3b:9557<@=:=7E=i3:&2g7<3lk1b;k4?::k:6?6=3f83>7>5;c0;6?6==381:v*5<50z&74`<68=1b=<950;&74`<69>10e5650;&74`>32c85n4?:%63a?5>k21b??l50;&74`<4:k10c?4<729q/8=k523`8k757290/8=k523d8?xu>:3:1>vP62:?246<69>1v:h50;0xZ2`<58:86574}r:b>5<5sW2j70??3;11f>{t:1;1<7{t;o>1<7=><5=:26?=?;|a5?6=93:15<#<9o1>?h4;|q1<7<72;qU>5<4=3:1>7>53ty2>7>52z\:6>;50;02>6s|7g83>7}Y?o16>5<57g9~w7<72:q6>5<5293897>521k01<4>069'7c4==2wvn;l50;196?2|,:i36<>>;I632>N4n:1/=n<54e`8m2`=831b5?4?::m1<7<722h94?4?:481>3}#;j21===4$0a1>1be3f83>7>5;h0;5?6=3`396=44i9c94?=n?o0;66l>0283>3<729q/8=k51168m470290/8=k51058?l>?290/8=k58998m=?=83.?j:30e?>{e<931<7?50;2x 16b2;8i7b<<0;29 16b2;8m76s|9383>7}Y1;16===51058yv1a2909wS9i;<337?>>3ty3m7>52z\;e>;68:08>o5rs3:2>5<5sW83=63>0280=f=z{;296=4={_0;6>;68:09>k5rs2d7>5<5s4;;?767;<63=?4482wvn<4?:083>5}#;o8186*1be3f;;;7>5$52f>74a32wx>5<50;0xZ7>53483>7<72:p=7<72;qU5?522909=7=z{>l1<752>l0q~<50;1x97>52;2:70<72;:b?87=99=0(>h=:49~yg21k3:1?7<54z&0g=<6881C8=84H2d0?!7d:3>on6g8f;29?l?52900c?6=:188f7>5290>6?49{%1`5?50;9j=7<722c3m7>5;h5e>5<1683>!27m3;:;65f8983>!27m32376g79;29 16b21307d=6c;29 16b2:3h76g<2c83>!27m399n65`23d94?"38l09>k54}c63=?6=93:17}Y?o16===5889~w=g=838pR5o4=020>64e3ty94<4?:3y]6=7<58:86>7l;|q1<7<72;qU>5<4=020>74a3ty8j94?:3y>555=01168=752228yxd6290:6=4?{%1e6?2<,:i36<>7;%63a?26<2.:o?4;dc9l551=83.?vP=839>6=4=:180q~7=:181[?53483>77=;|q4b?6=:rT{t:3:1?v3=8381<4=::1814l521;333>"4n;0>7psm10a94?5=:3>p(>m7:022?M27>2B8j>5+10;92>"6k;0?ho5f7g83>>o>:3:17b<72;29?g4?:3:197<56z&0g=<68:1/=n<54e`8k7>52900e?6>:188m<4=831b4l4?::k4b?6=3k;;?7>56;294~"38l0:<95f10594?"38l0:=:54i9:94?"38l03465f8883>!27m32276g<9b83>!27m392o65f33`94?"38l08>o54o30e>5<#<9o1>?h4;|`74<<7280;6=u+41g967d7>52z\:6>;68:0:=:5rs6d94?4|V>l01<><:9;8yv>f2909wS6n;<337?55j2wx>5?50;0xZ7>634;;?7=6c:p6=4=838pR?6=;<337?45n2wx?k:50;0x9464212019>6:313?x{e93:1=7>50z&0b7<33-9h47??8:&74`<39=1/=n<54e`8k460290/8=k523d8?xu50;0;6?uQ290897>52;297p}62;296~X>:2794?462:p3c<72;qU;k5229093c=z{;0;6>u229096=7<5;2965o4=08242=#;o8196srb003>5<42;0?w)=l8;335>N38?1C?k=4$03:>3=#9j818il4i6d94?=n1;0;66a=8383>>d50;0;684=:7y'7f>=9990(4?:783>5}#<9o1==:4i034>5<#<9o1=<94;h:;>5<#<9o14554i9;94?"38l03565f38a94?"38l085n54i20a>5<#<9o1??l4;n01b?6=,=:n6?t$52f>74e3f88<7>5$52f>74a32wx5?4?:3y]=7=:9991=<94}r5e>5<5sW=m70??3;::?xu?i3:1>vP7a:?246<4:k1v?6>:181[4?927:<>4<9b9~w7>52909wS<72:?246<5:o1v>h;:181877;32370:?9;004>{zj80;6<4?:1y'7c4=<2.8o54>099'05c=<8>0(j:30e?>{t:181<76s|9383>7}Y1;16>5<5939~w2`=838pR:h4=3:1>2`7>63483>76n;<39551<,:l9685r}c1f3?6=;3;1?vF=9j<0el>50;9je4<722e85o4?::`757<72:0;6=u+3b:9053<@=:=7E=i3:&74`<38=1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>i5:90;6):?e;01b>=z{h:1<70`9~w6?e2909wS=6b:?757<5:91vqo=j6;297?7=;rB8j>5+3b:95f0>i41k0;66l;1383>6<729q/?n654178L1613A9m?6*;0d8741=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~wd6=838pRl>4=531>46e3tyj=7>52z\b5>;39;0:5<5sW92n63;138165=zuk9n97>53;397~N4n:1/?n651b48md6=831bm<4?::m0=g<722h?=?4?:283>5}#;j218=;4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rs`294?4|Vh:019?=:02a?xuf93:1>vPn1:?757<68h1v>7m:181[5>j27?=?4=219~yg7bi3:1?7?53zJ0b6=#;j21=n84i`294?=ni80;66a<9c83>>d39;0;6>4?:1y'7f>=<9?0D9>9;I1e7>"38l0?<95+1b090ad5$52f>47032e9>=4?:%63a?45n21vl>50;0xZd6<5=;96<>m;|qb5?6=:rTj=63;13824d=z{:3i6=4={_1:f>;39;09>=5r}c3f=?6=;3;1?vF=9j<0el>50;9je4<722e85o4?::`757<72:0;6=u+3b:9053<@=:=7E=i3:&74`<38=1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>i5:90;6):?e;01b>=z{h:1<70`9~w6?e2909wS=6b:?757<5:91vqo?j8;297?7=;rB8j>5+3b:95f0>i41k0;66l;1383>6<729q/?n654178L1613A9m?6*;0d8741=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~wd6=838pRl>4=531>46e3tyj=7>52z\b5>;39;0:5<5sW92n63;138165=zuk;m?7>53;397~N4n:1/?n651b48md6=831bm<4?::m0=g<722h?=?4?:283>5}#;j218=;4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rs`294?4|Vh:019?=:02a?xuf93:1>vPn1:?757<68h1v>7m:181[5>j27?=?4=219~yg7a:3:1?7?53zJ0b6=#;j21=n84i`294?=ni80;66a<9c83>>d39;0;6>4?:1y'7f>=<9?0D9>9;I1e7>"38l0?<95+1b090ad5$52f>47032e9>=4?:%63a?45n21vl>50;0xZd6<5=;96<>m;|qb5?6=:rTj=63;13824d=z{:3i6=4={_1:f>;39;09>=5r}c3e5?6=;3;1?vF=9j<0el>50;9je4<722e85o4?::`757<72:0;6=u+3b:9053<@=:=7E=i3:&74`<38=1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>i5:90;6):?e;01b>=z{h:1<70`9~w6?e2909wS=6b:?757<5:91vqo?i0;297?7=;rB8j>5+3b:95f0>i41k0;66l;1383>6<729q/?n654178L1613A9m?6*;0d8741=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~wd6=838pRl>4=531>46e3tyj=7>52z\b5>;39;0:5<5sW92n63;138165=zuk;nj7>53;397~N4n:1/?n651b48md6=831bm<4?::m0=g<722h?=?4?:283>5}#;j218=;4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rs`294?4|Vh:019?=:02a?xuf93:1>vPn1:?757<68h1v>7m:181[5>j27?=?4=219~yg7bm3:1?7?53zJ0b6=#;j21=n84i`294?=ni80;66a<9c83>>d39;0;6>4?:1y'7f>=<9?0D9>9;I1e7>"38l0?<95+1b090ad5$52f>47032e9>=4?:%63a?45n21vl>50;0xZd6<5=;96<>m;|qb5?6=:rTj=63;13824d=z{:3i6=4={_1:f>;39;09>=5r}c3f`?6=;3;1?vF=9j<0el>50;9je4<722e85o4?::`757<72:0;6=u+3b:9053<@=:=7E=i3:&74`<38=1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>i5:90;6):?e;01b>=z{h:1<70`9~w6?e2909wS=6b:?757<5:91vqo?jc;297?7=;rB8j>5+3b:95f0>i41k0;66l;1383>6<729q/?n654178L1613A9m?6*;0d8741=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~wd6=838pRl>4=531>46e3tyj=7>52z\b5>;39;0:5<5sW92n63;138165=zuk;nn7>53;397~N4n:1/?n651b48md6=831bm<4?::m0=g<722h?=?4?:283>5}#;j218=;4H525?M5a;2.?n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rs`294?4|Vh:019?=:02a?xuf93:1>vPn1:?757<68h1v>7m:181[5>j27?=?4=219~yggb29096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qooi:180>5<7s-9h47=>;I632>N4n:1/8=k5319'5f4=n:18'05c=98=07d??b;29 16b28;<76a=2183>!27m389j65rb2d3>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f6>a29096=4?{%1`i0(j:034?>i5:90;6):?e;01b>N38m10qo?i6;297?6=8r.8o54<1:J743=O;o90(9>j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c3eN38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo?ie;297?6=8r.8o54<1:J743=O;o90(9>j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c034?6=;3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qoj:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c03N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`0a6<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi?h:50;194?6|,:i36>?4H525?M5a;2.?10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm3ed94?4=83:p(>m7:00a?M27>2B8j>5+41g93f=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?=zj:o;6=4<:183!5d039:7E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032e9>=4?:%63a?45n21vn>jm:181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg5ck3:1?7>50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`0`2<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi?i650;194?6|,:i36>?4H525?M5a;2.?10e<>m:18'05c=98=07b<=0;29 16b2;8m76sm3d;94?5=83:p(>m7:238L1613A9m?6*;0d804>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?t$2a;>67<@=:=7E=i3:&74`<482.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?j4583:1(9>j:30e?>{e9;21<7<50;2x 6e?288i7E:?6:J0b6=#<9o1;n5+1b090ad5$52f>74a3A>;h65rb00:>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f47e290?6=4?{%1`;:6Fj:034?>o68j0;6):?e;323>=h:;:1<7*;0d816c=53;294~"4k108=6F;079K7c5<,=:n6>>4$0a1>1be3`;;m7>5$52f>47032c:?>50;&74`<5:o10qo=k0;296?6=8r.8o54>2c9K050<@:l87):?e;5`?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k5G41f8?xd4l80;6?4?:1y'7f>=9;h0D9>9;I1e7>"38l0c387`g=n9931<7*;0d8252=m7:238L1613A9m?6*;0d804>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?t$2a;>67<@=:=7E=i3:&74`<482.:o?4;dc9j55g=83.?

1698m46e290/8=k51058?j4583:1(9>j:30e?>{e9m<1<7750;2x 6e?2:<0D9>9;I1e7>"38l08<6*>c387`g=n99k1<7*;0d8252=5$52f>47032c:10e<>i:18'05c=98=07d?>0;29 16b28;<76g>1083>!27m3;:;65`23294?"38l09>k54}c3g3?6==3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9j55e=83.?

1698m46c290/8=k51058?j4583:1(9>j:30e?>{e9m21<7<50;2x 6e?288i7E:?6:J0b6=#<9o1;n5+1b090ad5$52f>74a3A>;h65rb0f:>5<4290;w)=l8;12?M27>2B8j>5+41g975=#9j818il4i02b>5<#<9o1=<94;h33f?6=,=:n6;i7<=f:9~f4bf29086=4?{%1`;:6Fj:034?>i5:90;6):?e;01b>=zj8896=4=:183!5d03;9n6F;079K7c5<,=:n6:m4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n2B?h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?94?:283>5}#;j21?<5G4148L6`43->;i7=?;%3`6?2cj2c:10c?2483>6<729q/?n65309K050<@:l87):?e;13?!7d:3>on6g>0`83>!27m3;:;65f11`94?"38l0:=:54o303>5<#<9o1>?h4;|`263<72:0;6=u+3b:967b<@=:=7E=i3:&74`<5:j1/=n<54e`8m46f290/8=k51058?l77j3:1(9>j:034?>i5:90;6):?e;01b>=zj8;n6=4;:183!5d03997E:?6:J0b6=#<9o1?=5+1b090ad5$52f>47032c:?>50;&74`<5:o10qo?>f;297?6=8r.8o54=2e9K050<@:l87):?e;01g>"6k;0?ho5f11c94?"38l0:=:54i02a>5<#<9o1=<94;n014?6=,=:n6?t$2a;>44e3A>;:6F290/8=k51058?j4583:1(9>j:30e?M27l21vn>7=:181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg5>;3:1>7>50z&0g=<6:k1C8=84H2d0?!27m3=h7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=O<9n07pl<9583>1<729q/?n65339K050<@:l87):?e;13?!7d:3>on6g>0`83>!27m3;:;65f11`94?"38l0:=:54i02`>5<#<9o1=<94;n014?6=,=:n6?1698k747290/8=k523d8L16c32wi:h4?:383>5}#;j21=?l4H525?M5a;2.?10c?k;:a2c<72:0;6=u+3b:974=O<9<0D>h<;%63a?573-;h>7:kb:k24d<72->;i7?>7:9j55d=83.?

1698k747290/8=k523d8?xd083:1?7>50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=10c?j:228 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c675?6=;3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?7>50z&0g=<6:k1C8=84H2d0?!27m3=h7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=O<9n07pl;4283>7<729q/?n6513`8L1613A9m?6*;0d84g>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4H52g?>{e<=>1<7=50;2x 6e?2:;0D9>9;I1e7>"38l08<6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wi89;50;194?6|,:i36?N4n:1/8=k523a8 4e52=ni7d??a;29 16b28;<76g>0c83>!27m3;:;65`23294?"38l09>k54}c672?6=;3:1N38?1C?k=4$52f>66<,8i969jm;h33e?6=,=:n6;i7?>7:9l676=83.?50z&0g=<5:m1C8=84H2d0?!27m389o6*>c387`g=n99k1<7*;0d8252=5$52f>74a32wx:o4?:2y]2g=:>k094?526g824d=z{?i1<7=5rs7f94?4|5?n1>?>4=7d955d74734=;6<>m;|q5b?6=:r7=j7<=0:?45?77i2wx;=4?:3y>35<5:916;<4>0c9~w27=838p1:?52328924=99h0q~66:182`~X?1278i<48f:?0`a<0n278h448f:?0`1<0n27:ho48f:?2`f<0n27:i=48f:?2a4<0n27:i?48f:?2a6<0n27:i948f:?2a0<0n27:i;48f:?2a2<0n27:hi48f:?2``<0n27:hk48f:?2`1<0n278oi48f:?0<=<0n27mi79i;2`<5=9n6:h4=5;e>2`<5?h1;k5247a93c=:98i1;k5213293c=z{hi1<767:9:896b321201>k>:9:896bc21201>j6:9:89d`=:;:01>h?:02a?876j3;;m6s|ad83>7}:il09>=52ag824g=z{oh1<7=t=gg9=7=:nm094?52ad824<=z{oi1<7575=99301<<;:02b?876m3;;m6s|10a94?1|58;h6?6=;<31=?77i27:>?4>089>54`=99k01:<511c8912628:j70:;2;33=>{t98n1<7<4<58;m6?2183>2}:9;:1>5<4=00;>46>34;997??a:?25`<68j16:i4>089>35<68h16898511c8yv7593:1>v3>218:6>;6:?09>=5rs001>5<5s4;9>7<=0:?261<68k1v<<<:181875;389<63>24824g=z{88?6=4={<310?45827:>;4>0`9~w4422909w0?=5;014>;6:?0:5<5s4;947<=0:?26<<68k1v<n33970?=9;014>{t9m>1<77>534;:n7??c:p5a3=838p1d783>7}:9m<1>?>4=0fb>46f3ty:h:4?:3y>5a1=:;:01m;|q2`<<72;q6=i75232894bf28:i7p}>c683>0}:9mh1>5<4=0ga>d6<58l=6<>m;<3e0?77127:h54>089~w4ee290?w0?kc;0;6>;6mk0j=63>f7824d=:9m<1==o4}r3`5`>=i816>=6511c894b028:h7p}>c883>1}:9mo1>5<4=0g:>d7<5;:j6<>n;<3g3?77j2wx=no50;1x94ba2;2970?ja;c2?87c?3;;h6s|1ba94?2|58o;6?6=;<3fg?g634;m47??a:?2`3<68k1v63>ee8b5>;6nh0:54z?2a7<50;16=hk5a09>5ce=99k0146f34;o:7??d:p5a6=83>p1;6l?0:5<3s4;n97<72:?2b40`9>5a0=98;0q~?k2;290~;6m?094?521g09e4=::9>1==o4=0f5>4773ty:h>4?:5y>5`1=:1801{t9o?1<7=t=0g`>d6<58l=6?4=0db>74734;mo7??b:p5cd=839p1{t9on1<7=t=0d3>d6<58ln6??:303?847:3;;n6s|21394?5|58l96l>4=321>747348;87??b:p655=839p1{t:9?1<7=t=0g;>d6<5;:=6?=950;1x94c>2h:01?>7:303?847i3;;n6s|21;94?4|58oj6l>4=32b>7473ty95ad=1;16=k:52328yv47k3:1>v3>de8:6>;6m1085o5rs32g>5<5s4;oi77=;<3f=?5>j2wx>=k50;0x94ba20801:18187b933970?jd;1:f>{t:881<7<4<58on6>7m;|q156<72;q6=h=5939>5``=;0h0q~<>4;296~;6m=02>63>f180=g=z{;;>6=4={<3f1??534;m=7=6b:p640=838p17}:9l=15?521g197514y>051=99=01>k>:9c896bc21k01>j6:9c896b321k01mk:9c896>?21k0q~=78;296~X40116?5652908yv5?m3:1>v3<898:6>;40o09>=5rs2;3>5<5s493j7??9:?0=1<5:91v>7>:18185>9389<63<95824g=z{:396=4={<1:6?458278594>0`9~w6?42909w0=63;014>;41=0:5<4s49hh7<72:?0b5<68h16=v3;4l:09>=5rs2ae>5<5s49hj7<=0:?0`6<68h1v>j?:18185c8389<630c9~w6b52909w0=k2;014>;4l:0:5<2s49o87<72:?0a0089>7`?=99h01>mi:02b?xu4l<0;6?u23e69=7=:;m21>?>4}r1g2?6=:r78h;4=219>7a>=99k0q~=k7;296~;4l>09>=523e:955d55z?0`<<50;16?h;5a09>7`?=99k01>j?:02:?85>:3;;56s|3ec94?4|5:n264<4=2f`>7473ty8ho4?:3y>7ad=:;:01>jl:02a?xu4kk0;68u23ef96=4<5:o=6l?4=2ga>46f349o=7??9:?0=4<6801v>jj:18185cl33970=j0;014>{t;ml1<7747349n<7??b:p7fe=83?pR>7:;<1f5?4?:278i:4n1:?0gc<68k16?4=511;8yv5b:3:1>v3;4m=09>=5rs2g0>5<5s49n?7<=0:?0a1<68k1v>k7:18085b>3k;70=j9;014>;4mk0:5<5s49n;7o?;<1ff?4582wx?hm50;0x96c22:3i70=kc;33e>{t;ln1<76?e349n<7??a:p7`c=838p1>k8:2;a?85b<3;;m6s|3dd94?74s4;on767;<3gg?>?34;n<767;<3f5?>?34;n>767;<3f7?>?34;n8767;<3f1?>?34;n:767;<3f3?>?34;oh767;<3ga?>?34;oj767;<3g0?>?349m<7<=0:?0a6<68016?ih511;896be28:270=k6;33=>{t<:o1<7;t^51f?824m383>639e;33=>;3<80:?<7>52z?77`<>:27?8:4=219~w1262909w0:;1;014>;3<>0:5<5s4>?>7<=0:?701<68h1v9:<:181823;389<63;45824g=z{=>?6=4={<670?45827?884>0c9~w1222909w0:;5;014>;35<5s4>?:7<=0:?702<68k1v98n:181[21i27?:n462:p03e=839p198l:3:1?876m3;;n63;42824<=z{=3m6=4={_6:b>;31o094?5r}c610?6=:3:1h<;%63a?1d3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?4?:383>5}#;j21=?l4H525?M5a;2.?10c?k;:a076=8381<7>t$2a;>44e3A>;:6F290/8=k51058?j4583:1(9>j:30e?M27l21vn9<>:181>5<7s-9h47?=b:J743=O;o90(9>j:6a8 4e52=ni7d??9;29 16b28;<76a=2183>!27m389j6F;0e98yg25:3:1?7>50z&0g=<492B?<;5G3g18 16b2::0(j:034?>o68k0;6):?e;323>=h:;:1<7*;0d816c=:n7>52;294~"4k10:>o5G4148L6`43->;i79l;%3`6?2cj2c:<44?:%63a?76?21d>?>50;&74`<5:o1C8=j4;|`7=`<72;0;6=u+3b:957d<@=:=7E=i3:&74`<0k2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8L16c32wi8?650;094?6|,:i36N4n:1/8=k57b9K51b<,8h?6?>50;&74`<5:o10qo:n8;296?6=8r.8o54>179K050<@:l87):?e;5`?M73l2.:n94>169'5f4=6:18'05c=98=07b<=0;29 16b2;8m76sm42`94?4=83:p(>m7:035?M27>2B8j>5+41g93f=O9=n0(on6g>0883>!27m3;:;65`23294?"38l09>k54}cd;>5<5290;w)=l8;322>N38?1C?k=4$52f>2e<@8>o7)?m4;323>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4;|`245<72;0;6=u+3b:9540<@=:=7E=i3:&74`<0k2B:8i5+1c69541<,8i969jm;h33=?6=,=:n6;i7<=f:9~f13529096=4?{%1`2B?<;5G3g18 16b2>i0D<:k;%3a0?76?2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8?xd3>k0;6?4?:1y'7f>=98<0D9>9;I1e7>"38l04e9'5g2=98=0(j:034?>i5:90;6):?e;01b>=zj=n86=4=:183!5d03;::6F;079K7c5<,=:n6:m4H06g?!7e<3;:;6*>c387`g=n9931<7*;0d8252=52;294~"4k10:=;5G4148L6`43->;i79l;I37`>"6j=0:=:5+1b090ad5$52f>74a32wi4<4?:383>5}#;j21=<84H525?M5a;2.?1=<94$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n21vn98i:181>5<7s-9h47?>6:J743=O;o90(9>j:6a8L42c3-;i87?>7:&2g7<3lk1b==750;&74`<69>10c?7<729q/?n651048L1613A9m?6*;0d84g>N6!27m389j65rb7094?4=83:p(>m7:035?M27>2B8j>5+41g93f=O9=n0(on6g>0883>!27m3;:;65`23294?"38l09>k54}c42>5<5290;w)=l8;322>N38?1C?k=4$52f>2e<@8>o7)?m4;323>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4;|`54?6=:3:1h<;%63a?1d3A;?h6*>b58252=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?;i7<=f:9~f0b=8381<7>t$2a;>4713A>;:6F4703-;h>7:kb:k24<<72->;i7?>7:9l676=83.?2B?<;5G3g18 16b2>i0D<:k;%3a0?76?2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8?xd2j3:1>7>50z&0g=<69?1C8=84H2d0?!27m3=h7E?;d:&2f1<69>1/=n<54e`8m46>290/8=k51058?j4583:1(9>j:30e?>{e=h0;6?4?:1y'7f>=98<0D9>9;I1e7>"38l04e9'5g2=98=0(j:034?>i5:90;6):?e;01b>=zj<31<7<50;2x 6e?28;=7E:?6:J0b6=#<9o1;n5G15f8 4d328;<7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=c387`g=n9931<7*;0d8252=;7>52;294~"4k10:=;5G4148L6`43->;i79l;I37`>"6j=0:=:5+1b090ad5$52f>74a32wi9;4?:383>5}#;j21=<84H525?M5a;2.?1=<94$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n21vn8;50;094?6|,:i36N4n:1/8=k57b9K51b<,8h?6?>50;&74`<5:o10qo;;:181>5<7s-9h47?>6:J743=O;o90(9>j:6a8L42c3-;i87?>7:&2g7<3lk1b==750;&74`<69>10c?179K050<@:l87):?e;5`?M73l2.:n94>169'5f4=6:18'05c=98=07b<=0;29 16b2;8m76sm6883>7<729q/?n651048L1613A9m?6*;0d84g>N6!27m389j65rb7:94?4=83:p(>m7:035?M27>2B8j>5+41g93f=O9=n0(on6g>0883>!27m3;:;65`23294?"38l09>k54}c44>5<5290;w)=l8;322>N38?1C?k=4$52f>2e<@8>o7)?m4;323>"6k;0?ho5f11;94?"38l0:=:54o303>5<#<9o1>?h4;|`52?6=:3:1h<;%63a?1d3A;?h6*>b58252=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?;i7<=f:9~f32=8381<7>t$2a;>4713A>;:6F4703-;h>7:kb:k24<<72->;i7?>7:9l676=83.?2B?<;5G3g18 16b2>i0D<:k;%3a0?76?2.:o?4;dc9j55?=83.?

1698k747290/8=k523d8?xd2m3:1>7>50z&0g=<69?1C8=84H2d0?!27m3=h7E?;d:&2f1<69>1/=n<54e`8m46>290/8=k51058?j4583:1(9>j:30e?>{e<0i1<7:52;6x 6e?28>h7E:?6:J0b6=#9j818il4i02:>5<m7:035?!27m3=h7E?;d:&2f1<69>1/=n<54e`8m46>290/8=k51058?j4583:1(9>j:30e?>{e:;81<7=51;1x 6e?2;897E?;d:&2f1<5:o1/=n<54e`8m46>2900elm50;9l676=831i8=o50;194?6|,=:n6>l=;h33=?6=,=:n6;i76i;:m165<72->;i7<=f:J0f6=52z\24<=:<9k1==74}rc`>5<5sWkh70:?a;1a5>{t:;:1<75<5sW89<63>178165=z{88o6=4<{_31`>;69?0:<452230967652z\24<=::;81==74}rc`>5<5sWkh70<=2;c`?x{e0:0;6?4?:1y'7f>=:;;0D9>9;I1e7>"38l04e9'5g2=:;l0(j:034?>i5:90;6):?e;01b>=zj=?;6=4=:183!5d0389=6F;079K7c5<,=:n6:m4H06g?!7e<389j6*>c387`g=n9931<7*;0d8252=5}#;j21>??4H525?M5a;2.?1>?h4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n21vn9o::181>5<7s-9h47<=1:J743=O;o90(9>j:6a8L42c3-;i87<=f:&2g7<3lk1b==750;&74`<69>10c?6:18'05c=98=07b<=0;29 16b2;8m76sm48c94?4=83:p(>m7:302?M27>2B8j>5+41g93f=O9=n0(on6g>0883>!27m3;:;65`23294?"38l09>k54}c6:5?6=:3:1h<;%63a?1d3A;?h6*>b5816c=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?t$2a;>7463A>;:6F74a3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?7>50z&0g=<5:81C8=84H2d0?!27m3=h7E?;d:&2f1<5:o1/=n<54e`8m46>290/8=k51058?j4583:1(9>j:30e?>{e<;k1<7=51;1x 6e?2;897E:?6:J0b6=O9=n0(on6g>0883>>ofk3:17b<=0;29?g27i3:1?7>50z&74`<4j;1b==750;&74`<69>10e>l>:18'05c=0o10c?l<;:p55?=838pR<>6;<63e?7712wxmn4?:3y]ef=:<9k1?o?4}r014?6=:rT9>=5241c96765}#;j21>??4H525?M5a;2.?1>?h4$0a1>1be3`;;57>5$52f>47032e9>=4?:%63a?45n21vn97<:181>5<7s-9h47<=1:J743=O;o90(9>j:6a8L42c3-;i87<=f:&2g7<3lk1b==750;&74`<69>10c?6<62:q/?n652308L1613A9m?6F>4e9'5g2=:;l0(n:180>5<7s->;i7=m2:k24<<72->;i7?>7:9j7g7=83.?6;|qbg?6=:rTjo63;0`80f4=z{;8;6=4={_014>;38h09>=5r}c6;=?6=:3:1h<;%63a?1d3A;?h6*>b5816c=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?t$2a;>7463A>;:6F74a3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?7>50z&0g=<5:81C8=84H2d0?!27m3=h7E?;d:&2f1<5:o1/=n<54e`8m46>290/8=k51058?j4583:1(9>j:30e?>{eo6800;6):?e;323>=h:;:1<7*;0d816c=>;7>52;294~"4k109><5G4148L6`43->;i79l;I37`>"6j=09>k5+1b090ad5$52f>74a32wi8:?50;195?5|,:i36?<=;I632>N4n:1C=9j4$0`7>74a3-;h>7:kb:k24<<722cjo7>5;n014?6=3k>;m7>53;294~"38l08n?5f11;94?"38l0:=:54i2`2>5<#<9o14k54o303>5<#<9o1>?h4H2`0?>{t9931<77}Yij168=o53c38yv4583:1>vP=219>05g=:;:0qpl;b883>6<62:q/?n652308L1613A9m?6F>4e9'5g2=:;l0(n:180>5<7s->;i7=m2:k24<<72->;i7?>7:9j7g7=83.?6;|qbg?6=:rTjo63;0`80f4=z{;8;6=4={_014>;38h09>=5r}c66g?6=:3:1h<;%63a?1d3A;?h6*>b5816c=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?t$2a;>7463A>;:6F74a3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?7>50z&0g=<5:81C8=84H2d0?!27m3=h7E?;d:&2f1<5:o1/=n<54e`8m46>290/8=k51058?j4583:1(9>j:30e?>{e:l<1<7<50;2x 6e?2;8:7E:?6:J0b6=#<9o1;n5G15f8 4d32;8m7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=52;294~"4k109><5G4148L6`43->;i79l;I37`>"6j=09>k5+1b090ad5$52f>74a32wi?<750;094?6|,:i36?<>;I632>N4n:1/8=k57b9K51b<,8h?6??>50;&74`<5:o10qo=>1;296?6=8r.8o54=209K050<@:l87):?e;5`?M73l2.:n94=2g9'5f4=6:18'05c=98=07b<=0;29 16b2;8m76sm31`94?4=83:p(>m7:302?M27>2B8j>5+41g93f=O9=n0(on6g>0883>!27m3;:;65`23294?"38l09>k54}c131?6=:3:1h<;%63a?1d3A;?h6*>b5816c=#9j818il4i02:>5<#<9o1=<94;n014?6=,=:n6?t$2a;>7463A>;:6F74a3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?7>50z&0g=<5:81C8=84H2d0?!27m3=h7E?;d:&2f1<5:o1/=n<54e`8m46>290/8=k51058?j4583:1(9>j:30e?>{e:o91<7<50;2x 6e?2;8:7E:?6:J0b6=#<9o1;n5G15f8 4d32;8m7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=52;294~"4k109><5G4148L6`43->;i79l;I37`>"6j=09>k5+1b090ad5$52f>74a32wi>h950;094?6|,:i36?<>;I632>N4n:1/8=k57b9K51b<,8h?6??>50;&74`<5:o10qo6:18'05c=98=07b<=0;29 16b2;8m76sm3b83>4<729q/8=k526f8L6`43A;:46*>b58252=#9j>1>;m4i35g>5<#<9o1>:j4;|`0`?6=93:15G10:8 4d328;<7)?l4;006>o5?m0;6):?e;04`>=zj:l1<7?50;2x 16b2;=o7E=i3:J25==#9k>1=<94$0a7>7543`85$52f>71c32wi8=4?:083>5}#<9o1>:j4H2d0?M7602.:n94>169'5f2=::>0e?9k:18'05c=:>n07pl;1;295?6=8r.?"6k=09?85f26f94?"38l09;i54}c61>5<6290;w):?e;04`>N4n:1C=<64$0`7>4703-;h87<<6:k13a<72->;i7<8d:9~f15=83;1<7>t$52f>71c3A9m?6F>199'5g2=98=0(j:35g?>{e<=0;6<4?:1y'05c=:>n0D>h<;I32<>"6j=0:=:5+1b6966>51;294~"38l09;i5G3g18L47?3-;i87?>7:&2g1<5;01b>:j50;&74`<5?m10qo:9:182>5<7s->;i7<8d:J0b6=O9820(!27m38j:35g?M5a;2B:=55+1c69541<,8i?6?=n;h04`?6=,=:n6?9k;:a0=<7280;6=u+41g962b<@:l87E?>8:&2f1<69>1/=n:522`8m71c290/8=k526f8?xd313:1=7>50z&74`<5?m1C?k=4H03;?!7e<3;:;6*>c5817a=n:>n1<7*;0d813a=i6=4>:183!27m38<,8h?6N6911/=o:51058 4e32;=j7d<8d;29 16b2;=o76sm4e83>4<729q/8=k526f8L6`43A;:46*>b58252=#9j>1>:l4i35g>5<#<9o1>:j4;|`7a?6=93:15G10:8 4d328;<7)?l4;00g>o5?m0;6):?e;04`>=zj<:1<7?50;2x 16b2;=o7E=i3:J25==#9k>1=<94$0a7>7223`85$52f>71c32wi9<4?:083>5}#<9o1>:j4H2d0?M7602.:n94>169'5f2=:=n0e?9k:18'05c=:>n07pl:2;295?6=8r.?"6k=099o5f26f94?"38l09;i54}c70>5<6290;w):?e;04`>N4n:1C=<64$0`7>4703-;h87<93:k13a<72->;i7<8d:9~f=6=83;1<7>t$52f>71c3A9m?6F>199'5g2=98=0(j:35g?>{e0;0;6<4?:1y'05c=:>n0D>h<;I32<>"6j=09>k5+1b6960g51;294~"38l09;i5G3g18L47?3-;i87<=f:&2g1<5=01d>:j50;&74`<5?m10qo69:182>5<7s->;i7<8d:J0b6=O9820(!27m38j:35g?M5a;2B:=55+1c69541<,8i?6?:>;h04`?6=,=:n6?9k;:abc<7280;6=u+41g962b<@:l87E?>8:&2f1<69>1/=n:52508m71c290/8=k526f8?xd50:0;6<4?:1y'05c=:>n0D>h<;I32<>"6j=09>k5+1b696035}#<9o1>:j4H2d0?M7602.:n94=2g9'5f2=:<=0c?9k:18'05c=:>n07pl=8783>4<729q/8=k526f8L6`43A;:46*>b5816c=#9j>1>8k4o35g>5<#<9o1>:j4;|`1<2<7280;6=u+41g962b<@:l87E?>8:&2f1<5:o1/=n:52418k71c290/8=k526f8?xd5010;6<4?:1y'05c=:>n0D>h<;I32<>"6j=09>k5+1b696075}#<9o1>:j4H2d0?M7602.:n94=2g9'5f2=:=l0c?9k:18'05c=:>n07pl=8`83>4<729q/8=k526f8L6`43A;:46*>b5816c=#9j>1>9k4o35g>5<#<9o1>:j4;|`18:&2f1<5:o1/=n:52428k71c290/8=k526f8?xd50j0;6<4?:1y'05c=:>n0D>h<;I32<>"6j=09>k5+1b696045}#<9o1>:j4H2d0?M7602.:n94=2g9'5f2=:<>0c?9k:18'05c=:>n07pl=8d83>4<729q/8=k526f8L6`43A;:46*>b5816c=#9j>1>884o35g>5<#<9o1>:j4;|`18:&2f1<5:o1/=n:524:8k71c290/8=k526f8?xd39m0;6<4?:1y'05c=:>n0D>h<;I32<>"6j=09>k5+1b69626>4?:083>5}#<9o1>:j4H2d0?M7602.:n94=2g9'5f2=:?l0c?9k:18'05c=:>n07pl;2683>4<729q/8=k526f8L6`43A;:46*>b58252=#9j>1>994i35g>5<#<9o1>:j4;|`76<<7280;6=u+41g962b<@:l87E?>8:&2f1<5:o1/=n:527g8k71c290/8=k526f8L6d432wi8><50;394?6|,=:n6?9k;I1e7>N6911/=o:523d8 4e32;=97b<8d;29 16b2;=o76sm42494?7=83:p(9>j:35g?M5a;2B:=55+1c6967`<,8i?6?8;;n04`?6=,=:n6?9k;:a06g=83;1<7>t$52f>71c3A9m?6F>199'5g2=98=0(j:35g?>{e<:o1<7?50;2x 16b2;=o7E=i3:J25==#9k>1>?h4$0a7>7023f85$52f>71c32wi89650;394?6|,=:n6?9k;I1e7>N6911/=o:523d8 4e32;>i7b<8d;29 16b2;=o76sm45d94?7=83:p(9>j:35g?M5a;2B:=55+1c6967`<,8i?6?:<;n04`?6=,=:n6?9k;:a007=83;1<7>t$52f>71c3A9m?6F>199'5g2=98=0(j:35g?>{e<<<1<7?50;2x 16b2;=o7E=i3:J25==#9k>1>?h4$0a7>7163f85$52f>71c32wi88o50;394?6|,=:n6?9k;I1e7>N6911/=o:523d8 4e32;=>7b<8d;29 16b2;=o76sm47194?7=83:p(9>j:35g?M5a;2B:=55+1c6967`<,8i?6?9<;n04`?6=,=:n6?9k;:a03g=83;1<7>t$52f>71c3A9m?6F>199'5g2=98=0(j:35g?>{e1=<94$0a7>7213`85$52f>71c32wi8:>50;394?6|,=:n6?9k;I1e7>N6911/=o:523d8 4e32;1290:6=4?{%63a?40l2B8j>5G10:8 4d32;8m7)?l4;07g>i5?m0;6):?e;04`>=zj=3;6=4>:183!27m38<,8h?6?5<7s->;i7<8d:J0b6=O9820(!27m385<6290;w):?e;04`>N4n:1C=<64$0`7>74a3-;h87<:f:m13a<72->;i7<8d:9~f1?e290:6=4?{%63a?40l2B8j>5G10:8 4e32;==7&<8d;29 16b2;=o76sm4`294?7=83:p(9>j:35g?M5a;2B:=55+1c6967`<,8i?6?:n;n04`?6=,=:n6?9k;:a0d5=83;1<7>t$52f>71c3A9m?6F>199'5g2=:;l0(j:35g?>{e1=<94$0a7>72?3`85$52f>71c32wi8l750;394?6|,=:n6?9k;I1e7>N6911/=o:523d8 4e32;5G10:8 4d32;8m7)?l4;053>i5?m0;6):?e;04`>N4j:10qo:k0;295?6=8r.?"6k=09;55`26f94?"38l09;i54}c6g6?6=93:150z&74`<5?m1C?k=4H03;?!7e<3;:;6*>c58101=n:>n1<7*;0d813a=8o7>51;294~"38l09;i5G3g18L47?3-9m=7=m4:&2f1<69>1/=n:52748m71c290/8=k526f8?xd3;m0;6?4?:1y'05c=?j1/?n651048 4d328;<7)=i1;1a0>"49o08n95G3g18L42c3-;h>7:kb:k24<<72->;i7?>7:9l676=83.?50z&74`<5?m1C?k=4H03;?!5a939i86*>b58252=#9j>1>;<4i35g>5<#<9o1>:j4;|`0e6<72;0;6=u+41g93f=#;j21=<84$0`7>4703-9m=7=m4:&05c<4j=1C?k=4H06g?!7d:3>on6g>0883>!27m3;:;65`23294?"38l09>k54}c1:b?6=93:1169'5f2=:n07pl7<729q/8=k57b9'7f>=98<0(?>50;&74`<5:o10qo=6d;295?6=8r.?"6j=0:=:5+1b6960b5}#<9o1;n5+3b:9540<,8h?6o7)?l2;6gf>o6800;6):?e;323>=h:;:1<7*;0d816c=9<7??9:p62<72;q68077=9930q~{t;j0;6>uQ3b9>11<68016?n4=7e9~w6b=839pR>j4=47955?<5:n1>:j4}r1f>5<4sW9n70;9:02:?85b2;=o7p};7??9:?0b?40l2wx8=4?:2y]05=:=10:<45241813a=z{=;1<7=t^53890?=993019?526f8yv252908wS:=;<7b>46>34>96?9k;|q77?6=;rT??63:b;33=>;3;386}Y<=169n4>089>01<5?m1v9;50;1xZ13<5=6=4<{_65?83b28:270:9:35g?xu3?3:1?vP;7:?6b?77127?;7<8d:p0=<72:qU855261824<=:<109;i5rs5;94?5|V=301;?511;891?=:>n0q~:m:180[2e34<96<>6;<6a>71c3ty?o7>53z\7g>;1;3;;563;c;04`>{tuQ4e9>21<680168i4=7e9~w1c=839pR9k4=77955?<5=o1>:j4}r6e>5<4sW>m7089:02:?82a2;=o7p}:0;297~X2827=;7??9:?64?40l2wx9<4?:2y]14=:>10:<45250813a=z{<81<7=t^40893?=993018<526f8yv342908wS;<;<4b>46>34?86?9k;|q60?6=;r7?=:4l;<6g1>?>4}r76>5<4s4>:;7j4=5f;>a=:=<09>=5rs4494?5|5=;<6h524e:9a>;2>389<6s|5683>6}:<8=1j63;d98e?8302;8;7p}:8;297~;39>0:<63;d9824>;20389<6s|5883>6}:<8=1=<524e:954=:=009>=5rs4c94?5|5=;<6<<4=5f;>44<5?>4}r7a>5<4s4>:;7?<;<6g4:?7`=<6<27>o7<=0:p1a<72:q68<95149>0a>=9<169i4=219~w0c=838p19j7:04890c=:;:0q~;i:180826?3;<70:k8;34?83a2;8;7p}90;297~;39>0:563;d982=>;18389<6s|6083>7}:<8=1=l52608165=z{?81<74e<5?81>?>4}r40>5<5s4>o47?k;<40>7473ty=87>52z?7`=<6m27=87<=0:p20<72;q68i651g9>20<5:91v;850;0x91b?2;:01;852328yv002909w0:k8;02?8002;8;7p}98;296~;3l109>6398;014>{t>00;6>u2405966=:>52688165=z{?k1<7=t=534>72<5=n36?:4=7c9676::78m;<607?77127?>l4nc:?7ed4?:5y>041=?:168i65729>04e=?:168<65729~w=6=839pR5>4=93955?<51:1>:j4}r:2>5<5s4>:576?;<:2>7473ty3>7>53z\;6>;?;389<6372;04`>{t0=0;6>uQ859><0<5:916494=7e9~w=3=83>p19>7:313?826039=46373;33=>;?=3;;56s|8783>6}Y0?164:4>089><3<5?m1v5950;0x917>21<015952328yvgd2908wSol;46>34kh6?9k;|qe:j4}r334?6=>r7?=:479:?7`=63;198257=z{88n6=4={<62g?75m27?=44>2d9~w4232909w0:>c;370>;39108:>5rs06f>5<5s4>:;7?;e:?75f<6j63;18822<=z{86`9~w40a2909w0:>c;35b>;3900::k5rs05f>5<5s4>:o7?8e:?75g<6801v089~w77c2908w0:6c;014>;39>0?5o5240;9053z\1<6=::ml1>?>4=3:0>71c3ty9494?:2y]6=2<5;o>6?5850;1xZ7>1348n:7<=0:?1<3<5?m1v?68:180[4??279i:4=219>6=1=:>n0q~<78;297~X50116>hj5232897>?2;=o7p}=8883>6}Y:1301?h<:303?84?138;50h09;i5rs3:a>5<4sW83n63=fg8165=::1h1>:j4}r0;g?6=;rT94n523179676<5;2h6?9k;|q15j4=22a>7473483h7<8d:p6=c=839pR?6j;<125?4582794h4=7e9~w7>a2908wS<7f:?05<<5:916>5h526f8yv4>93:1>v3;1681=4=:4?4}r0`5?6=:r7?h54=c09>04>=;522ed955?52z?7`=<50=16>h;511;8yv4b>3:1>v3;d981<3=::l<1==74}r0f3?6=:r7?h54=869>6`1=9930q~52z?7`=<50016>k=511;8yv4a13:1>v3;d9816c`=9930q~=?5;296~;3l1094n52317955?52z?7`=<50m16?=l511;8yv5693:1>v3;d981<`=:;8;1==74}r12=?6=:r7?h54=8g9>74?=9930q~==3;297~;39>08>>524e:9775<5=;h6><<;|q0<=<72;q68i6539;891712:237p}<9483>7}:6?23ty?=l4?:3y>04?=9j:019?m:303?xu39m0;6>uQ40f8917b2;8;70:>d;04`>{t<8o1<774734>:i7??9:p04`=838p19997<=0:?766<5?m1v9<::181825<389<63;24824<=z{=8<6=4<{_613>;3:10:<452435962b947>54z?7`=<3:?168{t<;31<7=t^50:?825i389<63;28813a=z{=8i6=4={<62=?25k27?>l4>089~w1552908wS:<2:?771<5:9168><526f8yv24<3:1>v3;328165=:<:>1==74}r602?6=;rT??;5242;9676<5=9=6?9k;|q77<<72;q6828:27p};3`83>6}Y<:k019=m:02:?824i38k526f8yv24n3:1>v3;17877`=:<:l1==74}r6736?9k;|q70g<72;q68i6545:8912e28:27p};4g83>6}Y<=l019;?:303?823n38>>7??9:?714<5?m1v9;=:180826k3>=563;18870`=:<<81>?>4}r662?6=;rT?9;524459676<5=?=6?9k;|q712<72;q68<754448913028:27p};5`83>6}Y<=;7<=0:?726<5?m1v988:18182613>=?63;66824<=z{=;3>k0:<45247c962b=n7>52z?753<3>h168;l52328yv21m3:1?vP;6d9>03`=9930198j:35g?xu3>o0;6>u24e:903b<5=;h698k;<65b?4582wx8:>50;1xZ11734><=7<=0:?735<5?m1v99=:18182613>=h63;70824<=z{=2=6=4<{_6;2>;30009>=52494962b357>52z?7`=<30?16857511;8yv2>83:1?vP;919>0<7=:;:0197?:35g?xu3180;6?u24e:902`<5=3:6<>6;|q7=7<72:qU84<4=5;0>74734>2>7<8d:p0<5=838p19j7:5`3?82>;3;;56s|48694?5|V=3?70:6a;014>;31=09;i5rs5;b>5<5s4>o47:64:?7=d<6801v97m:180[2>j27?5n4>2e9>0n0q~:6d;296~;31l09>=5248a9ef=z{=3m6=4={<622?2>n27?5h4>089~w1g72908wS:n0:?7e7<5:9168l>526f8yv2f:3:1>v3;d987e5=:524`79676<5=k86?9k;|q7e0<72;q68i654`1891g228:27p};a683>6}Y27?m54=219~w1g>2908wS:n9:?7ed<5:9168l7526f8yv2fj3:1>v3;1887e3=:28:27p};d183>6}Y:303?82c838o?7??9:?7`7<5?m1v9j<:18182613>hh63;d28165=z{=n>6=4<{_6g1>;3l?0:<4524e7962bo:7>54z?752<3l=168i654e68917d2=n?70:k6;014>{t<:i1<7=t^51`?824k38;4i;09;i523`1955?53z\0=c=:;0l1>:j4=2c3>46>3ty85i4?:2y]7j50;3x915c2;8;7p}4}:;h91>?>4}r1b4?6=9r78m=4=219~w6?b290:w0=6e;014>{zf8=on7>54zJ0b6=zf8=oo7>54zJ0b6=zf8=oh7>53zJ0b6=zf8=oi7>51zJ0b6=N:>n1=v?5}[331?7|9j>1qp`>7ed94?7|@:l87D<8d;3x5?{]99?1=v?l4;~j41b83:1=vF5F26f95~7=uS;;97?t1b69yxh6?l91<7?tH2d0?L40l3;p=7sU11795~7d<3wvb<9j4;295~N4n:1B>:j51z39y_77=3;p=n:5}|l23`3=83;pD>h<;H04`?7|93wQ==;51z3`0?{zf8=n:7>51zJ0b6=N:>n1=v?5}[331?7|9j>1qp`>7d594?7|@:l87D<8d;3x5?{]99?1=v?l4;~j41b03:1=vF5F26f95~7=uS;;97?t1b69yxh6?lh1<7?tH2d0?L40l3;p=7sU11795~7d<3wvb<9jc;295~N4n:1B>:j51z39y_77=3;p=n:5}|l23`b=83;pD>h<;H04`?7|93wQ==;51z3`0?{zf8=ni7>51zJ0b6=N:>n1=v?5}[331?7|9j>1qp`>7dd94?7|@:l87D<8d;3x5?{]99?1=v?l4;~j41a83:1=vF5F26f95~7=uS;;97?t1b69yxh6?o91<7?tH2d0?L40l3;p=7sU11795~7d<3wvb<9i4;295~N4n:1B>:j51z39y_77=3;p=n:5}|l23c3=839pD>h<;|l23c0=839pD>h<;|l23c1=839pD>h<;|l23c>=839pD>h<;|l23c?=839pD>h<;|l23cg=839pD>h<;|l23cd=839pD>h<;|l23ce=839pD>h<;|l23cb=839pD>h<;|l23cc=83>pD>h<;|l23c`=838pD>h<;|l2<56=839pD>h<;|l2<57=83>pD>h<;|l2<54=838pD>h<;|l2<55=838pD>h<;|l2<52=838pD>h<;|l2<53=838pD>h<;|l2<50=838pD>h<;|l2<51=838pD>h<;|l2<5>=838pD>h<;|l2<5?=838pD>h<;|l2<5g=839pD>h<;|l2<5d=839pD>h<;|l2<5e=833pD>h<;|l2<5b=83hpD>h<;|l2<5c=83;pD>h<;H04`?7|93wQ==;51z3`0?{zf82;j7>51eyK7c55<6sA9m?6G=7e8276c58~yk7?9;0;604824e32twe=5?;:181M5a;2we=5?::182M5a;2C9;i4>{08~^46228q:o94r}o3;53<72>qC?k=4}o3;52<728qC?k=4I35g>4}62tP:<84>{0a7>x{i91;36=493zJ0b6=zf82:57>53zJ0b6=zf82:m7>57zJ0b6=zf82:n7>53zJ0b6=zf82:o7>53zJ0b6=zf82:h7>54zJ0b6=zf82:i7>53zJ0b6=zf82:j7>57zJ0b6=zf829<7>53zJ0b6=zf829=7>54zJ0b6=zf829>7>53zJ0b6=zf829?7>55zJ0b6=zf82987>51zJ0b6=N:>n1=v<5}[331?7|9j>1qp`>83794?7|@:l87D<8d;3x6?{]99?1=v?l4;~j4>5>3:1=vF5F26f95~4=uS;;97?t1b69yxh60;31<7?tH2d0?L40l3;p>7sU11795~7d<3wvb<6=a;295~N4n:1B>:j51z09y_77=3;p=n:5}|l2<7d=83;pD>h<;H04`?7|:3wQ==;51z3`0?{zf829o7>51zJ0b6=N:>n1=v<5}[331?7|9j>1qp`>83f94?7|@:l87D<8d;3x6?{]99?1=v?l4;~j4>5m3:1=vF5rn0:05?6=;rB8j>5rn0:06?6=:rB8j>5rn0:01?6=:rB8j>5rn0:02?6=:rB8j>5rn0:03?6=:rB8j>5rn0:05rn0:0=?6=:rB8j>5rn0:0e?6=:rB8j>5rn0:0f?6=:rB8j>5rn0:0g?6=:rB8j>5rn0:0`?6=:rB8j>5rn0:0a?6=5rn0:0b?6=>rB8j>5rn0:74?6=?rB8j>5rn0:75?6=:rB8j>5rn0:76?6=9rB8j>5F26f95~4=uS;;97?t1b69yxh60=91<7?tH2d0?xh60=>1<7?tH2d0?xh60=?1<7?tH2d0?xh60=<1<7?tH2d0?L40l3;p>7sU11795~7d<3wvb<6;7;295~N4n:1vb<6;8;295~N4n:1B>:j51z39y_77=3;p=n:5}|l2<1?=83>pD>h<;|l2<1g=838pD>h<;H04`?7|;3wQ==;51z3`0?{zf82?n7>55zJ0b6=zf82?o7>51zJ0b6=N:>n1=v<5}[331?7|9j>1qp`>85f94?7|@:l87p`>85g94?7|@:l87D<8d;3x6?{]99?1=v?l4;~j4>3n3:1>vF283:1=vF5rn0:60?6=9rB8j>5F26f95~4=uS;;97?t1b69yxh607sU11795~7d<3wvb<6:7;297~N4n:1vb<6:8;295~N4n:1B>:j51z39y_77=3;p=n:5}|l2<0?=839pD>h<;|l2<0g=83;pD>h<;H04`?7|:3wQ==;51z3`0?{zf82>n7>54zJ0b6=zf82>o7>51zJ0b6=N:>n1=v<5}[331?7|9j>1qp`>84f94?4|@:l87p`>84g94?7|@:l87D<8d;3x6?{]99?1=v?l4;~j4>2n3:1>vF183:1=vF5rn0:50?6=:rB8j>5F26f95~5=uS;;97?t1b69yxh60??1<7;tH2d0?xh60?<1<7?tH2d0?L40l3;p>7sU11795~7d<3wvb<697;296~N4n:1vb<698;295~N4n:1B>:j51z09y_77=3;p=n:5}|l2<3?=838pD>h<;|l2<3g=83;pD>h<;H04`?7|:3wQ==;51z3`0?{zf82=n7>52zJ0b6=zf82=o7>51zJ0b6=N:>n1=v<5}[331?7|9j>1qp`>87f94?4|@:l87p`>87g94?5|@:l87D<8d;1x7?7=;3wQ==;51z3`0?{zf82=j7>53zJ0b6=zf82<<7>54zJ0b6=zf82<=7>51zJ0b6=N:>n1=v<5}[331?7|9j>1qp`>86094?4|@:l87p`>86194?7|@:l87D<8d;3x6?{]99?1=v?l4;~j4>0<3:1>vF0=3:1=vF5rn0:4=?6=:rB8j>5F26f95~5=uS;;97?t1b69yxh60>k1<7:tH2d0?xh60>h1<7?tH2d0?L40l3;p>7sU11795~7d<3wvb<68c;296~N4n:1vb<68d;295~N4n:1B>:j51z39y_77=3;p=n:5}|l2<2c=838pD>h<;|l2<2`=83;pD>h<;H04`?7|93wQ==;51z3`0?{zf823<7>55zJ0b6=zf8<96=4>{I1e7>{i9?91<7?tH2d0?xh6><0;63:1=vF=83;pD>h<;|l22<<728qC?k=4}o35e?6=9rB8j>5rn04a>5<6sA9m?6sa17a94?7|@:l87p`>6e83>4}O;o90qc?9e;295~N4n:1vb<8i:182M5a;2we=:>50;3xL6`43td:;?4?:0yK7c551zJ0b6=zf8=?6=4>{I1e7>{i9>?1<7uG3g18yk70?3:1?vFh<;|l23d<728qC?k=4}o34f?6=9rB8j>5rn05`>5<6sA9m?6sa16g94?7|@:l87p`>7g83>4}O;o90qc?71;295~N4n:1vb<6=:182M5a;2we=5=50;3xL6`43td:494?:0yK7c551zJ0b6=zf82=6=4>{I1e7>{i91=1<7f290:wE=i3:m5=d=83;pD>h<;|l52c<72:qC?k=4}o445?6=:rB8j>5rn75e>5<4sA9m?6sa69294?5|@:l87p`98083>4}O;o90qc872;295~N4n:1vb;6<:182M5a;2we:5:50;3xL6`43td=484?:0yK7c551zJ0b6=zf?2<6=4>{I1e7>{i>121<7?tH2d0?xh1000;6e290:wE=i3:m2=e=83;pD>h<;|l55rn7:e>5<6sA9m?6sa68294?7|@:l87p`99083>4}O;o90qc862;295~N4n:1vb;7<:182M5a;2we:4:50;3xL6`43td=584?:0yK7c551zJ0b6=zf?3<6=4>{I1e7>{i>021<7?tH2d0?xh1100;6i3:1?vFh<;|l5=a<728qC?k=4}o4:a?6=9rB8j>5rn7;e>5<6sA9m?6sa6`294?7|@:l87p`9a083>4}O;o90qc8n2;295~N4n:1vb;o<:182M5a;2we:l:50;3xL6`43td=m84?:0yK7c551zJ0b6=zf?k<6=4>{I1e7>{i>h31<7?tH2d0?xh1ih0;6>uG3g18yk0fj3:1?vFh<;|l5e`<728qC?k=4}o4bb?6=9rB8j>5rn7`3>5<6sA9m?6sa6c394?7|@:l87p`9b383>4}O;o90qc8m3;295~N4n:1vb;l;:182M5a;2we:o;50;3xL6`43td=n;4?:0yK7c551zJ0b6=zf?h36=4>{I1e7>{i>k31<7?tH2d0?xh1jh0;6h<;|l5f`<728qC?k=4}o4ab?6=9rB8j>5rn7a3>5<6sA9m?6sa6b394?7|@:l87p`9c383>4}O;o90qc8l3;295~N4n:1vb;m;:182M5a;2we:n;50;3xL6`43td=o;4?:0yK7c551zJ0b6=zf?i36=4>{I1e7>{i>j31<7?tH2d0?xh1kh0;6h<;|l5g`<728qC?k=4}o4`b?6=9rB8j>5rn7f3>5<6sA9m?6sa6e394?7|@:l87p`9d383>4}O;o90qc8k3;295~N4n:1vb;j;:182M5a;2we:i;50;3xL6`43td=h;4?:0yK7c551zJ0b6=zf?n36=4>{I1e7>{i>m31<7?tH2d0?xh1lh0;6h<;|l5``<728qC?k=4}o4gb?6=9rB8j>5rn7g3>5<6sA9m?6sa6d394?7|@:l87p`9e383>4}O;o90qc8j3;295~N4n:1vb;k::180M5a;2we:h850;1xL6`43td=i:4?:0yK7c551zJ0b6=zf?o26=4>{I1e7>{i>lk1<7?tH2d0?xh1mk0;6h<;|l5ac<728qC?k=4}o4e4?6=9rB8j>5rn7d2>5<6sA9m?6sa6g094?7|@:l87p`9f283>6}O;o90qc8i4;297~N4n:1vb;h::182M5a;2we:k850;3xL6`43td=j:4?:0yK7c551zJ0b6=zf?l26=4>{I1e7>{i>ok1<7?tH2d0?xh1nk0;6h<;|l5bc<728qC?k=4}o534?6=9rB8j>5rn622>5<6sA9m?6sa71094?7|@:l87p`80283>4}O;o90qc9?4;295~N4n:1vb:>::182M5a;2we;=850;3xL6`43td<<:4?:2yK7c553zJ0b6=zf>:26=4>{I1e7>{i?9k1<7?tH2d0?xh08k0;6h<;|l44c<728qC?k=4}o524?6=9rB8j>5rn632>5<6sA9m?6sa70094?4|@:l87p`81283>7}O;o90qc9>4;295~N4n:1vb:?::182M5a;2we;<850;3xL6`43td<=:4?:0yK7c551zJ0b6=zf>;26=4>{I1e7>{i?8k1<7?tH2d0?xh09k0;6h<;|l45c<728qC?k=4}o514?6=9rB8j>5rn602>5<6sA9m?6sa73094?7|@:l87p`82283>4}O;o90qc9=4;295~N4n:1vb:<::182M5a;2we;?850;3xL6`43td<>:4?:0yK7c551zJ0b6=zf>826=4>{I1e7>{i?;k1<7?tH2d0?xh0:k0;6h<;|l475<72=qC?k=4}o505?6=;rB8j>5rn611>5<6sA9m?6sa72194?5|@:l87p`83483>6}O;o90qc9<6;295~N4n:1vb:=8:180M5a;2we;>650;1xL6`43td53zJ0b6=zf>9m6=4>{I1e7>{i?=:1<7?tH2d0?xh0<80;6h<;|l402<728qC?k=4}o575rn66:>5<6sA9m?6sa75g94?7|@:l87p`84g83>4}O;o90qc9:0;295~N4n:1vb:;>:182M5a;2wem;:50;0xL6`43tdj::4?:2yK7c555zJ0b6=zfh<26=4={I1e7>{ii?k1<7k0;6h<;|lb2c<728qC?k=4}oc44?6=9rB8j>5rn`51>5<4sA9m?6saa6694?7|@:l87p`n7483>4}O;o90qco86;295~N4n:1vbl98:182M5a;2wem:650;3xL6`43tdj;44?:0yK7c553zJ0b6=zfh=h6=4<{I1e7>{ii>o1<76290:wE=i3:me=4=83;pD>h<;|lb<6<72;qC?k=4}oc;0?6=:rB8j>5rn`:4>5<4sA9m?6saa9:94?7|@:l87p`n8883>4}O;o90qco7a;295~N4n:1vbl6m:182M5a;2wem5m50;3xL6`43tdj4i4?:0yK7c551zJ0b6=zfh2m6=4>{I1e7>{ii0:1<7?tH2d0?xhf1;0;6?uG3g18ykg>;3:1=vFh<;|lb=3<72;qC?k=4}oc:3?6=9rB8j>5rn`;;>5<6sA9m?6saa8;94?7|@:l87p`n9`83>4}O;o90qco6b;295~N4n:1vbl7l:182M5a;2wem4j50;0xL6`43tdj5h4?:0yK7c551zJ0b6=zfhk;6=4>{I1e7>{iih;1<7?tH2d0?xhfi;0;6h<;|lbe2<728qC?k=4}ocb5rn`c:>5<6sA9m?6saa`c94?4|@:l87p`nac83>7}O;o90qconc;292~N4n:1vblok:187M5a;2wemlk50;cxL6`43tdjn=4?:3yK7c552zJ0b6=zfhh96=4>{I1e7>{iik91<7?tH2d0?xhfj=0;6h<;|lbf=<728qC?k=4}oca=?6=9rB8j>5rn``b>5<6sA9m?6saac`94?5|@:l87p`nbb83>6}O;o90qcomd;295~N4n:1vbllj:186M5a;2wemoh50;1xL6`43tdjo=4?:0yK7c551zJ0b6=zfhi96=4>{I1e7>{iij91<7?tH2d0?xhfk=0;6h<;|lbg=<728qC?k=4}oc`=?6=9rB8j>5rn`a`>5<6sA9m?6saabg94?7|@:l87p`ncg83>4}O;o90qcok0;295~N4n:1vblj>:182M5a;2wemi<50;3xL6`43tdi5:4?:2yK7c553zJ0b6=zfk326=4<{I1e7>{ij0h1<7?tH2d0?xhe1l0;68uG3g18ykdf83:1>vFh<;|lae1<72;qC?k=4}o`b1?6=:rB8j>5rncc5>5<6sA9m?6sab`594?4|@:l87p`ma983>7}O;o90qcln9;297~N4n:1vboon:182M5a;2wenll50;3xL6`43tdimn4?:0yK7c551zJ0b6=zfkh;6=4={I1e7>{ijk;1<7?tH2d0?xhej:0;6uG3g18yk77jl0;6uG3g18yk77k:0;64uG3g18yk77k=0;6>uG3g18yk77k>0;6>uG3g18yk77k10;6>uG3g18yk77k00;6>uG3g18yk77kh0;6>uG3g18yk77kk0;6uG3g18yk77l<0;60;6uG3g18yk77lj0;6uG3g18yk77lo0;6uG3g18yk77m;0;6uG3g18yk77m<0;60;6?uG3g18yk77m10;6?uG3g18yk77m00;60;6uG3g18yk77nm0;6>uG3g18yk77nl0;6uG3g18yk768?0;6>uG3g18yk768>0;6uG3g18yk769h0;6iuG3g18yk769k0;6>uG3g18yk769j0;6>uG3g18yk769m0;6uG3g18yk76:80;6>uG3g18yk76:;0;60;6uG3g18yk76:l0;6>uG3g18yk76:o0;6uG3g18yk76;k0;6>uG3g18yk76;j0;6>uG3g18yk76;m0;6>uG3g18yk76;o0;6>uG3g18yk76<90;6uG3g18yk76<10;6uG3g18yk760;6>uG3g18yk76=00;6?uG3g18yk76=h0;6?uG3g18yk76=k0;690;680;6;0;6:0;6=0;6<0;6?0;6>0;6uG3g18yk72im0;60;6>uG3g18yk72j10;6uG3g18yk72jh0;6>uG3g18yk72jk0;6uG3g18yk72jm0;6>uG3g18yk72jl0;6uG3g18yk72k=0;6?uG3g18yk72k<0;6?uG3g18yk72k?0;60;6uG3g18yk72kk0;6>uG3g18yk72kj0;6>uG3g18yk72km0;6>uG3g18yk72kl0;6>uG3g18yk72ko0;6>uG3g18yk72l90;6>uG3g18yk72l80;6>uG3g18yk72l;0;6>uG3g18yk72l:0;6>uG3g18yk72l=0;6>uG3g18yk72l<0;6>uG3g18yk72l?0;6>uG3g18yk72l>0;6?uG3g18yk72l10;6?uG3g18yk72l00;6?uG3g18yk72lh0;6?uG3g18yk72lk0;6?uG3g18yk72lj0;6?uG3g18yk72lm0;6?uG3g18yk72ll0;6?uG3g18yk72lo0;6?uG3g18yk72m90;6?uG3g18yk72m80;6?uG3g18yk72m;0;6?uG3g18yk72m:0;6>uG3g18yk72m=0;6>uG3g18yk72m<0;6>uG3g18yk72m?0;6>uG3g18yk72m>0;6>uG3g18yk72m10;6>uG3g18yk72m00;6>uG3g18yk72mh0;6>uG3g18yk72mk0;6>uG3g18yk72mj0;6>uG3g18yk72mm0;6>uG3g18yk72ml0;6>uG3g18yk72mo0;6<;tH2d0?xh6=o;1<71<7?tH2d0?xh6=o?1<7?tH2d0?xh6=o<1<79:1<7=tH2d0?xh6>9;1<7981<7?tH2d0?xh6>991<7=tH2d0?xh6>9>1<79?1<7?tH2d0?xh6>9=1<7921<7?tH2d0?xh6>931<79k1<79h1<79i1<79n1<79o1<7htH2d0?xh6>8:1<7=tH2d0?xh6>8;1<7?tH2d0?xh6>881<7?tH2d0?xh6>891<7?tH2d0?xh6>8>1<7?tH2d0?xh6>8?1<7?tH2d0?xh6>8<1<7?tH2d0?xh6>821<7=tH2d0?xh>=3:1?vsa8g83>4}zfhk1<7?t}o3g51zm663=839pqc<=f;295~{i:<>1<7=t}o07a?6=9rwe>:=50;1xyk41l3:1=vsa28094?5|ug83o7>51zm6g7=839pqchh50;1xyk4b13:1=vsa31g94?5|ug9;47>51zm77b=839pqc==7;295~{i;=i1<7=t}o172?6=9rwe?;l50;1xyk51=3:1=vsa76d94?7|ug=287>51zm3d?=83;pqc9l8;297~{i?j81<7?t}o5f3?6=;rwe;h?50;3xyk1ak3:1=vsa80394?7|ug29:7>51zm<6d=83;pqc6:0;295~{i0??1<7?t}o:;0?6=;rwe4:k50;3xyk>f;3:1?vsa88f94?7|ug2h>7>53zm51zmf5b=83;pqcl=2;295~{ij:=1<7?t}o`62?6=;rwen8>50;3xykdcn3:1=vsabgg94?5|ughm47>51zmg4b=839pqcm>7;295~{ik:i1<7=t}oa02?6=9rweo8l50;1xyke2=3:1=vsac6c94?5|ugi<87>51zmg51zm`43=839pqcj?f;295~{il:>1<7=t}of1a?6=9rweh8=50;1xykb3l3:1=vsad6094?5|ugn=o7>51zm`<7=839pqcj7b;295~{ilk:1<7=t}ofbe?6=9rwehnh50;1xykbd13:1=vsaddg94?5|ugnn47>51zma5b=839pqck?7;295~{im;i1<7=t}og12?6=9rwei9l50;1xykc3=3:1=vsae7c94?5|ugo=87>51zma=?=839pqck73;295~{imh21<7=t}ogb6?6=9rwe=<67:182xh690n1<7?t}o32f7<728qvb{|l25c7=83;pqc?=0783>4}zf88:n7>51zm5757290:wp`>25794?7|ug;99l4?:0y~j441n3:1=vsa13:7>5<6std:>4750;3xyk75il0;67;295~{i9:9=6=4<{|l2766=83;pqc?<5483>6}zf89?j7>51zm56132908wp`>37g94?7|ug;85>4?:2y~j45?l3:1=vsa12`1>5<4std:?lm50;3xyk74l80;6>urn01`f?6=9rwe=>h?:180xh6;lk1<7?t}o374c<72:qvb<:?9;295~{i912:6=4>{[331?7|9j>1qE<>f:m5=>5290:wW??5;3x5f2=uA8:j6sa19:0>5<6sS;;97?t1b69yM46n2we=56;:182_77=3;p=n:5}I02b>{i912>6=4>{|l2<=0=83;pqc?78683>4}zf82347>51z~yxFGKr;h>5498c;`f=czHIHp96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL>>0MCJ74:CPvcb24;?>89B[CUE488556OPFR@?568>3HUM_O2>4?;8EZ@TJ5;>245N_GQA840912KTJ^L316<:?DYA[K6:4374A^DPF97>611JSK]M<01:a=FWOYI0?0PICWE<>GXNZH7?3j4A^DPF959W@H^J55N_GQA818c3HUM_O2;>^KAQC>;:ZOE]O20MRH\B=;=`>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P735MUG33?GSNDMU_M_IJT@P@L==E]ZUBBKA>;B68G40J12I::@QFBTD5?F47ELDi7N2I99@KAb:A11HCIWFH^J>5L8L:8G=KXAK_M86M7Ms18GDUe3JKXS`{w0123g>EF[Vg~t=>?00a8GDUXe|r;<=>=2:AF7>EKC:1H@_=4COV:?FIJE@^_II94CSGBP@B53JY87NZC6:Aoadt6n2Igil|>_^cm`567881Oi6J]C^QVGFCT[O_G;6Jnt`>3:2=Ci}k7=384DnwwK@bCT4:4?7H]P0d9FWZ6X8Vddx=>?0g9FWZ6X8Vddx=>?00f8AVY7WVkeh=>?0d9FWZ6XWhdo<=>?1d9FWZ6XWhdo<=>?2d9FWZ6XWhdo<=>?3d9FWZ6XWhdo<=>?4d9FWZ6XWhdo<=>?5d9FWZ6XWhdo<=>?659FWZ7c3LYT=RQnne2345c?e:GP[6YXign;<=>>e:GP[6YXign;<=>=1g9FWZKflmUTmij?012\I}iuW[oxyaz31?3e?@UXEhnoSRokd1234ZKg{UYi~{ct=0=5c=B[VGjhiQPaef3456XEqeyS_k|umv?7;7>3LYTAljk_^cg`5678VUjbi>?013b?@UXEhnoSRokd1234ZYffm:;<=?>9:GP[HgclVUjhi>?01]\ekb789;:m6K\_Lcg`ZYflm:;<=QPaof34576l2OXS\Q>_np3456b3LYT]R?Pos23457a3LYT]R?Pos234577n2OXS\Q>_np345669l1N_R_P1^mq4567:l1N_R_P1^mq4567;l1N_R_P1^mq4567l1N_R_P1^mq4567?l1N_R_P1^mq45670l1N_R_P1^mq45671l1N_RQnde2345:66l1N_RQnde2345:56l1N_RQnde2345:4611NT]OADDF25>C_XHDOIIQMCE]EMIC6<2OS\L@KEE]@KKUSZHCEX^?>;DZSEKBBLVCXIRHFLD48AZOE]O80I;4Es>3:0=Bz5;586K}_168AwY6<2LH@F74FBNH[LDRN;1MH>5IDB68BAEB<2LOO]=4FER1?C@?3OZLMD@FT99EVJKX]JL87K]M1:E0?BHC92C97D>=;H31?L453@997D:=;H71?L053@=?7DOLS49JEFU6<2CIYK<4IO:8MK@BZ[OO56GAIUQWEQC03@DBX^ZL8:KMMQUSK8o0ECG[S^DPIZ@Al8;:7D@FTR]EWHYANm;&Ec?=;HLJPVYA[DUMJi?"Io326>OIA]YTJ^CPFGf2)Lh5m2CEEY]PFRO\BCb5981BBDZ\_GQN[C@c:$Ce=?5FNHVP[CUJWOLo> Ga1008MKOS[VLXARHId3/Jj7753@DBX^QISL]EBa4*Ag9:>6GAIUQ\BVKXNOn9!D`;139JJLRTWOYFSKHk2,Km1`=NF@^XSK]B_Nww7c=NF@^XSK]B_Nww74`>7d9JKDESWds<=>?2d9JKDESWds<=>?3d9JKDESWds<=>?4d9JKDESWds<=>?5d9JKDESWds<=>?6d9JKDESWds<=>?7d9JKDESWds<=>?8d9JKDESWds<=>?979JKDESz?1BCOK]Rd9JKGCUZVddx=>?1g9JKGCUZVddx=>?1068MJDJ<2CDN^84IN@VB@0OHKZ;>7DALS378MJET;<1BCN];5:KLGV323@EH_;84INFLJ@bPmtz345668l1BCA>Pmtz345669l1BCA>Pmtz34566:l1BCA>Pmtz34566;l1BCA>Pmtz34566Pmtz34566=m1BCA>Pmtz34565l2CD@=Qbuy23455c3@EGOHD9Ufyu>?015g?LIK8Vg~t=>?09f8MJJ7Wds<=>?959JKI7d3@EG=R``t1235a=NGE;Tbbz?01321>OHDMY27DAAPSgb`|763@EE\_kndx]\kw6789;97DAAPSgb`|YXg{:;<=?>2:KLJUTbimsTSb|?012157=NGGZYiljv_^mq4567;880EB@_Rdcg}ZYhz9:;<9?=;HMMTWcflpUTc>?0175?LIW_JY<7DA_WBQ23>OHX^IX>45FOQUQadb~981BC]Y]e`fz[Ziu89:;=?5FOQUQadb~WVey<=>?1008MJVPZlkouRQ`r12347753@E[[_kndx]\kw67899<7DA]DSGRb>OHZMXN]Road123446h4INPGV@WXe|r;<=>>0:KLVATBYVg~t=>?0032?LIUL[OZS`{w012355763@EYH_K^_lw{456798;:7DA]DSGR[hs89:;=??>;HMQ@WCVWds<=>?1232?LIUL[OZS`{w012351773@EYH_K^_lw{4567:8:0EB\KRDS\ip~789:8==5FOSFQATYj}q:;<=:>0:KLVATBYVg~t=>?0433?LIUL[OZS`{w01232462CD^H_}7:KLV@Wu9>1BC_K^r358MJTBY{9>7DA]W0f8MJTP9Vkeh=>?0d9JKWQ6Whdo<=>?1d9JKWQ6Whdo<=>?2d9JKWQ6Whdo<=>?3d9JKWQ6Whdo<=>?4d9JKWQ6Whdo<=>?5d9JKWQ6Whdo<=>?6d9JKWQ6Whdo<=>?759JKV6d3@EXOH[9Ujbi>?010g?LIT8Vkeh=>?02a8MJU7Wds<=>?d:KLW5Yj}q:;<=?j;HMP4Zkrp9:;<<>k;HMP4Zkrp9:;Pmtz3456?l2CD_=Qbuy2345?d3@EXSK]B_GDg5f=NGZUM_@QIFe0e?LITWOYFSKHk2,Km55=NGZUM_@QIFe0.Mk7682CD_RH\M^DE`7+Nf;;;7DA\_GQN[C@c:$Ce?n5FOR]EWHYANm9m7DA\_GQN[C@c;$Ce==5FOR]EWHYANm9&Ec?>0:KLWZ@TEVLMh>#Fn333?LITWOYFSKHk3,Km7460:KLWZ@TEVLMh;#Fn233?LITWOYFSKHk6,Km046<>4INQ\BVKXNOnOH[VLXARHId6/Jj00OH]9Ufyu>?01f8MJS7Wds<=>?1d9JKP6Xe|r;<=>>0d9JKP6Xe|r;<=>>1d9JKP6Xe|r;<=>>2d9JKP6Xe|r;<=>>3d9JKP6Xe|r;<=>>4d9JKP6Xe|r;<=>>5e9JKP6Xe|r;<=>=d:KLQ5Yj}q:;<==k;HMV4Zkrp9:;<9j4INW3[hs89:;9i5FOT2\ip~789:=h6G@U1]nq}6789=o7DAZ0^ov|56781n0EB[?_lw{45671=1BCX?l;HMV5Zhh|9:;=i5FOT3\jjr789;:96G@UEQ4?LIPZ[OZj6G@WSPFUZkrp9:;<<>4INUQV@WXe|r;<=>>109JKRTUMXUfyu>?013354=NG^XYI\Qbuy234576981BCZ\]EP]nq}6789;9=<5FOVPQATYj}q:;<=?<109JKRTUMXUfyu>?013754=NG^XYI\Qbuy234572991BCZ\]EP]nq}67898:<6G@WSPFUZkrp9:;<>??;HMTVWCVWds<=>?4028MJQUZL[Taxv?012655=NG^XYI\Qbuy23450682CD[_\JQ^ov|5678>;;7DAXRSGR[hs89:;4<>4INUQV@WXe|r;<=>68:KLSWTBY{o0GHK__IKFVDTD@P=0@DL]AS[5?IIFLLN87AAL7:NLCLEFDh1G\@QWOS0\5<=K\`gn~1>1a:NWmhcu48:5m6B[ilgq8479i2F_e`k}<00==>JSadoy0<06;MVji`t;:730@Ygbes>0:<=K\`gn~1:19:NWmhcu4<427AZfmdp?2;?89OPlkbz52556B[ilgq8<8?3E^bah|[C018HQojm{^HSnc_ds34566<2F_e`k}TB]`iuYby9:;<?01620>JSadoyXNQlmq]fu5678<20@Ygbes]3<>JSadoyS<74LUknawY6801GXdcjr^32=>JSadoyS<<7;MVji`tX:11GXdcjr^1;?IRnelxT855CThofvZ3?3E^bah|P699OPlkbzV=37AZfmdp\<==K\`gn~R7=4:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>24;433E^bah|PM`fg[Zgcl9:;?0^O{kwYUmzgx1<1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?7;443E^bah|PM`fg[Zgcl9:;5>>5CThofvZKflmUTmij?012\I}iuW[oxyaz35?00?IRnelxTAljk_^cg`5678VGscQ]erwop909::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7;3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=:=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;178=7AZfmdp\IdbcWVkoh=>?0^PfwpjsW9Uecy>?0132`>JSadoyS@okd^]b`a6789UTmcj?0122a>JSadoyS@okd^]b`a6789UTmcj?01225a=K\`gn~RCnde]\eab789:TSl`k01225`=K\`gn~RCnde]\eab789:TSl`k012254bP_`lg45629l1GXdcjr^Ob`aYXimn;<=>P_`lg456298n0@Ygbes]NeabXWhno<=>?_^cm`567>8o0@Ygbes]NeabXWhno<=>?_^cm`567>8;o7AZfmdp\IdbcWVkoh=>?0^]bja678>;n7AZfmdp\IdbcWVkoh=>?0^]bja678>;:h6B[ilgq[HgclVUjhi>?01]\ekb7892:i6B[ilgq[HgclVUjhi>?01]\ekb7892:=i5CThofvZKflmUTmij?012\[dhc89:2=h5CThofvZKflmUTmij?012\[dhc89:2=?<1<27>JSadoySRokd12349776890@Ygbes]\eab789:7=<0>3:NWmhcuWVkoh=>?0=31:442:NWmhcuWVkoh=>?0=1=57=K\`gn~RQnde2345:36880@Ygbes]\eab789:793?=;MVji`tXWhno<=>?<7<26>JSadoySRokd12349199;1GXdcjr^]b`a6789632<<4LUknawYXimn;<=>39?78Hjgc9l1Gclj>_^cm`5678j1Fmga}Vdppmjhd3DcecXjrrklj7=I8>1EIYY@RJ48JJEKAY;0C95@BTD6?JDRNL?0CIM\re9L@FUuWhdo<=>?e:MGGVtXign;<=>>3:MMA7=HZ:1D^?=4OS10?JT3;2E^X95@nbg`?JhdmVUd~=>?0e9LjfcXWfx;<=>>1:R`?U(5889:<<=PL29S555V6?:1[=5=4P0;1?U443Y8;?6^=229S66587]<:3:R136=W:190\?7=;Q10?U57;2Z8>>5_3218T6243Y9>?6^<629S724<;Q627>V3::1[8>=4P540?U37;2Z>>>5_5218T0243Y?>?6^:629S1=5V19:1[:>=4P760?U02;2Z=:>5_6608T25:87]9=3:R476=W?=90\:8<;Q547>V00:1[;4<4P918T=643Y2:?6^7229S<055_9518T<343Y3=?6^6729SEA2Wh[[ojht;4R@>3:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ=0^LCLS1Z;?WGJKZ:S=55]ALAP4]4?3[KFO^>W349QEHCIm2XJAH@P_`lg4567n2XJAH@P_`lg45679m1YM@KA_^mq4567m2XJAH@P_np34566m2XJAH@P_np34565m2XJAH@P_np34564m2XJAH@P_np34563m2XJAH@P_np34562m2XJAH@P_np34561m2XJAH@P_np34560k2XJAH@Pos2345bTF[{xo7_O\rs]nq}6789o0^L]}r^ov|5678830^LQ?_N@VBd=UIV;;SBLZF`9QEZ76WFH^J45]A^3\KGSA12XJS?Q@BTD:?WGX;VEIYK74R@]7[JDRN01YMR;POCWE=>TFW?UDNXH6;SC\3ZIE]O30^LQ7_N@VB<=UIV3TCO[Ic:PFTIGDMVE@MT;4RNO@W3=UGDIX=;5]OLAP63=UGDIX?;5]OLAP02=UGDIX8U64RNO@W1^602XDAN];X3:8VJKD[=R846\@MBQ7\10b:PP[CUJWOLo>o5]S^DPIZ@Al:h0^^QISL]EBa2e3[YTJ^CPFGf5a>TTWOYFSKHk6,Kmb>TTWOYFSKHk6,Km5g=U[VLXARHId9g8VVYA[DUMJi6"Iod8VVYA[DUMJi6"Io3e?WUXNZGTJKj7-Hl1b>TTWOYFSKHk8,Km70=Umhnr:6\jae{22>Tbims9:6\jae{02>Tbims?:6\jae{62>Tbims=;6\jfEmma464RddGkkcXWfx;<=>>119QacBhflUTc>?01024>TbnMeeiRQ`r12346773[omHb`j_^mq4567<8:0^hhKoog\[jt789:>m6\jfGpliWU7j2XnjK|`mSQ35f=UmoLyc`\\002a?WcaN{ef^^>=b:PfbCthe[Y;?o5]egDqkhTT8=h0^hhIrnoQW53e3[omJabRR25f>TbnOxda_]?7c9Qac@ugdXX<5l4RddEvjkU[93=7_kiRds;?WcaZl{Bbh5]egPfuZhh|9:;=k5]egPfuZhh|9:;=h5]egPfuZiu89:;?h5]egPfuZiu89:;8;5]egWqc==Umo_ykD`9;Sgpqir43ZO_96]X<07^Y33?c8WR:46VCIYK:4Sdlwg>Ubf}UTc>?01f8W`hsWVey<=>?1e9PakrXWfx;<=>=d:QfjqYXg{:;<==k;RgmpZYhz9:;<9j4Sdlw[Ziu89:;9i5\eov\[jt789:=h6]jnu]\kw6789=o7^kat^]lv56781k0_cj]ALAPSW7<\:1_^D64TSKPPDRB<2^YYHl4TR]EWHYANm;n7Y]PFRO\BCb6%@dm7Y]PFRO\BCb6%@d:j6Z\_GQN[C@c9$Ce>o5[S^DPIZ@Al;o0X^QISL]EBa4*Agl0X^QISL]EBa4*Ag;:<6Z\_GQN[C@c:$Ce==h4TR]EWHYANm8&Ec Ga4g9WWZ@TEVLMh?#Fn4d8PVYA[DUMJi<"Io4e?QUXNZGTJKj=-Hl4b>RTWOYFSKHk2,Km?1018Plkbz]ITo`~Pep234546;2^bah|[C^antZcv89:;?<=4ThofvQEXkdzTi|>?01627>Rnelx_ORmbp^gr4567=>1_e`k}_133?Qojm{U;SRoad123447<\`gn~R>P_`lg456798;0Xdcjr^2\[dhc89:;>?369WmhcuW8;;7Ygbes]2[Zgil9:;<?1038PlkbzV;TSl`k012362=SadoyS???;UknawY5WVkeh=>?0038PlkbzV8TSl`k0123547<\`gn~R1_e`k}_233?Qojm{U8SRoad123447<\`gn~R=P_`lg456798;0Xdcjr^1\[dhc89:;>?<4ThofvZKflmUTmij?012\I}iuW[oxyaz31?01?Qojm{UFmijP_`fg4567WDrd~R\jstnw8785:2^bah|PM`fg[Zgcl9:;?01]\ekb789::h6Zfmdp\IdbcWVkoh=>?0^]bja6789;:o6Zfmdp\IdbcWVkoh=>?0^]bja6788;o7Ygbes]NeabXWhno<=>?_^cm`56798;:7Ygbes]\eab789:7=3?>;UknawYXimn;<=>32?32?Qojm{UTmij?012?7;b<\`gn~Road1234`=SadoySl`k012353=RF\YNHl5ZSDP\EIOF[j1^_H\PVHQJFIC43_IH56XFEV]W]UC33_ZJ~n5YP@p\jjr789;o7[^Nr^llp56798<0[l|SD3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT33QEY>;5WSUNJF2=_[]ULBI94XRV\RFE23QUDBH;4X^VQM3=_WSOYC;5Wdc]J`g=_laU[~dcFnwa8\anXX{cfXt~jf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh13Qe7<3?<;Yqw0>\BZF90ocz=;bq0?cue<2cdn`:4in`p=>hFLf@H>j;oCGkprKM9UDNXH>0:lB@jssDL:Tc>?0132?kGCg|~GI=Q`r12344763gKOcxzCE1]lv5678;;:7cOKotvOA5Yhz9:;<>74n@FlqqJB9h1eMIaztMG25`=iIMe~xAK>_N@VB6=iIZ=0bL]PFR@`?kGTWOYISDLZF69mEVYNGKn0bL]PIN@\KGSAMh1eM^QFOCmvp3=iIZe~x45aARmvpZH7i2dJ_b{{_O22a>hF[fSca{0122b>hF[fSca{0122546m7cO\otv\jjr789;>j6`NSnww[kis89:::k5aARmvpZhh|9:;=:h4n@QlqqYig}:;<<6i;oCPkprXff~;<=?67:lBkprHM11eMb{{OD3`?kGh}}ENSBLZF49mF@TU12dII_\PFR@f?kDBZ[UM_OQ@BTD25>hEM[XTJ^LP_np34566:2dII_\PFR@\[jt789::=<5aBDPQ[CUEWVey<=>>139mF@TUWOYISRa}01225440:lAVZOHJVg~t=>?0233?kDUW@EIS`{w0123046hDIZUDNXHl;oABWZhh|9:;=i5aC@Q\jjr789;::6`KT@AHe>hC\HI@SK]Mf:lGPDELWOYISBLZF`9m@QGDCVCDNk5aDUC@OZOHJVCIYKk4nEVBGNYffm:;<=h4nEVBGNYffm:;<=?i;oFWEFMXign;<=>=f:lGPDELWhdo<=>?3d9m@QGDCVddx=>?1g9m@QGDCVddx=>?1048jAoiDLh0bIgaLD]LFP@c3gNbbAKPos2345chCagENSl`k0123b>hCagENSl`k01235c=iL`dDIRoad12347`1eHb{{OD:8jAir|FO:o6`KotvLAZIE]Ol0bIaztNG\ekb789;:<6`KotvLAZgil9:;=>2028jAir|FOTmcj?01301>hNXE8j7cG_L3]JFP@13gC[@?|;;oNGW==iDMYTJ^Lk;oNGWZ@TJVCIYK64nMFP[LIEm2dGH^QFOC]LFP@Bj2dGH^QFOCmvpf=iDMYTmcj?010g?kJC[Vkeh=>?20f8jIBTWhdo<=>=2e9mHAUXign;<=<8j4nMFP[dhc89:9:i5aLEQ\ekb7898hK_LUjbi>?01f8jIQBWhdo<=>?1e9mHRCXign;<=>=d:lOS@Yffm:;<==k;oNTAZgil9:;<9=4nNG7?kIB9=1eCH<7;oMF[JDRN11eC{k}l`{24>hH~lxgmtQaou2344763gE}ibny^llp56798;:7cAyesnb}Zhh|9:;=??>;oMuawjfqVddx=>?1232?kIqm{fjuR``t123511hUIZ?0b_O\189mVDUXGK_M86`]ER`8jWCTW@EIYKKl;oPFWZhh|9:;=i5aRDQ\jjr789;:;6`]ERmvp3=iZFGH_:5aRNO@W4dhUGD]NSBLZF59mQAU?3g_O_RH\Be9mQAUXNZHTEO[I8:lV@VYNGKo0bXJ\_HMA[JDRNLh0bXJ\_HMAkprd3g_O_Road1235a=i]MYTmcj?0132`>hRLZUjbi>?000g?kSC[Vkeh=>?12f8jPBTWhdo<=>>4e9mQAUXign;<=?:d:lV@VYffm:;<<8k;oWGWZgil9:;=:j4nTFP[dhc89::4i5aUEQ\ekb789;2o6`ZDR]mkq6788n0bXJ\_omw45669=1eYZK:;oWTA4ehR_LUjbi>?013g?kSPMVkeh=>?03f8jPQBWhdo<=>?3e9mQRCXign;<=>;4:lUID>hQEHUTc>?015g?kPJIVUd~=>?09a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;hQXHUBCOQFBTD`?kPWIVkeh=>?0e9mRUGXign;<=>>d:lUTDYffm:;<=m4nWRB[kis89::h6`YP@]mkq6788;87cYJ7:lTAZ@TJj1e[HQISC]JFP@?3gmonxgcd29qeh><=vc109{g<36<=rgx|??0,33<>~nd`dsyc?7;ynm|Zgr|fU;Sujm/bqw*}ddltJK|<7k2:BC|61?2O0?6068b337|f:2=6<5a39592>"40;08;45rS8a97=?=::m1159e2033Z;n57::e;59562e99=1m:87;R;`>13b2>0:?9l>068b33g:0yP=a<40009984>35`242:0806c}T1m08444=548271d68>0j;;?4$257>4ce3_93974=r:=;1<6s+2`09037<,l?1<6*j3;657>"b<3;:m6l<7983>1d=i=0i?vF<739'711=;>20V5753z33>45=9=0v(7n:188k1362900e9<<:188k17a2900c5h50;9l042=831b?o750;9l046=831d?n950;9l7gb=831bmh4?::kfg?6=3`9hi7>5;n1:g?6=3f9jh7>5;h1fe?6=3f>:>7>5;h667?6=3f>997>5;n632?6=3`3:6=44i9`94?=h;0:1<75`38494?=n;lo1<75f3c`94?=h<8i1<75`3e494?=h;o:1<75`42a94?=h;mk1<75`3d194?=n<=h:k?1<7*=a581eg=i:h91>65`2c694?"5i=09mo5a2`197>=h:k91<7*=a581eg=i:h91865`2c094?"5i=09mo5a2`191>=h:k;1<7*=a581eg=i:h91:65`2c294?"5i=09mo5a2`193>=h:hl1<7*=a581eg=i:h91465`2`g94?"5i=09mo5a2`19=>=h:hn1<7*=a581eg=i:h91m65`2`;94?"5i=09mo5a2`19f>=n=j0;6)l=51:9j1<<72-8j87;m;o0b7?4<3`?36=4+2`691g=i:h91?65f5483>!4f<3?i7cl:55c9m6d5==21b9>4?:%0b0?3e3g8j?784;h71>5<#:h>19o5a2`193>=n=80;6)l=59:9j0c<72-8j87;m;o0b7?g<3`>n6=4+2`691g=i:h91n65f4e83>!4f<3?i7cl:55c9m6d5=l21b:o4?:%0b0?3e3g8j?7k4;h4:>5<#:h>19o5a2`19b>=n>>0;6)l:55c9m6d5=9;10e;?50;&1e1<2j2d9m>4>3:9j1c<72-8j87;m;o0b7?7332c>h7>5$3c7>0d5<#:h>19o5a2`1953=5<#:h>1??<4n3c0>4=5<6290;w)=;7;d;?M50k2B8;?5+39697=g<,8o3698=;nd4>5<#;1>1>8>4;|`03`<7280;6=u+3559725<@:=h7E=82:&0<1<5o>13:17b=:188m73=83.9m94=4:l1e6<732c9?7>5$3c7>72o583:1(?o;:368j7g42:10e4;;:k2`?6=,;k?6?:4n3c0>0=h5i:0=76g>b;29 7g32;>0b?o<:698m4g=83.9m94=4:l1e65$3c7>72o6?3:1(?o;:368j7g42k10e<850;&1e1<5<2d9m>4l;:k21?6=,;k?6?:4n3c0>a=h5i:0n76g=c;29 7g32;>0b?o<:g98f61c290:6=4?{%173?`?3A94=:5y'711=ml1C?:m4H251?!7b03>=>6g7a;29?lg52900c?o9:188f7g1290>6?49{%173?`63-;n47:92:m1e3<722c9m84?::kb6?6=3`3j6=44i9c94?=en80;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<7g83>4<729q/?5:525`8k733290/?5:52428?xuf:3:1>vPn2:?e5?77=2wx4l4?:3y]7}Y1h16j<4=e89~w7g22909wSl850;0xZ7g134l:6?;?;|q036<72;q6j<468:?03c<5==1vqo<50;394?6|,:=:645+3559b==#;1>1?5o4$0g;>1053fl<6=4+3969606<3ty9m;4?:3y]6d0<5;k=6?o9;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v84?:2y>6d0=:h?01?o9:8c89778t$264>c6<@:=h7E=82:&242>d5i?0;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<8083>4<729q/?5:539c8k737290/?5:52428?xu5i?0;6?uQ2`4897g12;?;7p}n2;296~Xf:279m;4>049~w7c>3ty247>52z\:<>;5i?0246s|8`83>7}Y0h16>l85989~wc>=838p1?o9:23g?85?938><6srb3`:>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj;hj6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb3`a>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`22=<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn?:n:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd5m:0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl=e583>7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd5m<0;684?:1y'711=<;1C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>oak3:1(>6;:026?>oal3:1(>6;:026?>i5<<0;6)=74;064>=zj8:86=48:183!53?3>?7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th:?54?:283>5}#;==1>9j4H25`?M50:2.8494=4b9'5`>=3883>7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd6;h0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl>3c83>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb01`>5<4290;w)=;7;07`>N4?j1C?:<4$2:7>72d3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f45c290?6=4?{%173?43m2B8;n5G3608 6>32;>h7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>oak3:1(>6;:026?>i5<<0;6)=74;064>=zj=:h6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb52g>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj=:n6=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn9>i:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd5ll0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl=dg83>7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd5m90;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl=e783>6<729q/?99525f8L61d3A9<>6*<85810f=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`1a2<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f7c?290?6=4?{%173?263A90498mcd=83.8494>0498mce=83.8494>0498k722290/?5:52428?xu6l3:1>vP>d:?2463ty9?7>56z\17>;5j00m563=e48ee>;68:0mi63>3`8e=>;5m?0mm6s|2483>3}Y:<16>oo5f89>6`2=n016===5fg9>56>=nk16>h>5f89~w=5=839pR5=4=36b>cd<5=:o6k74}r;:>5<4sW3270<;8;:b?827i32j7p}>0383>7}Y99801<><:366?xu6;>0;6?uQ1258945c2;>>7p}>3983>7}:9:21>9;4=01g>cd52z?27<<5<<16=>l5fc9~w45f2909w0?;6;m0mo6s|12`94?4|589i6?::;<30g?`e3ty:?n4?:3y>56e=:=?01<=k:gc8yv71?3:1>vP>669>53?=:=?0q~?98;296~;6>109885217;9bg=z{;>36=4={<0727?>7p}=b683>1}Y;;l0R?l8;<14`?`034>;m77n;|q1f=<72:qU>o64=3`a>72234;=57hn;|q1f<<72;q6>o75257897de2ok0q~;5m109885rs3fg>5<5s48oh7<;5:?1a3ik50;0x97bb2;>>706`1=nk1v?k>:187[4b92798l4ia:?1a0<5<<16=>l5f`9~w7c52909w0;5m<0mn6s|2d194?4|5;o86?::;<0f1?`d3ty9i94?:3y>6`2=:=?01?k::gf8yv4b>3:1>v3=e78100=::l21jo5rs3g4>5<5s48n;7<;5:?1a=7}:<9k1555241d9613;o7>52z?74f<5<<168=k5fc9~w16c2909w0:?d;071>;38o0mn6s|41g94?4|5=:n6?::;<63b?`f3ty?=:4?:2y]041<5=:j6l<4=52`>c?>n7>52z\71g=:9?21j45r}c66b?6=;:0?<7;;{I146>"4<>09n;5U888146=9;0v(2900c9=;:188m6?>2900c9?i:188k1732900c>m8:188m=5=831b?o950;9l04e=831b>im50;9l6f?=831d?kh50;9l6de=83.9m94=ac9m6d5=821d>lo50;&1e1<5ik1e>l=51:9l6g3=83.9m94=ac9m6d5=:21d>o:50;&1e1<5ik1e>l=53:9l6g5=83.9m94=ac9m6d5=<21d>o<50;&1e1<5ik1e>l=55:9l6g7=83.9m94=ac9m6d5=>21d>o>50;&1e1<5ik1e>l=57:9l6d`=83.9m94=ac9m6d5=021d>lk50;&1e1<5ik1e>l=59:9l6db=83.9m94=ac9m6d5=i21d>l750;&1e1<5ik1e>l=5b:9j67<72-8j87<>;o0b7?6<3`8;6=4+2`6964=i:h91=65f1d83>!4f<38:7cl:5209m6d5=;21b=n4?:%0b0?463g8j?7:4;h3a>5<#:h>1><5a2`191>=n9h0;6)l=57:9j5=<72-8j87<>;o0b7?><3`;<6=4+2`6964=i:h91565f1783>!4f<38:7cl:5209m6d5=j21b>i4?:%0b0?463g8j?7m4;h0`>5<#:h>1><5a2`19`>=n:k0;6)l=5f:9j6<<72-8j87<>;o0b7?7732c947>5$3c7>775<#:h>1><5a2`1957=h5i:0:?65f1g83>!4f<38:7cd4?m0;6<4?:1y'711=n11C?:m4H251?!5?<393m6*>e98727=hn>0;6)=74;064>=zj;?:6=4::385!53?3l;7E=8c:J037=#99=1i6*>e98727=n110;66g6a;29?l>f2900el<50;9l6d0=831i>l850;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a7=7=83;1<7>t$2:7>6>f3f8><7>5$2:7>73732wx>l850;0xZ7g1348j:7<:0:pe7<72;qUm?522`4955338n56s|9983>7}Y1116>l85999~w=g=838pR5o4=3c5>=831b5l4?::k;e?6=3`k96=44o3c5>5<328:>76g68;29 6>320207d76:18'7=2=1010e>?k:18'7=2=;8n07d32;o276a=5183>!5?<38><65rb2:2>5<6290;w)=74;1;e>i5=90;6)=74;064>=z{;k=6=4={_0b2>;5i?099=5rs`094?4|Vh801?o9:026?xu>i3:1>vP6a:?1e3<5m01v4650;0xZ<><5;k=6464}r:b>5<5sW2j70v3=a7805a=:;1;1>8>4}|`1ba<72<096;u+3559b5=O;>i0D>9=;%3f5;h;b>5<>i5i?0;66l=a783>3<729q/?5:5f29j553=83.8494>0498m<>=83.849468:9j=<<72-938776;:k05a<72-9387=>d:9j6`?=83.8494=e898k737290/?5:52428?xd4080;6<4?:1y'7=2=;1k0c?;?:18'7=2=:<:07p}=a783>7}Y:h<01?o9:373?xuf:3:1>vPn2:?1e3<68<1v4o50;0xZ{t0h0;6?uQ8`9>6d0=101vk650;0x97g12:;o70=71;064>{zj1<1<7=52;6x 6202lo0D>9l;I146>"6m10?:?5f8`83>>of:3:17b3:197<56z&002l;50;9je7<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm36d94?7=83:p(>6;:36a?j42<3:1(>6;:373?>{ti;0;6?uQa39>b4<68<1v5o50;0xZ=g<5o;1545rs8c94?4|V0k01k?52d;8yv4f=3:1>vP=a49>b4<49m1v?o9:181[4f>27m=7<:0:p725=838p1k?5999>72`=:<>0qpl=:182>5<7s-9<=774$264>c><,:2?6>6n;%3f5$2:7>73732wx>l850;0xZ7g1348j:7701/?:?5c:~f<2=8391>7:t$264>`c<@:=h7E=82:&2425<i5i?0;66g=a483>>of:3:17d7n:188m=g=831ij<4?:783>5}#;1>1j>5f11794?"40=0:<854i8:94?"40=02465f9883>!5?<33276g<1e83>!5?<39:h65f2d;94?"40=09i454o373>5<#;1>1>8>4;|`03c<7280;6=u+396961d7>52z\b6>;a93;;96s|8`83>7}Y0h16j<469:p=d<72;qU5l52f081a<=z{;k>6=4={_0b1>;a939:h6s|2`494?4|V;k=70h>:373?xu4?:0;6?u2f08:<>;4?o09995r}c094?7=83:p(>9>:89'711=n11/?5:539c8 4c?2=<97bh8:18'7=2=:<:07p}=a783>7}Y:h<01?o9:3c5?xuf:3:1>vPn2:?1e36}::h<1>l;4=3c5>9l;I146>"68>0n7)?j8;656>o?i3:17do=:188k7g12900n?o9:186>7<1s-9?;7h>;%3f7>5;h;b>5<3<729q/?5:5f29j553=83.8494>0498m<>=83.849468:9j=<<72-938776;:k05a<72-9387=>d:9j6`?=83.8494=e898k737290/?5:52428?xd4?o0;6<4?:1y'7=2=:=h0c?;;:18'7=2=:<:07p}n2;296~Xf:27m=7??5:p{t1h0;6?uQ9`9>b4<5m01v?o::181[4f=27m=7=>d:p6d0=838pR?o9;7373ty8;>4?:3y>b4<>0278;k4=559~yg4=83;1<7>t$252><=#;==1j55+39697=g<,8o3698=;nd4>5<#;1>1>8>4;|q1e3<72;qU>l84=3c5>7g13tyj>7>52z\b6>;5i?0j>6s|8`83>7}Y0h16>l858`9~w0<72:q6>l852`7897g120k01?4i7:&034<6hk4H25`?M50:2.:i54;639j7>5;n0b2?6=3k8j:7>55;092~"4<>0m=6*>e98727=h:h<1<75f2`794?=ni;0;66g6a;29?l>f2900nk?50;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a72`=83;1<7>t$2:7>72e3f8>87>5$2:7>73732wxm?4?:3y]e7=:n80:<85rs9c94?4|V1k01k?5989~w52z\1e0=:n808=i5rs3c5>5<5sW8j:63i1;064>{t;>91<7l1>8:4}|`1>5<6290;w)=81;;8 6202o20(>6;:2:b?!7b03>=>6ai7;29 6>32;?;76s|2`494?4|V;k=70{ti;0;6?uQa39>6d0=i;1v5o50;0xZ=g<5;k=65o4}r794?5|5;k=6?o:;<0b2??f3481j:5+3639g>{zj;oj6=4<:387!53?3on7E=8c:J037=#99=1i6*>e98727=n0h0;66gn2;29?j4f>3:17or.88:4i1:&2a=<3>;1d>l850;9j6d3=831bm?4?::k:e?6=3`2j6=44bg394?0=83:p(>6;:g18m462290/?5:51178?l??290/?5:59998m290/?5:52d;8?j4283:1(>6;:373?>{e;>l1<7?50;2x 6>32;>i7b<:4;29 6>32;?;76s|a383>7}Yi;16j<4>049~w=g=838pR5o4=g39=<=z{0k1<7<6k64$2:7>6>f3-;n47:92:me3?6=,:2?6?;?;:p6d0=838pR?o9;<0b2?4f>2wxm?4?:3y]e7=::h<1m?5rs9c94?4|V1k01?o9:9c8yv3=839p1?o9:3c6?84f>33j70<5f69'727=k2wvn?km:180>7<3s-9?;7kj;I14g>N4?;1/==95e:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb3g`>5<42;0?w)=;7;gf?M50k2B8;?5+1159a>"6m10?:?5f8`83>>of:3:17b3:197<56z&002l;50;9je7<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm36d94?7=83:p(>6;:36a?j42<3:1(>6;:373?>{ti;0;6?uQa39>b4<68<1v5o50;0xZ=g<5o;1545rs8c94?4|V0k01k?52d;8yv4f=3:1>vP=a49>b4<49m1v?o9:181[4f>27m=7<:0:p725=838p1k?5999>72`=:<>0qpl=:182>5<7s-9<=774$264>c><,:2?6>6n;%3f5$2:7>73732wx>l850;0xZ7g1348j:7701/?:?5c:~f7cc29086?4;{%173?cb3A97>5;n0b2?6=3k8j:7>55;092~"4<>0m=6*>e98727=h:h<1<75f2`794?=ni;0;66g6a;29?l>f2900nk?50;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a72`=83;1<7>t$2:7>72e3f8>87>5$2:7>73732wxm?4?:3y]e7=:n80:<85rs9c94?4|V1k01k?5989~w52z\1e0=:n808=i5rs3c5>5<5sW8j:63i1;064>{t;>91<7l1>8:4}|`1>5<6290;w)=81;;8 6202o20(>6;:2:b?!7b03>=>6ai7;29 6>32;?;76s|2`494?4|V;k=70{ti;0;6?uQa39>6d0=i;1v5o50;0xZ=g<5;k=65o4}r794?5|5;k=6?o:;<0b2??f3481j:5+3639g>{zj;on6=4<:387!53?3on7E=8c:J037=#99=1i6*>e98727=n0h0;66gn2;29?j4f>3:17or.88:4i1:&2a=<3>;1d>l850;9j6d3=831bm?4?::k:e?6=3`2j6=44bg394?0=83:p(>6;:g18m462290/?5:51178?l??290/?5:59998m290/?5:52d;8?j4283:1(>6;:373?>{e;>l1<7?50;2x 6>32;>i7b<:4;29 6>32;?;76s|a383>7}Yi;16j<4>049~w=g=838pR5o4=g39=<=z{0k1<7<6k64$2:7>6>f3-;n47:92:me3?6=,:2?6?;?;:p6d0=838pR?o9;<0b2?4f>2wxm?4?:3y]e7=::h<1m?5rs9c94?4|V1k01?o9:9c8yv3=839p1?o9:3c6?84f>33j70<5f69'727=k2wvn?h>:180>7<3s-9?;7kj;I14g>N4?;1/==95f:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb53g>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj=9>6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb523>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj:ij6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb370>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj;hn6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb3aa>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj=8;6=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn9>8:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f16?29086=4?{%173?43l2B8;n5G3608 6>32;>h7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj;lm6=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn?mj:180>5<7s-9?;7<;d:J03f=O;>80(>6;:36`?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb514>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj=936=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb51:>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj=9j6=4::183!53?3>97E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th?5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`746<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`740<72<0;6=u+355907=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:keg?6=,:2?6<>:;:ke`?6=,:2?6<>:;:m100<72-9387<:0:9~f7`429096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn?h;:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=6<729q/?99525f8L61d3A9<>6*<85810f=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`:2?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a=2<72:0;6=u+355961b<@:=h7E=82:&0<1<50498mcd=83.8494>0498k722290/?5:52428?xd5n90;6>4?:1y'711=<91C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj:::6=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn>>=:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f66429096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn>>;:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=6<729q/?99525f8L61d3A9<>6*<85810f=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`750<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`04<<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn>>m:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f66d29096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn>>k:180>5<7s-9?;7<;d:J03f=O;>80(>6;:36`?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb22f>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`04c<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f67729086=4?{%173?43l2B8;n5G3608 6>32;>h7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj::36=4<:183!53?3>;7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th9o54?:283>5}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn?m?:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=6<729q/?99525f8L61d3A9<>6*<85810f=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`1g7<72;0;6=u+355954?<@:=h7E=82:&0<14?:383>5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`1g1<72:0;6=u+355961b<@:=h7E=82:&0<1<50498mcd=83.8494>0498k722290/?5:52428?xd5k<0;6>4?:1y'711=<91C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj;i=6=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821v5=50;5xZ=5<51<1m?522439e7=::<91j4523159b<=:;9k1jo522b09b<=z{1<1<75<5s43?6?o9;<1`e?`>3ty297>52z?:0?g5343<6?::;|q:2?6=:r72:7<;5:?:3?`e3ty257>5ez\:=>;5=803m63=bb8;e>;5nm03m6376;:b?8?321k01?ml:9c897cf21k01?km:9c897cd21k01?kk:9c897cb21k01?h>:9c897ee2o30q~<:1;296~;5=809m;522b19b<=z{;?96=4={<065???348>?7<;5:p6d?=838pR?o6;_0g?xu5ih0;6?uQ2`c8Z4e52z\1ea=Y9<1v?oj:181[4fm2T:n6s|2`d94?5|V8o0R?oi;_0bg>{t:k:1<75<5sW8i?6P>9:p6g2=838pR?l;;_3g?xu5j<0;6?uQ2c78Z4g54z?1ff<5i?168>o5f`9>053=nh16?=75f89~w7dc2909w07223ty9o=4?:3y>6f6=:=?01?m9:gc8yv4d93:1>v3=c08100=::j?1jl5rs3a1>5<5s48h>7<;5:?1g1n=50;0x97e42;>>706kl4}r0`1?6=:r79o84=449>6f0=nk1v?m8:18184em3l270{t:j31<7;t^3a:?850l3l<70<:1;;b?84ek33j709;4}r0`g?6=:r79on4=a79>043=n01v?mk:18184dk3k970{t:mi1<77}::lk1>l84=3gg>d453z?1ad757=nk1v?kl:18784bj3k970;5kl0mn63<008ee>{t:ln1<7=t=3gg>7g1348m97hn;<0`5?`e3ty9ih4?:5y>6`e=i;16>hk52`4897eb2ok01495f`9~w7ca2909w0cg<5;i36ko4=3a3>cd<5;i:6ko4}r0e6?6=:r79j<4n2:?1b0<5<<1v?h<:18184a;38?963=f58ef>{t:o>1<7722348m97hm;|q1ba<72;q6>kj52`48966d2o30q~63=fg8100=z{::;6=4={<0e`???349;97<;5:p757=838p1>>>:366?857=3lj7p}<0383>7}:;981>9;4=227>cg52z?046<5<<16?=:5fc9~w6632909w0=?4;071>;48<0mn6s|31494?0|5;l86k74=849bg=::o:1jo5231:9613<5;i36kl4=3a3>cg52z?042<5<<16?=j5f`9~w66>2909w0=?9;071>;48m0mn6s|31c94?4|5::j6?::;<13a?`f3ty875d=:=?01>>j:g`8yv57k3:1>v3<0b8100=:;921jo5rs22g>5<5s49;h7<;5:?04c>70=>0;da?xu48o0;6?u231d9613<5:;;6ko4}r124?6=:r78==4=449>75>=nh1v>?=:187[56:279jk4ib:?047pR>?m;<0eb?`f349;?7h6;<13b?`f3ty8544?:4y]7cg<5=9<6k74=521>c?52z\0g2=:;j=1>l84}r1`=?6=:r78o:4n2:?0gd<5<<1v>kk:181[5bl27?<94i9:p7c`=838pR>hi;<634?43=2wx8=?50;0x91672o3019>::366?xu38;0;6?u24109613<5=:>6kl4}r637?6=:r7?<>4=449>053=nj1v9>;:181827<38?963;048e`>{t<9<1<76?::;|q75f<72;qU87223ty?=k4?:3y]04`<5=8;6?::;|q767<72;qU8?<4=51:>c?887>52z\771=:<:?1>9;4}r602?6=:r7??84i9:?77d<5<<1v9=8:181824?38?963;3`8ef>{t<:21<772234>8m7hl;|q77<<72;q68>752578915f2on0q~::b;291~X3=k168?>5f`9>051=n0168>65f89>055=n01vqo=7d;29a?7228ipD>9=;%173?`13-;n;7=<3:m715<722c:::4?::m0gg<722c::l4?::k0=<<722e3?7>5;hg`>5<5<5<5<5<42;0?w)=;7;gf?M50k2B8;?5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqo:8:180>7<3s-9?;7kj;I14g>N4?;1/==95e:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb573>5<32;0>w)=;7;d1?M50k2B8;?5af582?!7b03>=>6g7a;29?lg52900e?o::188k7g12900n?o9:186>7<1s-9?;7h>;%3f7>5;h;b>5<3<729q/?5:5f29j553=83.8494>0498m<>=83.849468:9j=<<72-938776;:k05a<72-9387=>d:9j6`?=83.8494=e898k737290/?5:52428?xd4?o0;6<4?:1y'7=2=:=h0c?;;:18'7=2=:<:07p}n2;296~Xf:27m=7??5:p{t1h0;6?uQ9`9>b4<5m01v?o::181[4f=27m=7=>d:p6d0=838pR?o9;7373ty8;>4?:3y>b4<>0278;k4=559~yg7=83;1<7>t$252>==#;==1j55+39697=g<,8o3698=;nd4>5<#;1>1>8>4;|q1e3<72;qU>l84=3c5>7g13ty9m84?:3y]6d3<5;k=6?o:;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v94?:3y>6d0=1h16=7h8;%145?d52;294~"4<>0:=45G36a8L6153-938768;%3f5$2:7>46232e9884?:%1;0?4282B84>54}c:6>5<4290;w)=;7;07`>N4?j1C?:<4$2:7>72d3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f1d=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi?i;50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a00g=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi854?:383>5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`0`4<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`0`6<72=0;6=u+355904=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:keg?6=,:2?6<>:;:m100<72-9387<:0:9~f13129086=4?{%173?273A90498mcd=83.8494>0498k722290/?5:52428?xd3=>0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl;5983>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb3d4>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj;l36=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb3d:>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj;lj6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb3da>5<4290;w)=;7;07`>N4?j1C?:<4$2:7>72d3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f7`d290?6=4?{%173?263A90498mcd=83.8494>0498mce=83.8494>0498k722290/?5:52428?xu3?3:1>v3;7;0b2>;?=3lj7p};9;296~;3?32j70:7:366?xu3i3:1>v3;7;c1?82e2;>>7p}73;290~X?;27397<;5:?0`6<03li7p}>6183>7}Y9?:01?hn:g;8yv71?3:1>vP>669>6c1=n01v<8n:181[71i279j54i9:p6ae=838pR?jl;<0e=?`>3ty9j;4?:2y>7a7=n0168895f89>6ce=:=?0q~0988522g`9bd=z{;l36=4={<0e7}::ok1>9;4=3d`>ce52z?1bg<5<<16>km5fc9~w6?>290?wS=69:?715<5i<16494i9:?7f?`>3ty8oo4?:3y]7fd<5:ii6?o9;|q0`5<72;q6?i;5f89>7a5=:=?0q~=k1;296~;4l80988523e19bd=z{:n96=4={<1g6?43=278h>4ic:p7a2=838p1>mm:`0896b22;>>7p};5183>7}Y<<:019;?:3c5?xu3=<0;6?u244c9b<=:<<21>9;4}r662?6=:r7?9;4=449>00>=nh1v9;8:181822?38?963;598ef>{t<<31<7d4<5=?j6?::;|a7=`=83;=65:591yK724<,:><6<=;;%3f3?54;2c257>5;h304?6=3`;=;7>5;h32g?6=3`;?47>5;n35e?6=3`9257>5;h:0>5<5<5<5<6=44i3f`>5<5<>d4?m0;6<4?:1y'711=n11C?:m4H251?!5?<393m6*>e98727=hn>0;6)=74;064>=zj:h:6=4::385!53?3l;7E=8c:J037=#9l218;<4i8:94?=n1h0;66g7a;29?lg52900c?o9:188f7g1290=6=4?{%1;0?`43`;;97>5$2:7>46232c247>5$2:7><><3`326=4+3969=<=5$2:7>7c>32e99=4?:%1;0?42821vn>6>:182>5<7s-9387=7a:m115<72-9387<:0:9~w7g12909wS:;|q:e?6=:rT2m63=a781a<=z{021<7f348j:776;|qe7=7=:<:0qpl<2483>0<52?q/?995f19K72e<@:=97)??7;g8 4c?2=<97d77:188m5;c0b2?6=>3:1o68<0;6)=74;331>=n110;6)=74;;;?>o>13:1(>6;:8;8?l56l3:1(>6;:23g?>o5m00;6)=74;0f=>=h:<:1<7*<858115=51;294~"40=084l5`24294?"40=099=54}r0b2?6=:rT9m;522`496063;;96s|9`83>7}Y1h16>l852d;8yv??2909wS77;<0b2???3ty3m7>52z\;e>;5i?0256s|f983>7}::h<1?7373twi?n4?:481>3}#;==1j=5G36a8L6153-;n47:92:k:>d5i?0;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<8083>4<729q/?5:539c8k737290/?5:52428?xu5i?0;6?uQ2`4897g12;?;7p}n2;296~Xf:279m;4>049~w7c>3ty247>52z\:<>;5i?0246s|8`83>7}Y0h16>l85989~wc>=838p1?o9:23g?85?938><6srb31f>5<42;0?w)=;7;gf?M50k2B8;?5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqoo?:180>7<3s-9?;7kj;I14g>N4?;1/=h654708m=g=831bm?4?::m1e3<722h9m;4?:481>3}#;==1j<5+1d:90345<>o?i3:17oh>:185>5<7s-9387h<;h331?6=,:2?6<>:;:k:5<#;1>15454i23g>5<#;1>1?5<5sW2j70h>:8;8yv?f2909wS7n;7c>3ty9m84?:3y]6d3<5o;1?<><5:=m6?;;;|a6?6=93:1"6m10?:?5`f683>!5?<38><65rs3c5>5<5sW8j:63=a781e3=z{h81<7f348j:76n;|q6>5<4s48j:7i2796k94$252>f=zuk;=<7>55;092~"4<>0m<6F<7b9K724<,8o3698=;h;;>5<>of:3:17b3:1:7>50z&0<15$2:7>5$2:7>67c32c9i44?:%1;0?4b121d>8>50;&0<1<5=910qo=71;295?6=8r.8494<8`9l606=83.8494=5198yv4f>3:1>vP=a79>6d0=:<:0q~o=:181[g5348j:7??5:p=d<72;qU5l522`496`?3337p}7a;296~X?i279m;469:pb=<72;q6>l8530f896>62;?;7psm17c94?3=:3:8:g28L61d3A9<>6*>e98727=n110;66g6a;29?l>f2900el<50;9l6d0=831i>l850;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a7=7=83;1<7>t$2:7>6>f3f8><7>5$2:7>73732wx>l850;0xZ7g1348j:7<:0:pe7<72;qUm?522`4955338n56s|9983>7}Y1116>l85999~w=g=838pR5o4=3c5>5;c0b2?6=>3:1o68<0;6)=74;331>=n110;6)=74;;;?>o>13:1(>6;:8;8?l56l3:1(>6;:23g?>o5m00;6)=74;0f=>=h:<:1<7*<858115=51;294~"40=084l5`24294?"40=099=54}r0b2?6=:rT9m;522`496063;;96s|9`83>7}Y1h16>l852d;8yv??2909wS77;<0b2???3ty3m7>52z\;e>;5i?0256s|f983>7}::h<1?7373twi=8m50;796?0|,:><6k>4H25`?M50:2.:i54;639j==<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm39394?7=83:p(>6;:2:b?j4283:1(>6;:373?>{t:h<1<738><6s|a383>7}Yi;16>l851178yv?f2909wS7n;<0b2?4b12wx554?:3y]===::h<1555rs9c94?4|V1k01?o9:8;8yv`?2909w0;408099=5r}c3;N4?j1C?:<4$0g;>1053`336=44i8c94?=n0h0;66gn2;29?j4f>3:17o?k;:k1a<<72-938750z&0<1<40h1d>8>50;&0<1<5=910q~l852428yvg52909wSo=;<0b2?77=2wx5l4?:3y]=d=::h<1>h74}r;;>5<5sW3370vP7a:?1e3<>12wxj54?:3y>6d0=;8n01>6>:373?x{e9=k1<7=52;6x 6202lo0D>9l;I146>"6m10?:?5f8`83>>of:3:17b3:197<56z&002l;50;9je7<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm36d94?7=83:p(>6;:36a?j42<3:1(>6;:373?>{ti;0;6?uQa39>b4<68<1v5o50;0xZ=g<5o;1545rs8c94?4|V0k01k?52d;8yv4f=3:1>vP=a49>b4<49m1v?o9:181[4f>27m=7<:0:p725=838p1k?5999>72`=:<>0qpl=:182>5<7s-9<=774$264>c><,:2?6>6n;%3f5$2:7>73732wx>l850;0xZ7g1348j:7701/?:?5c:~f7c=8391>7:t$264>`c<@:=h7E=82:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb0a3>5<42;0?w)=;7;gf?M50k2B8;?5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqo?;b;297?4=80(f2900el<50;9l6d0=831i>l850;796?0|,:><6k?4$0g;>1053f8j:7>5;h0b1?6=3`k96=44i8c94?=n0h0;66li1;292?6=8r.8494i3:k240<72-9387??5:9j==<72-938777;:k:=?6=,:2?6474;h12`?6=,:2?6>?k;:k1a<<72-938750z&0<1<58:50;&0<1<5=910q~o=:181[g534l:6<>:;|q;e?6=:rT3m63i1;;:?xu>i3:1>vP6a:?e5?4b12wx>l;50;0xZ7g234l:6>?k;|q1e3<72;qU>l84=g3960652z?e5???349"4<>0m46*<85803k97p}7a;296~X?i279m;47a:p1?6=;r79m;4=a49>6d0=1h16>7h8;%145?e1}#;==1ih5G36a8L6153-;n47:92:k;e?6=3`k96=44o3c5>5<i5i?0;66g=a483>>of:3:17d7n:188m=g=831ij<4?:783>5}#;1>1j>5f11794?"40=0:<854i8:94?"40=02465f9883>!5?<33276g<1e83>!5?<39:h65f2d;94?"40=09i454o373>5<#;1>1>8>4;|`03c<7280;6=u+396961d7>52z\b6>;a93;;96s|8`83>7}Y0h16j<469:p=d<72;qU5l52f081a<=z{;k>6=4={_0b1>;a939:h6s|2`494?4|V;k=70h>:373?xu4?:0;6?u2f08:<>;4?o09995r}c094?7=83:p(>9>:89'711=n11/?5:539c8 4c?2=<97bh8:18'7=2=:<:07p}=a783>7}Y:h<01?o9:3c5?xuf:3:1>vPn2:?1e36}::h<1>l;4=3c5>9l;I146>"6m10?:?5f8`83>>of:3:17b3:197<56z&002l;50;9je7<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm36d94?7=83:p(>6;:36a?j42<3:1(>6;:373?>{ti;0;6?uQa39>b4<68<1v5o50;0xZ=g<5o;1545rs8c94?4|V0k01k?52d;8yv4f=3:1>vP=a49>b4<49m1v?o9:181[4f>27m=7<:0:p725=838p1k?5999>72`=:<>0qpl=:182>5<7s-9<=774$264>c><,:2?6>6n;%3f5$2:7>73732wx>l850;0xZ7g1348j:7701/?:?5c:~f44a29086?4;{%173?cb3A97>5;n0b2?6=3k8j:7>55;092~"4<>0m=6*>e98727=h:h<1<75f2`794?=ni;0;66g6a;29?l>f2900nk?50;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a72`=83;1<7>t$2:7>72e3f8>87>5$2:7>73732wxm?4?:3y]e7=:n80:<85rs9c94?4|V1k01k?5989~w52z\1e0=:n808=i5rs3c5>5<5sW8j:63i1;064>{t;>91<7l1>8:4}|`1>5<6290;w)=81;;8 6202o20(>6;:2:b?!7b03>=>6ai7;29 6>32;?;76s|2`494?4|V;k=70{ti;0;6?uQa39>6d0=i;1v5o50;0xZ=g<5;k=65o4}r794?5|5;k=6?o:;<0b2??f3481j:5+3639g>{zj:9?6=4<:387!53?3on7E=8c:J037=#99=1i6*>e98727=n0h0;66gn2;29?j4f>3:17or.88:4i1:&2a=<3>;1d>l850;9j6d3=831bm?4?::k:e?6=3`2j6=44bg394?0=83:p(>6;:g18m462290/?5:51178?l??290/?5:59998m290/?5:52d;8?j4283:1(>6;:373?>{e;>l1<7?50;2x 6>32;>i7b<:4;29 6>32;?;76s|a383>7}Yi;16j<4>049~w=g=838pR5o4=g39=<=z{0k1<7<6k64$2:7>6>f3-;n47:92:me3?6=,:2?6?;?;:p6d0=838pR?o9;<0b2?4f>2wxm?4?:3y]e7=::h<1m?5rs9c94?4|V1k01?o9:9c8yv3=839p1?o9:3c6?84f>33j70<5f69'727=k2wvn>=7:180>7<3s-9?;7kj;I14g>N4?;1/==95e:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb2fa>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj8?n6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb0f0>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj:h?6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb2g94?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a713=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi?9850;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo=ke;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm3ed94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e;l:1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm3d394?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c1f6?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a527=8391<7>t$264>16<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg70:3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?83;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm32c94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e;:i1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi?>j50;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo=189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg54n3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo=;0;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm35394?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c176?6=;3:1i0D>9=;%1;0?43k2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg53;3:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{e;:h1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi?>850;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo=<7;297?6=8r.88:4=4e9K72e<@:=97)=74;07g>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c1a2?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a7g1=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi?o650;194?6|,:><6?:k;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm17a94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e9?n1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm17g94?2=83:p(>:8:36f?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;hd`>5<#;1>1==;4;n071?6=,:2?6?;?;:a534=8391<7>t$264>16<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg71;3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?94;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg71=3:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{e9?<1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=i950;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo?ke;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7cn3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?j0;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7b93:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?j2;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7b;3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?j4;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7b=3:1?7>50z&002<5e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=i750;694?6|,:><69?4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21bjn4?:%1;0?77=21d>9;50;&0<1<5=910qo?ka;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm1e`94?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a5ae=83>1<7>t$264>17<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9jbf<72-9387??5:9l613=83.8494=5198yg7cl3:1?7>50z&002<5e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=:l50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a52e=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=:j50;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo?8e;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm16d94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e91:1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm19394?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e9181<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=:850;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo?87;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm16:94?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a52?=83>1<7>t$264>17<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9jbf<72-9387??5:9l613=83.8494=5198yg70i3:1?7>50z&002<5e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=5l50;194?6|,:><6?:k;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm19a94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e91n1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm19g94?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c3;b?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a5<6=83>1<7>t$264>17<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9jbf<72-9387??5:9l613=83.8494=5198yg73l3:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{e9<>1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm14794?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e9<<1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=8950;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a50>=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=8750;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a50g=8391<7>t$264>16<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg72j3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?;e;290?6=8r.88:4;1:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07dhl:18'7=2=99?07b<;5;29 6>32;?;76sm15d94?2=83:p(>:8:538L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965ffb83>!5?<3;;965`25794?"40=099=54}c364?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a507=8391<7>t$264>72c3A96;:373?>{e9<81<7=50;2x 6202=:0D>9l;I146>"40=08j6*>e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?7>54;294~"4<>098h5G36a8L6153-9387<;c:&2a=<3>;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21bjn4?:%1;0?77=21d>9;50;&0<1<5=910qo?l2;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm1b;94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e9jk1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm1b`94?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a5fe=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=nj50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5fc=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=nh50;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo?k0;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7d;3:187>50z&002<392B8;n5G3608 6>32:l0(6;:373?>{e9j>1<7:50;2x 6202=;0D>9l;I146>"40=08j6*>e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=nnj0;6)=74;331>=h:=?1<7*<858115=53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=n850;194?6|,:><6?:k;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm1b594?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c3`i0D>9=;%1;0?43k2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9jbf<72-9387??5:9l613=83.8494=5198yg55?3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo==8;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg5513:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo==a;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg55j3:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{e;;i1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi??j50;694?6|,:><69?4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21bjn4?:%1;0?77=21d>9;50;&0<1<5=910qo==e;297?6=8r.88:4=4e9K72e<@:=97)=74;07g>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}r0f>5<5sW8n70278?n4ia:?22f7f<>0278i7<;5:p<6<72jqU4>523379e7=:9?k1m?521729e7=:;k>1j4521639bg=:;:n1jo523c59b<=:9?n1j4521769b<=:91k1jl5233c9b<=z{031<7?;{_;:?85e932j70==5;:b?85d21k01?=j:9c89d6=0h16=;>58`9>53g=0h16=i?58`9>50e=0h16=5658`9>51g=0h16>h47a:?2g5e5<5i?16?h4ia:?22`e5c:?26c3li70?ke;d:?870j3l27p}>3183>7}Y9::01>l9:g`8yv74?3:1:vP>369>7ac=nh16=:?5f`9>76b=nh16=5o5fc9>77b=nk1v<:7:181[73027:8l4n2:p51?=838p1<:n:3c5?871:3lj7p}>4c83>1}Y9=h01<:m:3c5?873l3lj70?;e;da?xu69;4}r37`?6=:r7:8i4=449>505=nk1v<:j:181873m38?963>508ee>{t9=l1<772234;>=7hm;|q215<72;q6=8>5257894342oi0q~?:1;296~;6=80988521409bd=z{8?96=4={<366?43=27:9>4ia:p502=838p1<;;:366?873m3lj7p}>5483>7}:99;4=06f>ce:7>52z?213<5<<16=9h5fc9~w4302909w0?:7;071>;650?=:=?01<;=:g`8yv72i3:1>v3>5`8100=:9<:1jl5rs07a>5<5s4;>n7<;5:?2159;4}r354?6=:rT::=5217296d052z?225<>027::;4=449~w4052909w0?92;071>;6><0mm6s|17194?4|58<86?::;<351?`e3ty::94?:3y>532=:=?01<89:g`8yv71=3:1>v3>648100=:9?<1jl5rs044>5<3sW;=;63>628e=>;60l0mm63<2b8ee>{t9?k1<77223ty::n4?:3y>53e=:=?01<8j:gc8yv71l3:1>v3>6e8100=:9?o1jn5rs04e>5<4sW;=j63>6g81e3=:9>?1jl5rs053>5<0s4;:366?870;3lj7p}>7383>7}:9>81>9;4=050>cd52z?22c;6?>0mm6s|16494?4|58==6?::;<34521=:=?01<9n:gc8yv7003:1>v3>798100=:9>31jo5rs05:>5<5s4;<57<;5:?23d>70?72;db?xu6?j0;6?u216a9613<58296kl4}r34`?6=:r7:;i4=449>52>=nh1v<9j:181870m38?963>778ee>{t9>l1<772234;<:7hm;|q2<5<72;q6=5>52578941>2ok0q~?71;296~;60809885216;9bf=z{8296=4={<3;6?43=27:;:4ib:p5=>=839p1<67:3c5?87b83l270?8d;da?xu6000;6?u219c9613<583;6kl4}r3;e?6=:r7:4o4=449>5=c=nk1v<6m:18187?k38?963>8g8ee>{t91i1<772234;3j7hm;|q2;6k909m;521b09bd=:9j91jo5rs0a2>5<5s4;h<7o=;<3`>70?l8;da?xu6k:0;6?u21b19613<58i=6ko4}r3`0?6=:r7:o94=449>5f0=nk1vc98eg>{t9j<1<772234;h;7hn;|q2g2<72;q6=n95257894e?2ok0q~?l9;296~;6k00988521b19bd=z{8ij6=4={<3`e?43=27:o>4ic:p5fd=838p1cb83>7}:9ji1>9;4=0a7>cg52z?2ga<5<<16=n:5fb9~w4eb2909w0?le;071>;6k>0mn6s|1bd94?4|58im6?::;<3`1?`f3ty:h=4?:3y>5a6=:=?01v3>d081e3=:9jl1jl5rs0f1>5<5s4;o=7o=;<3g7?43=2wx=i;50;1xZ4b234;o970;6?u21e59613<58nj6ko4}r3g5ad=nh1vdc8ef>{t9mk1<772234;oh7hn;|q2`g<72;q6=il5257894bd2oh0q~?kc;296~;6lj0988521ef9bg=z{8nn6=4={<3ga?43=27:i84ia:p5a`=838p1e183>7}:9l:1>9;4=0f;>cd52z?2a4<5<<16=i75f`9~w4c52909w0?j2;071>;6l00mo6s|1d194?4|58o86?::;<3gg?`f3ty:i94?:3y>5`2=:=?01v3>e48100=:9mk1jo5rs31f>5<2s49h6l<4=31f>7g134;o=777;<36g???349n6kl4}r00b?6=;r79?h4n2:?2<=<>027:5=4=449~w7252902w0=8d;d4?85e933j70==5;;b?85d20k01<8?:8c8940f20k01:8c8943d20k01<67:8c8yv4ck3:1>vP=db9>7`6=n01v><::185855=38j:63>738e=>;4;l0m563;6>;0mn63>8e8e=>{t;;<1<7<><5:8n6?::;|q062<72;q6??952578964e2ok0q~==8;296~;4:109885233`9bg=z{:826=4={<11=?43=278>i4ic:p77g=838p1>7}:;;h1>9;4=20`>cd52z?06f<5<<16??j5f`9~w64c2909w0==d;071>;4:l0mm6s|32694?77s49887;50;0x96532h801>=8:366?xu4;?0;6?u23249613<5:9<6kl4}r10h4n2:?07=<5i?16?985f`9>76e=nk16?9>5f`9>761=nh16=i95fc9>5`2=n016=:;5fc9>5=7=n016=5l5f`9>502=n016=885f`9>5fg=n016=nl5f`9>771=n01v>=6:18185403k970={t;:k1<7722349?<7hm;|q07f<72;q6?>m5257896252ok0q~==i:366?853;3li7p}<4183>7}:;=:1>9;4=21a>cg52z?004<5<<16?9<5fc9~w6252909w0=;2;071>;4<:0mm6s|35194?4|5:>86?::;<10f?`e3ty8894?:3y>713=:=?01>:9:g`8yv5>13:1>vP<989>7a`=n01v>l>:181[5e9278n<4=a79~w6d42909w0=m1;c1?85e<38?96s|3c794?4|5:h:6464=2`;>7223ty8n;4?:3y>7g0=:=?01>l7:gc8yv5e?3:1>v35<5sW9om63349n>7<;5:p7ac=838p1>jj:366?85b:3lj7p}7}:;ml1>9;4=2g2>cg52z?0a5<5<<16?h?5fc9~w6c62909w0=j1;071>;4m;0mn6s|3df94?5|V:oo70?:e;d:?872?3l27p};2383>6}Y<;8017ac=nk16=h<5f89>52c=nk1vqo=7e;2953wE=82:&002<6;:1/=h953218k4572900e9;?:188k6?a2900e>mm:188k47d2900elk50;9j041=831d=>k50;9j=4<722c::k4?::k;f?6=3f9nh7>5;h36b?6=3`=i6=44o501>5<5<5<:8:g:8L61d3A9<>6*<8580N4?j1C?:<4$0g;>1053`336=44i8c94?=n0h0;66gn2;29?j4f>3:17o?k;:k1a<<72-938750z&0<1<40h1d>8>50;&0<1<5=910q~l852428yvg52909wSo=;<0b2?77=2wx5l4?:3y]=d=::h<1>h74}r;;>5<5sW3370vP7a:?1e3<>12wxj54?:3y>6d0=;8n01>6>:373?x{ek10;6>4=:5y'711=ml1C?:m4H251?!7b03>=>6g7a;29?lg52900c?o9:188f7g1290>6?49{%173?`63-;n47:92:m1e3<722c9m84?::kb6?6=3`3j6=44i9c94?=en80;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<7g83>4<729q/?5:525`8k733290/?5:52428?xuf:3:1>vPn2:?e5?77=2wx4l4?:3y]7}Y1h16j<4=e89~w7g22909wSl850;0xZ7g134l:6?;?;|q036<72;q6j<468:?03c<5==1vqo<50;394?6|,:=:645+3559b==#;1>1?5o4$0g;>1053fl<6=4+3969606<3ty9m;4?:3y]6d0<5;k=6?o9;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v84?:2y>6d0=:h?01?o9:8c897i0D>9=;%3f5;hc1>5<5<22;0=w)=;7;d2?!7b03>=>6a=a783>>o5i<0;66gn2;29?l?f2900e5o50;9ab4<72?0;6=u+3969b6=n99?1<7*<858240==n100;6)=74;;:?>o49m0;6)=74;12`>=n:l31<7*<8581a<=5}#;1>1>9l4o377>5<#;1>1>8>4;|qb6?6=:rTj>63i1;331>{t0h0;6?uQ8`9>b4<>12wx5l4?:3y]=d=:n809i45rs3c6>5<5sW8j963i1;12`>{t:h<1<77}:n802463<7g8111=zuk81<7?50;2x 616201/?995f99'7=2=;1k0(u22`496d3<5;k=64o4=38e3>"4?80h7psmc183>6<52=q/?995ed9K72e<@:=97)?j8;656>o?i3:17do=:188k7g12900n?o9:186>7<1s-9?;7h>;%3f7>5;h;b>5<3<729q/?5:5f29j553=83.8494>0498m<>=83.849468:9j=<<72-938776;:k05a<72-9387=>d:9j6`?=83.8494=e898k737290/?5:52428?xd4?o0;6<4?:1y'7=2=:=h0c?;;:18'7=2=:<:07p}n2;296~Xf:27m=7??5:p{t1h0;6?uQ9`9>b4<5m01v?o::181[4f=27m=7=>d:p6d0=838pR?o9;7373ty8;>4?:3y>b4<>0278;k4=559~yg4=83;1<7>t$252><=#;==1j55+39697=g<,8o3698=;nd4>5<#;1>1>8>4;|q1e3<72;qU>l84=3c5>7g13tyj>7>52z\b6>;5i?0j>6s|8`83>7}Y0h16>l858`9~w0<72:q6>l852`7897g120k01?4i7:&0341}#;==1ih5G36a8L6153-;n47:92:k;e?6=3`k96=44o3c5>5<i5i?0;66g=a483>>of:3:17d7n:188m=g=831ij<4?:783>5}#;1>1j>5f11794?"40=0:<854i8:94?"40=02465f9883>!5?<33276g<1e83>!5?<39:h65f2d;94?"40=09i454o373>5<#;1>1>8>4;|`03c<7280;6=u+396961d7>52z\b6>;a93;;96s|8`83>7}Y0h16j<469:p=d<72;qU5l52f081a<=z{;k>6=4={_0b1>;a939:h6s|2`494?4|V;k=70h>:373?xu4?:0;6?u2f08:<>;4?o09995r}c094?7=83:p(>9>:89'711=n11/?5:539c8 4c?2=<97bh8:18'7=2=:<:07p}=a783>7}Y:h<01?o9:3c5?xuf:3:1>vPn2:?1e36}::h<1>l;4=3c5>4=:5y'711=ml1C?:m4H251?!7b03>=>6g7a;29?lg52900c?o9:188f7g1290>6?49{%173?`63-;n47:92:m1e3<722c9m84?::kb6?6=3`3j6=44i9c94?=en80;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<7g83>4<729q/?5:525`8k733290/?5:52428?xuf:3:1>vPn2:?e5?77=2wx4l4?:3y]7}Y1h16j<4=e89~w7g22909wSl850;0xZ7g134l:6?;?;|q036<72;q6j<468:?03c<5==1vqo<50;394?6|,:=:645+3559b==#;1>1?5o4$0g;>1053fl<6=4+3969606<3ty9m;4?:3y]6d0<5;k=6?o9;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v84?:2y>6d0=:h?01?o9:8c8977:t$264>`c<@:=h7E=82:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb0;2>5<42;0?w)=;7;gf?M50k2B8;?5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqo?j6;297?4=80(f2900el<50;9l6d0=831i>l850;796?0|,:><6k?4$0g;>1053f8j:7>5;h0b1?6=3`k96=44i8c94?=n0h0;66li1;292?6=8r.8494i3:k240<72-9387??5:9j==<72-938777;:k:=?6=,:2?6474;h12`?6=,:2?6>?k;:k1a<<72-938750z&0<1<58:50;&0<1<5=910q~o=:181[g534l:6<>:;|q;e?6=:rT3m63i1;;:?xu>i3:1>vP6a:?e5?4b12wx>l;50;0xZ7g234l:6>?k;|q1e3<72;qU>l84=g3960652z?e5???349"4<>0m46*<85803k97p}7a;296~X?i279m;47a:p1?6=;r79m;4=a49>6d0=1h16>7h8;%145?e4?:281>1}#;==1ih5G36a8L6153-;n47:92:k;e?6=3`k96=44o3c5>5<i5i?0;66g=a483>>of:3:17d7n:188m=g=831ij<4?:783>5}#;1>1j>5f11794?"40=0:<854i8:94?"40=02465f9883>!5?<33276g<1e83>!5?<39:h65f2d;94?"40=09i454o373>5<#;1>1>8>4;|`03c<7280;6=u+396961d7>52z\b6>;a93;;96s|8`83>7}Y0h16j<469:p=d<72;qU5l52f081a<=z{;k>6=4={_0b1>;a939:h6s|2`494?4|V;k=70h>:373?xu4?:0;6?u2f08:<>;4?o09995r}c094?7=83:p(>9>:89'711=n11/?5:539c8 4c?2=<97bh8:18'7=2=:<:07p}=a783>7}Y:h<01?o9:3c5?xuf:3:1>vPn2:?1e36}::h<1>l;4=3c5>4=:5y'711=ml1C?:m4H251?!7b03>=>6g7a;29?lg52900c?o9:188f7g1290>6?49{%173?`63-;n47:92:m1e3<722c9m84?::kb6?6=3`3j6=44i9c94?=en80;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<7g83>4<729q/?5:525`8k733290/?5:52428?xuf:3:1>vPn2:?e5?77=2wx4l4?:3y]7}Y1h16j<4=e89~w7g22909wSl850;0xZ7g134l:6?;?;|q036<72;q6j<468:?03c<5==1vqo<50;394?6|,:=:645+3559b==#;1>1?5o4$0g;>1053fl<6=4+3969606<3ty9m;4?:3y]6d0<5;k=6?o9;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v84?:2y>6d0=:h?01?o9:8c8977:t$264>`c<@:=h7E=82:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb2gg>5<42;0?w)=;7;gf?M50k2B8;?5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqo=6f;297?4=80(f2900el<50;9l6d0=831i>l850;796?0|,:><6k?4$0g;>1053f8j:7>5;h0b1?6=3`k96=44i8c94?=n0h0;66li1;292?6=8r.8494i3:k240<72-9387??5:9j==<72-938777;:k:=?6=,:2?6474;h12`?6=,:2?6>?k;:k1a<<72-938750z&0<1<58:50;&0<1<5=910q~o=:181[g534l:6<>:;|q;e?6=:rT3m63i1;;:?xu>i3:1>vP6a:?e5?4b12wx>l;50;0xZ7g234l:6>?k;|q1e3<72;qU>l84=g3960652z?e5???349"4<>0m46*<85803k97p}7a;296~X?i279m;47a:p1?6=;r79m;4=a49>6d0=1h16>7h8;%145?e1}#;==1ih5G36a8L6153-;n47:92:k;e?6=3`k96=44o3c5>5<i5i?0;66g=a483>>of:3:17d7n:188m=g=831ij<4?:783>5}#;1>1j>5f11794?"40=0:<854i8:94?"40=02465f9883>!5?<33276g<1e83>!5?<39:h65f2d;94?"40=09i454o373>5<#;1>1>8>4;|`03c<7280;6=u+396961d7>52z\b6>;a93;;96s|8`83>7}Y0h16j<469:p=d<72;qU5l52f081a<=z{;k>6=4={_0b1>;a939:h6s|2`494?4|V;k=70h>:373?xu4?:0;6?u2f08:<>;4?o09995r}c094?7=83:p(>9>:89'711=n11/?5:539c8 4c?2=<97bh8:18'7=2=:<:07p}=a783>7}Y:h<01?o9:3c5?xuf:3:1>vPn2:?1e36}::h<1>l;4=3c5>0;6>4=:5y'711=ml1C?:m4H251?!7b03>=>6g7a;29?lg52900c?o9:188f7g1290>6?49{%173?`63-;n47:92:m1e3<722c9m84?::kb6?6=3`3j6=44i9c94?=en80;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<7g83>4<729q/?5:525`8k733290/?5:52428?xuf:3:1>vPn2:?e5?77=2wx4l4?:3y]7}Y1h16j<4=e89~w7g22909wSl850;0xZ7g134l:6?;?;|q036<72;q6j<468:?03c<5==1vqo<50;394?6|,:=:645+3559b==#;1>1?5o4$0g;>1053fl<6=4+3969606<3ty9m;4?:3y]6d0<5;k=6?o9;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v84?:2y>6d0=:h?01?o9:8c8977:t$264>`c<@:=h7E=82:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb013>5<32;0>w)=;7;ge?M50k2B8;?5+1d:9034>of:3:17b3:197<56z&002l;50;9je7<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm36d94?7=83:p(>6;:36a?j42<3:1(>6;:373?>{ti;0;6?uQa39>b4<68<1v5o50;0xZ=g<5o;1545rs8c94?4|V0k01k?52d;8yv4f=3:1>vP=a49>b4<49m1v?o9:181[4f>27m=7<:0:p725=838p1k?5999>72`=:<>0qpl<:182>5<7s-9<=7o4$264>c><,:2?6>6n;%3f5$2:7>73732wx>l850;0xZ7g1348j:7f348j:76n;|q5>5<5s48j:7c1<,:=:6i5r}c30a?6=<3819v*<468fb>N4?j1C?:<4$0g;>1053`3j6=44i9c94?=ni;0;66a=a783>>d5i?0;684=:7y'711=n81/=h654708k7g12900e?o::188md4=831b5l4?::k;e?6=3kl:6=49:183!5?<3l87d??5;29 6>328:>76g68;29 6>320207d76:18'7=2=1010e>?k:18'7=2=;8n07d32;o276a=5183>!5?<38><65rb25e>5<6290;w)=74;07f>i5==0;6)=74;064>=z{h81<7f34l:6474}r;b>5<5sW3j70h>:3g:?xu5i<0;6?uQ2`789c7=;8n0q~:8:8961a2;??7psm3;295?6=8r.8;<4n;%173?`?3-9387=7a:&2a=<3>;1dj:4?:%1;0?42821v?o9:181[4f>279m;4=a79~wd4=838pRl<4=3c5>d433j7p}7a;296~X?i279m;47a:p2?6=:r79m;4=a49>7?`03-9<=7j4}|`b2?6=;3818v*<468fa>N4?j1C?:<4$024>`=#9l218;<4i9c94?=ni;0;66a=a783>>d5i?0;684=:7y'711=n81/=h654708k7g12900e?o::188md4=831b5l4?::k;e?6=3kl:6=49:183!5?<3l87d??5;29 6>328:>76g68;29 6>320207d76:18'7=2=1010e>?k:18'7=2=;8n07d32;o276a=5183>!5?<38><65rb25e>5<6290;w)=74;07f>i5==0;6)=74;064>=z{h81<7f34l:6474}r;b>5<5sW3j70h>:3g:?xu5i<0;6?uQ2`789c7=;8n0q~:8:8961a2;??7psm2;295?6=8r.8;<46;%173?`?3-9387=7a:&2a=<3>;1dj:4?:%1;0?42821v?o9:181[4f>279m;4=a79~wd4=838pRl<4=3c5>d432j7p}::18084f>38j963=a78:e>;52o=0(>9>:b9~yg7f93:1?7<54z&0023}#;==1j<5+1d:90345<>o?i3:17oh>:185>5<7s-9387h<;h331?6=,:2?6<>:;:k:5<#;1>15454i23g>5<#;1>1?5<5sW2j70h>:8;8yv?f2909wS7n;7c>3ty9m84?:3y]6d3<5o;1?<><5:=m6?;;;|a6?6=93:1"6m10?:?5`f683>!5?<38><65rs3c5>5<5sW8j:63=a781e3=z{h81<7f348j:76n;|q6>5<4s48j:7i2796k94$252>f=zuk;j>7>53;090~"4<>0ni6F<7b9K724<,8:<6h5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqo?n7;297?4=80(<>8:d9'5`>=5<6=44i`094?=n1h0;66g7a;29?g`6290=6=4?{%1;0?`43`;;97>5$2:7>46232c247>5$2:7><><3`326=4+3969=<=5$2:7>7c>32e99=4?:%1;0?42821vn>9i:182>5<7s-9387<;b:m111<72-9387<:0:9~wd4=838pRl<4=g39553l;4=g3974b52z\1e3=:n8099=5rs250>5<5s4l:6464=25e>7333twi>7>51;294~"4?8027)=;7;d;?!5?<393m6*>e98727=hn>0;6)=74;064>=z{;k=6=4={_0b2>;5i?09m;5rs`094?4|Vh801?o9:`08yv>f2909wS6n;<0b2?>f3ty>6=4<{<0b2?4f=279m;46a:?1>c1<,:=:6n5r}c3bb?6=;3818v*<468fa>N4?j1C?:<4$024>`=#9l218;<4i9c94?=ni;0;66a=a783>>d5i?0;684=:7y'711=n81/=h654708k7g12900e?o::188md4=831b5l4?::k;e?6=3kl:6=49:183!5?<3l87d??5;29 6>328:>76g68;29 6>320207d76:18'7=2=1010e>?k:18'7=2=;8n07d32;o276a=5183>!5?<38><65rb25e>5<6290;w)=74;07f>i5==0;6)=74;064>=z{h81<7f34l:6474}r;b>5<5sW3j70h>:3g:?xu5i<0;6?uQ2`789c7=;8n0q~:8:8961a2;??7psm2;295?6=8r.8;<46;%173?`?3-9387=7a:&2a=<3>;1dj:4?:%1;0?42821v?o9:181[4f>279m;4=a79~wd4=838pRl<4=3c5>d432j7p}::18084f>38j963=a78:e>;52o=0(>9>:b9~yg7e83:1?7<54z&0023}#;==1j<5+1d:90345<>o?i3:17oh>:185>5<7s-9387h<;h331?6=,:2?6<>:;:k:5<#;1>15454i23g>5<#;1>1?5<5sW2j70h>:8;8yv?f2909wS7n;7c>3ty9m84?:3y]6d3<5o;1?<><5:=m6?;;;|a6?6=93:1"6m10?:?5`f683>!5?<38><65rs3c5>5<5sW8j:63=a781e3=z{h81<7f348j:76n;|q6>5<4s48j:7i2796k94$252>f=zuk;i=7>53;090~"4<>0ni6F<7b9K724<,8:<6h5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqo?m8;297?4=80(<>8:g9'5`>=5<6=44i`094?=n1h0;66g7a;29?g`6290=6=4?{%1;0?`43`;;97>5$2:7>46232c247>5$2:7><><3`326=4+3969=<=5$2:7>7c>32e99=4?:%1;0?42821vn>9i:182>5<7s-9387<;b:m111<72-9387<:0:9~wd4=838pRl<4=g39553l;4=g3974b52z\1e3=:n8099=5rs250>5<5s4l:6464=25e>7333twi>7>51;294~"4?8027)=;7;d;?!5?<393m6*>e98727=hn>0;6)=74;064>=z{;k=6=4={_0b2>;5i?09m;5rs`094?4|Vh801?o9:`08yv>f2909wS6n;<0b2?>f3ty>6=4<{<0b2?4f=279m;46a:?1>c1<,:=:6n5r}caf>5<42808wE=82:&002<6mj1bm94?::kb1?6=3f9:o7>5;c1;i0D>9=;%1;0?50i2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yvg32909wSo;;<1;52z\b1>;4010mm6s|30a94?4|V:;h70=78;071>{zjjn1<7=51;1xL6153-9?;7?jc:kb0?6=3`k>6=44o23`>5<9n;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vl:50;0xZd2<5:236kl4}rc6>5<5sWk>70=78;db?xu49j0;6?uQ30a896>?2;>>7psmcb83>6<62:qC?:<4$264>4cd3`k?6=44i`794?=h;8i1<75m39:94?5=83:p(>:8:25a?M50k2B8;?5+396972g<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:pe1<72;qUm95239:9bg=z{h?1<7?2ok0q~=>c;296~X49j16?5652578yxd4m=0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl>8483>7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd60?0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl>8683>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb2f:>5<4290;w)=;7;07`>N4?j1C?:<4$2:7>72d3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f61029096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn<==:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f6`b29096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn9=<:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f12729096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vnno50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:agg<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~ff0=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wio:4?:283>5}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vnn<50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:ag6<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~fg>=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wih=4?:283>5}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vni<50;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo=n1;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7>;3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?64;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7>=3:187>50z&002<392B8;n5G3608 6>32:l0(6;:373?>{e9kk1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=ol50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5ge=8391<7>t$264>72c3A96;:373?>{e9kn1<7=50;2x 6202=:0D>9l;I146>"40=08j6*>e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=53;294~"4<>098i5G36a8L6153-9387<;c:&2a=<3>;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo?m3;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm1c694?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e9k?1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm1c494?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c3a3?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a5d?=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=lo50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5dd=8391<7>t$264>16<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg7fk3:1?7>50z&002<5e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=lk50;194?6|,:><6?:k;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm1`694?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a5d3=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=l850;694?6|,:><69?4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21bjn4?:%1;0?77=21d>9;50;&0<1<5=910qo:;b;291?6=8r.88:4;2:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07dhl:18'7=2=99?07dhk:18'7=2=99?07b<;5;29 6>32;?;76sm45a94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e<=n1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm45g94?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c67b?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:ae=<72<0;6=u+355961`<@:=h7E=82:&0<1<50498mcd=83.8494>0498mce=83.8494>0498mcb=83.8494>0498k722290/?5:52428?xdf13:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{eih0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907plnb;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76smab83>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb`f94?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a7c2=8391<7>t$264>72c3A96;:373?>{e;o?1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm3g494?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c1e3?6=:3:1i0D>9=;%1;0?>03-;n47:92:ke=?6=,:2?6<>:;:m100<72-9387<:0:J0<6=53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi?k750;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo=ia;297?6=8r.88:4=4e9K72e<@:=97)=74;07g>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c1ef?6=<3:1i0D>9=;%1;0?43k2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9jbf<72-9387??5:9l613=83.8494=5198yg5ak3:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{e<;31<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi8?o50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a07d=8391<7>t$264>16<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg25k3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo:=d;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm43g94?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c61b?6=;3:1i0D>9=;%1;0?43k2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg2483:187>50z&002<5e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=nnj0;6)=74;331>=h:=?1<7*<858115=8=7>53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi89<50;794?6|,:><69<4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21bjn4?:%1;0?77=21bji4?:%1;0?77=21d>9;50;&0<1<5=910qo:;3;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg23<3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo:;5;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg23>3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo:;7;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm45:94?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a01?=83>1<7>t$264>17<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9jbf<72-9387??5:9l613=83.8494=5198yg7383:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?;1;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg73:3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?;3;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg73<3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?;5;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg73>3:1:7>50z&002<3;2B8;n5G3608 6>32:l0(6;:373?>{e9==1<7:50;2x 6202;>n7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3`lh6=4+3969553<3f8?97>5$2:7>73732wi=4950;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=4o50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=4m50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=4k50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5<`=8391<7>t$264>16<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg7f83:147>50z&002<3=2B8;n5G3608 6>32:l0(6;:026?>i5<<0;6)=74;064>=zj8336=4;:183!53?38?i6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232cmo7>5$2:7>46232e9884?:%1;0?42821vn><50;794?6|,:><6?:i;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07dhl:18'7=2=99?07dhk:18'7=2=99?07b<;5;29 6>32;?;76sm3583>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb2794?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e;?0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl<7;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg5?29096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn>750;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo=n:186>5<7s-9?;7:=;I14g>N4?;1/?5:53g9'5`>="6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c10>5<4290;w)=;7;07`>N4?j1C?:<4$2:7>72d3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f47b29086=4?{%173?43l2B8;n5G3608 6>32;>h7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj88<6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb00;>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj8826=4<:183!53?3>;7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th:>l4?:383>5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`26g<72;0;6=u+355954?<@:=h7E=82:&0<1n4?:383>5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`26a<72;0;6=u+355954?<@:=h7E=82:&0<1h4?:383>5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`25c<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f44729086=4?{%173?273A90498mcd=83.8494>0498k722290/?5:52428?xd6:80;6;4?:1y'711=<:1C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>oak3:1(>6;:026?>oal3:1(>6;:026?>oam3:1(>6;:026?>i5<<0;6)=74;064>=zj8896=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn<<<:180>5<7s-9?;7<;d:J03f=O;>80(>6;:36`?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb007>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`260<72:0;6=u+355961b<@:=h7E=82:&0<1<50498mcd=83.8494>0498k722290/?5:52428?xd6:?0;694?:1y'711=:=o0D>9l;I146>"40=098n5+1d:9034>6=4+3969606<3th8m>4?:283>5}#;==1>9j4H25`?M50:2.8494=4b9'5`>=7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd4i?0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd4i10;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd4ih0;6>4?:1y'711=:=n0D>9l;I146>"40=098n5+1d:9034>6=4+3969606<3th8mo4?:283>5}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn>ol:187>5<7s-9?;7:>;I14g>N4?;1/?5:53g9'5`>=6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb2g4>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`0a=<72:0;6=u+355961b<@:=h7E=82:&0<1<50498mcd=83.8494>0498k722290/?5:52428?xd4m00;6>4?:1y'711=<91C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zjm>1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876smd683>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rbe:94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{el00;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907plka;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298ygbe29096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vnim50;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qojk:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>="6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}cf6>5<3290;w)=;7;62?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854iga94?"40=0:<854o366>5<#;1>1>8>4;|`g2?6=;3:1i0D>9=;%1;0?43k2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198ygdf29096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vnol50;694?6|,:><6?:j;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07dhl:18'7=2=99?07b<;5;29 6>32;?;76smbb83>7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xdel3:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{ejl0;6>4?:1y'711=<91C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zjkl1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wx>h4?:2y]6`=::l09m;523`8ee>{t;80;6?u22d8b6>;4;38?96s|3383>7}:;;098852328ee>{t;=0;6?u2358100=:;00mm6s|3483>7}:;<098852388ef>{t;?0;6?u2378100=:;h0mn6s|3683>7}:;>0988523`8eg>{t;10;6?u2398100=:;h0mh6s|3883>7}:;00988523c8ee>{t;h0;6?u23`8100=:;k0mn6s|3c83>7}:;k098852328ef>{t?k0;6:uQ7c9>5<3=nk16=ol5f89>5g5=nk16?94ia:?26<93:18vP61:?77gc?7g134;2?7h6;<3ae?`e34;i97h6;<3bg?`f34;j97h6;c?<5:l<6k74=50`>c?<58896ko4=2c0>cd7g134ki6ko4=2g;>cgd4<5hn1>9;4}rc;>5<5s4k36?::;cd72234kh6ko4}rcb>5<5s4kj6?::;cd72234ko6ko4}rc`>5<5s4kh6?::;cdfdf3<5i?16on4n4:?g4?`e34>?n7hl;<676?`f34>??7h6;<374?`>34n<6ko4=e:9b<=:jj0m56s|b683><}:j?03m63<748;e>;6;l03m63>318;e>;b?32j70m7:9c89f2=0h16o=47a:?af3fafg<5<<16nh4ia:pff<72;q6nn4=449>f`fa<5<<16nk4ia:pf`<72;q6nh4=449>fc4?:9y>g5<5i?16on4n5:?g4?`f34>?o7h6;<672?`>34;?>7h6;c?<5kh1jl5rsb394?4|5j:1m?52c28100=z{j81<71>l84=bf9e0=:l;0mm63;4c8e`>;3<;0mn63;458e=>;6<:0m563ka;d:?8de2oh0q~m::1818e32h801n952578yve12909w0m9:366?8e02oh0q~l::18;8e?2;k=70mj:`78912c2o3019:::g;894212oh01i95fc9>`<7223tyhm7>52z?`e?43=27hn7hm;|q`b?6=;r7hh7o;;72234n96kl4}rf2>5<5s4in6l:4=e09613c?<5j<1j452c38e=>;c>38?96s|d583>7}:l=098852db8ef>{tl<0;6?u2d48100=:l?0mn6s|d683>7}:l>098852dd8ee>{tl10;6?u2d98100=:lm0mm6s|d883>7}:l0098852de8ef>{tlh0;6?u2d`8100=:l<0mm6s|dc83>7}:lk098852d48eg>{tlj0;6?u2db8100=:l?0mm6s|de83>7}:lm098852dd8ef>{tll0;6?u2dd8100=:l<0mn6s|dg83>7}:kj08=n52c28ee>{tm90;6?u2ce805f=:k>0mm6s|e083>7}:kl08=n52cc8ee>{tm>0;6>u2e681e3=:lj0mm63md;db?xu69j0;68uQ10a8947d2;k=70:;b;da?823:3lh70?=1;da?xu69m0;6?u210a9e7=:9;<1>9;4}r32a?6=:r7:=h4=449>570=nk1v238ef>{t9;:1<772234;9?7hn;|q264<72;q6=??5257894442oh0q~?=2;296~;6:;0988521369bg=z{8886=4={<317?43=27:>;4ic:p572=838p1<<;:366?875=3li7p}>2483>7}:9;?1>9;4=005>cg52z?262<5<<16=;69o0mn6s|13;94?4|58826?::;<314?`f3ty:>l4?:3y>57g=:=?01<v3>2c8100=:9;;1jl5rs00`>5<5s4;9o7<;5:?264>70?=1;dg?xu6:l0;6?u213g9613<588:6kk4}r304?6==rT:?=5212296d0<58k26k74=0c7>cg<588<6k74}r305?6=:r7:?=4n2:?277<5<<1v<=j:186[74m27:?h4=a79>5dg=n016=l:5fc9>57>=n01v<=i:181874m3k970?;7;071>{t9=:1<772234;?:7hn;|q204<72;q6=9?5257894212oi0q~?;2;296~;6<;0988521549ba=z{8>86=4={<377?43=27:8;4ie:p512=838p1<:;:366?873?3lj7p}>4483>7}:9=?1>9;4=064>ce52z?203<5<<16=995fc9~w43a2909wS?:f:?0bf12o301>h9:gc8914e2ok0q~?74;29<~;60>0988521869b<=:9kk1jl521c69b<=:;<0m563>2`8e=>;4i:0mm63{t91?1<772234;3;7hn;|q2<3<72;q6=585257894>02oh0q~?61;297~;61809m;521`29bd=:;l=1jo5rs0;1>5<5s4;297<;5:?2=2>70?65;db?xu61=0;6?u21869613<583>6km4}r3:2?6=:r7:5<4n2:?2==<5<<1v<78:18187>?38?963>9g8ef>{t9031<772234;j<7hm;|q2=d<72;q6=4o5257894g72oi0q~?6b;296~;61k0988521`29ba=z{83h6=4={<3:g?43=27:m=4if:p59d83>7}:90o1>9;4=0c3>4673ty:5k4?:3y>5<`=:=?01<77:gc8yv7f83:1>v3>a18100=:9021jn5rs0c2>5<2s4;j=734;9i7h6;|q2e7<725d4=:h<01<7l:g;8960=n016=?j5f89~w4g42909w0?n2;c1?87f>38?96s|1`694?4|58k?6?::;<3b2?`f3ty:m84?:3y>5d3=:=?01a681e3=:;m31jl521`f9bd=:9h<1jo52a98e`>;4nh0mm63;2g8ee>;61m0m563<2;db?875k3l270?=4;db?85f13l27p}>a983>7}:9h=1m?521`g961352z?2e<<5<<16=ll5f`9~w4gf2909w0?na;071>;6ik0mn6s|1``94?4|58ki6?::;<3bg?`e3ty:mn4?:3y>5de=:=?01v3>ae8100=:9ho1jo5rs0ce>5cd<588>6ko4=2c;>c?59z?2ece=3ty:n<4?:8y>5g6=i;16=o?52`489d>=nh16?k65f`9>07b=nh16=475f89>775g0=nh1vb78ef>{t9k<1<772234;i;7hm;|q2f=<72mq6=o652`4894dc2ok0107d=nk16=4k5f89>5<`=nh16?94ib:?034;957hn;<31f?`>349j97h6;|q2f<<72;q6=o65a39>5gc=:=?0q~?ma;296~;6jh0988521ca9bd=z{8hi6=4={<3af?43=27:nn4ib:p5ge=838p1be83>7}:9kn1>9;4=0`f>cd52z\2`1=:<:;1jl5rs0f6>5<5sW;o963>e78b6>{t9l<1<7=t=0g5>7g134;397h6;cd7>52z?03a9::3c5?823j3lj70:;2;dg?xu4??0;6?u23679e7=:;>=1>9;4}r1:b?6=55z?0=c?47a:?0aao=:18185>n3k970=n4;071>{t;h91<7722349jm7hn;|q0e0<72;q6?l;5257896gf2oh0q~=n6;296~;4i?0988523`a9bd=z{:k<6=4={<1b3?43=278mo4ia:p7d>=838p1>o7:366?85fj3li7p}7}:;h31>9;4=2c7>cd52z?0ed<5<<16?lm5fc9~w6ge2909w0=nb;071>;4ij0mo6s|3`a94?4|5:kh6?::;<1b0?`f3ty8n=4?:3y]7g6<58996k74}r1`f?6=:rT8oo521569b<=z{:n=6=4={_1g2>;4l?09m;5rs2f;>5<5s49o:7o=;<1g=?43=2wx?h=50;0xZ6c4349n87<;5:p7`0=838p1>k;:g;896c>2;>>7p}7}:;l=1>9;4=2g;>cd52z?0a=<5<<16?h75fc9~w6cc2908wS=jd:?0aa<5i?16?k;5f89~w6`42909w0=ie;d:?85ak38?96s|3g694?4|5:l?6?::;<1ef?`e3ty8j84?:3y>7c3=:=?01>h7:g`8yv5a>3:1>v35<5s49m;7<;5:?0b<>70=ia;da?xu4n00;6?u23g;9613<5:li6km4}r1ee?6=:r78jl4=449>7cd=nh1v>hm:18185aj38?963{t;on1<7d4<5:ln6?::;|q752<72;qU8<94=066>c?9>7>53z\767=:<;81>l84=50b>c?947>52z?7762909w0:=9;071>;3;90mn6s|43c94?4|5=8j6?::;<61`?`e3ty?>o4?:3y>07d=:=?019v3;2b8100=:<;o1jo5rs50g>5<5s4>9h7<;5:?76c>70:<0;d`?xu3:o0;6?u243d9613<5=9;6ko4}r604?6=:r7??=4=449>067=nk1v9==:181825:3k970:<3;071>{t<:h1<7=t^51a?824j38j:63>408e=>{t<:l1<7d4<5=>;6?::;|q704<72;q68>l5999>01?=:=?0q~:;2;296~;3<;09885245:9bd=z{=>86=4={<677?43=27?8:4ia:p012=838p19:;:366?823?3li7p};4483>7}:<=?1>9;4=56:>cg?:7>52z?703<5<<168975fb9~w1202909w0:;7;071>;3<10mn6s|45:94?4|5=>36?::;<67=?`e3ty?8l4?:3y>016=n01689h52578yv23j3:1>v3;4c8100=:<=l1jl5rs56`>5<5s4>?o7<;5:?70`>70:;e;da?xu3m6kl4}r664?6=:rT?9=523659b<=zuk93n7>58;4`>3`|@:=97)=;7;;a?!7b?398?6a<1`83>>o>13:17b=>1;29?j5el3:17doj:188m6cf2900c9?m:188k2d=831i?:j50;394?6|,:><6k64H25`?M50:2.8494<8`9'5`>=r.88:4i0:J03f=O;>80(<>8:d9'5`>=5;hc1>5<5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:2:6=4>:183!5?<393m6a=5183>!5?<38><65rs3c5>5<5sW8j:63=a78115=z{h81<77p}6a;296~X>i279m;4=e89~w<>=838pR464=3c5><>3327p}i8;296~;5i?08=i5239396063}#;==1j=5G36a8L6153-;;;7k4$0g;>1053`336=44i8c94?=n0h0;66gn2;29?j4f>3:17o?k;:k1a<<72-938750z&0<1<40h1d>8>50;&0<1<5=910q~l852428yvg52909wSo=;<0b2?77=2wx5l4?:3y]=d=::h<1>h74}r;;>5<5sW3370vP7a:?1e3<>12wxj54?:3y>6d0=;8n01>6>:373?x{e;<21<7;52;4x 6202o:0D>9l;I146>"68>0n7)?j8;656>o>03:17d7n:188m=g=831bm?4?::m1e3<722h9m;4?:783>5}#;1>1j>5f11794?"40=0:<854i8:94?"40=02465f9883>!5?<33276g<1e83>!5?<39:h65f2d;94?"40=09i454o373>5<#;1>1>8>4;|`0<4<7280;6=u+39697=g63=a78240=z{0k1<70279m;468:p67c3493=7<:0:~f634290>6?49{%173?`73A95;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm39394?7=83:p(>6;:2:b?j4283:1(>6;:373?>{t:h<1<738><6s|a383>7}Yi;16>l851178yv?f2909wS7n;<0b2?4b12wx554?:3y]===::h<1555rs9c94?4|V1k01?o9:8;8yv`?2909w0;408099=5r}c035?6==381:v*<468e4>N4?j1C?:<4$0g;>1053`336=44i8c94?=n0h0;66gn2;29?j4f>3:17o?k;:k1a<<72-938750z&0<1<40h1d>8>50;&0<1<5=910q~l852428yvg52909wSo=;<0b2?77=2wx5l4?:3y]=d=::h<1>h74}r;;>5<5sW3370vP7a:?1e3<>12wxj54?:3y>6d0=;8n01>6>:373?x{e:981<7;52;4x 6202o:0D>9l;I146>"6m10?:?5f9983>>o>i3:17d6n:188md4=831d>l850;9a6d0=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?5?50;394?6|,:2?6>6n;n064?6=,:2?6?;?;:p6d0=838pR?o9;<0b2?4282wxm?4?:3y]e7=::h<1==;4}r;b>5<5sW3j70{t110;6?uQ999>6d0=111v5o50;0xZ=g<5;k=6474}rd;>5<5s48j:7=>d:?0<4<5=91vqor.88:4i0:J03f=O;>80(7>5;n0b2?6=3k8j:7>56;294~"40=0m?6g>0483>!5?<3;;965f9983>!5?<33376g69;29 6>320307d=>d;29 6>32:;o76g=e883>!5?<38n565`24294?"40=099=54}c1;5?6=93:152z\1e3=::h<1>8>4}rc1>5<5sWk970{t1h0;6?uQ9`9>6d0=:l30q~77:181[??348j:777;|q;e?6=:rT3m63=a78:=>{tn10;6?u22`4974b<5:2:6?;?;|a651=83?1>78t$264>c6<@:=h7E=82:&2a=<3>;1b554?::k:e?6=3`2j6=44i`094?=h:h<1<75m2`494?0=83:p(>6;:g18m462290/?5:51178?l??290/?5:59998m290/?5:52d;8?j4283:1(>6;:373?>{e;1;1<7?50;2x 6>32:2j7b<:0;29 6>32;?;76s|2`494?4|V;k=70{ti;0;6?uQa39>6d0=99?0q~7n:181[?f348j:739:h63<808115=zuk8;47>55;092~"4<>0m<6F<7b9K724<,8o3698=;h;;>5<>of:3:17b3:1:7>50z&0<15$2:7>5$2:7>67c32c9i44?:%1;0?4b121d>8>50;&0<1<5=910qo=71;295?6=8r.8494<8`9l606=83.8494=5198yv4f>3:1>vP=a79>6d0=:<:0q~o=:181[g5348j:7??5:p=d<72;qU5l522`496`?3337p}7a;296~X?i279m;469:pb=<72;q6>l8530f896>62;?;7psm21;94?3=:3:8:g28L61d3A9<>6*>e98727=n110;66g6a;29?l>f2900el<50;9l6d0=831i>l850;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a7=7=83;1<7>t$2:7>6>f3f8><7>5$2:7>73732wx>l850;0xZ7g1348j:7<:0:pe7<72;qUm?522`4955338n56s|9983>7}Y1116>l85999~w=g=838pR5o4=3c5>5;c0b2?6=>3:1o68<0;6)=74;331>=n110;6)=74;;;?>o>13:1(>6;:8;8?l56l3:1(>6;:23g?>o5m00;6)=74;0f=>=h:<:1<7*<858115=51;294~"40=084l5`24294?"40=099=54}r0b2?6=:rT9m;522`496063;;96s|9`83>7}Y1h16>l852d;8yv??2909wS77;<0b2???3ty3m7>52z\;e>;5i?0256s|f983>7}::h<1?7373twi>=l50;796?0|,:><6k>4H25`?M50:2.:i54;639j==<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm39394?7=83:p(>6;:2:b?j4283:1(>6;:373?>{t:h<1<738><6s|a383>7}Yi;16>l851178yv?f2909wS7n;<0b2?4b12wx554?:3y]===::h<1555rs9c94?4|V1k01?o9:8;8yv`?2909w0;408099=5r}c03g?6==381:v*<468e4>N4?j1C?:<4$0g;>1053`336=44i8c94?=n0h0;66gn2;29?j4f>3:17o?k;:k1a<<72-938750z&0<1<40h1d>8>50;&0<1<5=910q~l852428yvg52909wSo=;<0b2?77=2wx5l4?:3y]=d=::h<1>h74}r;;>5<5sW3370vP7a:?1e3<>12wxj54?:3y>6d0=;8n01>6>:373?x{e:9n1<7;52;4x 6202o:0D>9l;I146>"6m10?:?5f9983>>o>i3:17d6n:188md4=831d>l850;9a6d0=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?5?50;394?6|,:2?6>6n;n064?6=,:2?6?;?;:p6d0=838pR?o9;<0b2?4282wxm?4?:3y]e7=::h<1==;4}r;b>5<5sW3j70{t110;6?uQ999>6d0=111v5o50;0xZ=g<5;k=6474}rd;>5<5s48j:7=>d:?0<4<5=91vqor.88:4i0:J03f=O;>80(7>5;n0b2?6=3k8j:7>56;294~"40=0m?6g>0483>!5?<3;;965f9983>!5?<33376g69;29 6>320307d=>d;29 6>32:;o76g=e883>!5?<38n565`24294?"40=099=54}c1;5?6=93:152z\1e3=::h<1>8>4}rc1>5<5sWk970{t1h0;6?uQ9`9>6d0=:l30q~77:181[??348j:777;|q;e?6=:rT3m63=a78:=>{tn10;6?u22`4974b<5:2:6?;?;|a652=83?1>78t$264>c6<@:=h7E=82:&2a=<3>;1b554?::k:e?6=3`2j6=44i`094?=h:h<1<75m2`494?0=83:p(>6;:g18m462290/?5:51178?l??290/?5:59998m290/?5:52d;8?j4283:1(>6;:373?>{e;1;1<7?50;2x 6>32:2j7b<:0;29 6>32;?;76s|2`494?4|V;k=70{ti;0;6?uQa39>6d0=99?0q~7n:181[?f348j:739:h63<808115=zuk8;97>55;092~"4<>0m<6F<7b9K724<,8o3698=;h;;>5<>of:3:17b3:1:7>50z&0<15$2:7>5$2:7>67c32c9i44?:%1;0?4b121d>8>50;&0<1<5=910qo=71;295?6=8r.8494<8`9l606=83.8494=5198yv4f>3:1>vP=a79>6d0=:<:0q~o=:181[g5348j:7??5:p=d<72;qU5l522`496`?3337p}7a;296~X?i279m;469:pb=<72;q6>l8530f896>62;?;7psm1gc94?3=:3:8:g28L61d3A9<>6*>e98727=n110;66g6a;29?l>f2900el<50;9l6d0=831i>l850;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a7=7=83;1<7>t$2:7>6>f3f8><7>5$2:7>73732wx>l850;0xZ7g1348j:7<:0:pe7<72;qUm?522`4955338n56s|9983>7}Y1116>l85999~w=g=838pR5o4=3c5>5;c0b2?6=>3:1o68<0;6)=74;331>=n110;6)=74;;;?>o>13:1(>6;:8;8?l56l3:1(>6;:23g?>o5m00;6)=74;0f=>=h:<:1<7*<858115=51;294~"40=084l5`24294?"40=099=54}r0b2?6=:rT9m;522`496063;;96s|9`83>7}Y1h16>l852d;8yv??2909wS77;<0b2???3ty3m7>52z\;e>;5i?0256s|f983>7}::h<1?7373twi?<6k>4H25`?M50:2.:i54;639j==<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm39394?7=83:p(>6;:2:b?j4283:1(>6;:373?>{t:h<1<738><6s|a383>7}Yi;16>l851178yv?f2909wS7n;<0b2?4b12wx554?:3y]===::h<1555rs9c94?4|V1k01?o9:8;8yv`?2909w0;408099=5r}cga>5<42;0?w)=;7;gf?M50k2B8;?5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqokn:180>7<3s-9?;7kj;I14g>N4?;1/=h654708m=g=831bm?4?::m1e3<722h9m;4?:481>3}#;==1j<5+1d:90345<>o?i3:17oh>:185>5<7s-9387h<;h331?6=,:2?6<>:;:k:5<#;1>15454i23g>5<#;1>1?5<5sW2j70h>:8;8yv?f2909wS7n;7c>3ty9m84?:3y]6d3<5o;1?<><5:=m6?;;;|a6?6=93:1"6m10?:?5`f683>!5?<38><65rs3c5>5<5sW8j:63=a781e3=z{h81<7f348j:76n;|q6>5<4s48j:7i2796k94$252>f=zuk9ih7>53;090~"4<>0ni6F<7b9K724<,8o3698=;h:b>5<3:17d56;294~"40=0m?6g>0483>!5?<3;;965f9983>!5?<33376g69;29 6>320307d=>d;29 6>32:;o76g=e883>!5?<38n565`24294?"40=099=54}c14b?6=93:11<7*<858115=7p}7a;296~X?i27m=776;|q:e?6=:rT2m63i1;0f=>{t:h?1<77}Y:h<01k?52428yv50;3:1>v3i1;;;?850n38>86srb383>4<729q/?:?59:&002=l852`48yvg52909wSo=;<0b2?g53ty3m7>52z\;e>;5i?03m6s|5;297~;5i?09m8522`49=d=::3l<7)=81;a8yxd39k0;6>4=:5y'711=ml1C?:m4H251?!7b03>=>6g7a;29?lg52900c?o9:188f7g1290>6?49{%173?`63-;n47:92:m1e3<722c9m84?::kb6?6=3`3j6=44i9c94?=en80;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<7g83>4<729q/?5:525`8k733290/?5:52428?xuf:3:1>vPn2:?e5?77=2wx4l4?:3y]7}Y1h16j<4=e89~w7g22909wSl850;0xZ7g134l:6?;?;|q036<72;q6j<468:?03c<5==1vqo<50;394?6|,:=:645+3559b==#;1>1?5o4$0g;>1053fl<6=4+3969606<3ty9m;4?:3y]6d0<5;k=6?o9;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v84?:2y>6d0=:h?01?o9:8c897i0D>9=;%3f5;hc1>5<5<22;0=w)=;7;d2?!7b03>=>6a=a783>>o5i<0;66gn2;29?l?f2900e5o50;9ab4<72?0;6=u+3969b6=n99?1<7*<858240==n100;6)=74;;:?>o49m0;6)=74;12`>=n:l31<7*<8581a<=5}#;1>1>9l4o377>5<#;1>1>8>4;|qb6?6=:rTj>63i1;331>{t0h0;6?uQ8`9>b4<>12wx5l4?:3y]=d=:n809i45rs3c6>5<5sW8j963i1;12`>{t:h<1<77}:n802463<7g8111=zuk81<7?50;2x 616201/?995f99'7=2=;1k0(u22`496d3<5;k=64o4=38e3>"4?80h7psm3da94?5=:3>p(>:8:dg8L61d3A9<>6*>e98727=n0h0;66gn2;29?j4f>3:17or.88:4i1:&2a=<3>;1d>l850;9j6d3=831bm?4?::k:e?6=3`2j6=44bg394?0=83:p(>6;:g18m462290/?5:51178?l??290/?5:59998m290/?5:52d;8?j4283:1(>6;:373?>{e;>l1<7?50;2x 6>32;>i7b<:4;29 6>32;?;76s|a383>7}Yi;16j<4>049~w=g=838pR5o4=g39=<=z{0k1<7<6k64$2:7>6>f3-;n47:92:me3?6=,:2?6?;?;:p6d0=838pR?o9;<0b2?4f>2wxm?4?:3y]e7=::h<1m?5rs9c94?4|V1k01?o9:9c8yv3=839p1?o9:3c6?84f>33j70<5f69'727=k2wvn<>n:180>7<3s-9?;7kj;I14g>N4?;1/==95e:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb02f>5<42;0?w)=;7;gf?M50k2B8;?5+1159a>"6m10?:?5f8`83>>of:3:17b3:197<56z&002l;50;9je7<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm36d94?7=83:p(>6;:36a?j42<3:1(>6;:373?>{ti;0;6?uQa39>b4<68<1v5o50;0xZ=g<5o;1545rs8c94?4|V0k01k?52d;8yv4f=3:1>vP=a49>b4<49m1v?o9:181[4f>27m=7<:0:p725=838p1k?5999>72`=:<>0qpl=:182>5<7s-9<=774$264>c><,:2?6>6n;%3f5$2:7>73732wx>l850;0xZ7g1348j:7701/?:?5c:~f60129086<4<{I146>"4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;8::180>4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<="4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<="4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;j:180>4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<=29086<4<{I146>"4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<="4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<="4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<="4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<="4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:af4<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f60a29086=4?{%173?273A90498mcd=83.8494>0498k722290/?5:52428?xd49=0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl=1b83>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb33f>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`165<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f74529086=4?{%173?273A90498mcd=83.8494>0498k722290/?5:52428?xd5:=0;6>4?:1y'711=<91C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj;8=6=4<:183!53?3>;7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th9>54?:283>5}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn?5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb30f>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`175<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f77f29096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn>8=:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f60429086=4?{%173?273A90498mcd=83.8494>0498k722290/?5:52428?xd4=l0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl<5g83>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb27b>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj:?i6=4<:183!53?3>;7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th8984?:383>5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`013<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn>87:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb035>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj8;<6=4<:183!53?3>;7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th:<44?:583>5}#;==18<5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232cmo7>5$2:7>46232e9884?:%1;0?42821vn>:j:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd4=90;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl<5083>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb271>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`2bf<7200;6=u+355903=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:keg?6=,:2?6<>:;:ke`?6=,:2?6<>:;:kea?6=,:2?6<>:;:keb?6=,:2?6<>:;:k245<72-9387??5:9j557=83.8494>0498k722290/?5:52428?xd6nm0;684?:1y'711=<;1C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>oak3:1(>6;:026?>oal3:1(>6;:026?>i5<<0;6)=74;064>=zj8ln6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb0de>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`145<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f47729096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f47529086=4?{%173?273A90498mcd=83.8494>0498k722290/?5:52428?xd69:0;6>4?:1y'711=<91C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj8;?6=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn<>l:187>5<7s-9?;7:>;I14g>N4?;1/?5:53g9'5`>=0e83>6<729q/?99525f8L61d3A9<>6*<85810f=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`053<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`05=<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==18<5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232cmo7>5$2:7>46232e9884?:%1;0?42821vn:j50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a3`<72;0;6=u+355954?<@:=h7E=82:&0<153;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi4=4?:283>5}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn5?50;194?6|,:><6?:k;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm8383>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb2a3>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`0g4<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`0g6<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f6e329086=4?{%173?43l2B8;n5G3608 6>32;>h7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj:i>6=4<:183!53?3>;7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th8o;4?:283>5}#;==1>9j4H25`?M50:2.8494=4b9'5`>=52z?4f?g534296?::;|q4`?6=:r752z?4a?43=273<7hm;|q4b?6=:r752z?;4?43=273=7hm;|q;5?6=:r73=7<;5:?;6?`e3ty257>51ey]=<=:;?:14l5234a9b;:b?81e21k01>kl:9c8946f21k01<>j:9c8yvgb2909wSoj;d44777;<`2>722349=j7hm;<33=?`f3tyi<7>52z?a4?43=27i=7hm;|qf7g134h;6k74}rg:>5<5s4oi6?o9;<`2>cg54z?24<<5<<16=544=nh16==m5f`9~w46f290;69>0mm63>118e=>;68m0mm6372;db?85d83lj70=l1;d:?xu68k0;6?u211c9e7=:99n1>9;4}r33g?6=:r7:55b=nk1v<>j:184877m38j:63>178e=>;69:0mm63>0b8eg>;0l3l2706?:gc896e22ok0q~??f;296~;68l0j>63>158100=z{8;;6=4={<324?43=27:=?4ib:p547=838p1:366?876;3li7p}>1383>7}:9881>9;4=037>cg52z?256<5<<16=<:5fc9~w4722909w0?>6;071>;69>0mn6s|10:94?4|5=;i6l<4=034>7223ty:jl4?:3y>5cg=:h<01<>6:ga8yv7aj3:1>v3>f`8b6>;58909885rs0d`>5<5s4;mo7<;5:?145>70?if;db?xu6nl0;6?u21gg9613<58lm6kl4}r3eb?6=:r7:jk4=449>656=nk1v;59j0mn63=1`8e=>;6nl0m56s|1g394?2|5;:96?o9;<025?g2348:o7hn;<3eg?`f3ty:ih4?:5y>655=:h<01?>j:`78974b2ok015<4s48;97p1?>9:3c5?846:3k>70<>e;db?87ak3li7p}>f283>1}::9=1>l84=330>d3<5;8;6ko4=0d`>ce54z?14=<5i?16><:5a49>674=nh16=km5fd9~w4`2290?w0;59<0j963=258ee>;6nj0mh6s|1g494?2|5;:j6?o9;<022?g23489:7hn;<3eg?`a3ty:j:4?:5y>65d=:h<01??8:`78974?2ok01cg<58lh6<>?;|q2b<<72=q6>=j52`48977>2h?01?b;297~;59;0j863=1b8100=::8o1jo5rs33g>5<4s48:?7o;;<02a?43=279>=4ib:p64`=839p1??;:`6897472;>>70<=2;da?xu5:80;6>u22079e1=::;81>9;4=307>cd53z?15394=449>670=nk1v?<::180846?3k?70<=6;071>;5:10mn6s|23594?5|5;;36l:4=30;>7223489m7hm;|q16<<72:q6><75a59>67g=:=?01?;5:j09885223g9bg=z{;8o6=4<{<03b?g33489i7<;5:?175?h50;0x97772h>01?=?:366?xu5;80;6?u22139e7=::8k1>9;4}r006?6=:r79<>4n2:?14`<49j1v?=<:181847<3k970{t::>1<7d4<5;;;6>?l;|q170<72;q6>=<5a39>647=;8i0q~<<6;296~;58?0j>63=13805f=z{;9<6=4={<033?g5348:?7=>c:p66>=838p1?>7:`0897732:;h7p}=3883>7}::931m?52207974e52z?14d67d3ty9?i4?:3y>65b=i;16><7530a8yv43:3:1=8u236f9b2=:;?:15l5234a9=d=:;<215l523419=d=::9;15l522109=d=::9<15l522159=d=::9215l5221;9=d=::9k15l5221`9=d=::9i15l5221f9=d=::9915l522169=d=::9?15l521gc9=d=:;=i15l523039=d=z{:;:6=4={_125>;49809m;5rs230>5<5s49:=7o=;<120?43=2wx?<;50;0x96732o301>?6:366?xu49?0;6?u23049613<5:;26kl4}r123?6=:r78=:4=449>74?=nh1v>?7:181856038?963<188eg>{t;=i1<7=t=26`>7g1349=j7hn;<33=?`e3ty88i4?:3y>71e=i;16?8<52578yv53m3:1>v3<4d8100=:;<81jl5rs26e>5<5s49?j7<;5:?01450;0x96372;>>70=:1;da?xu4=80;6?u23439613<5:?96kl4}r174=a79>732=i=16?885f89>73>=nk16?9k5f`9~w6332909w0=:3;c1?852?38?96s|34794?4|5:?>6?::;<163?`f3ty89;4?:3y>700=:=?01>;8:g`8yv5313:19v3<5981e3=:;?>1m85237:9bd=:;=l1j4523059b<=z{:?26=4={<16n7<;5:p70g=838p1>;n:366?852j3li7p}<4`83>0}:;l84=246>d3<5:c?<5:;=6k74}r16`?6=:r789n4n2:?01c<5<<1v>;j:181852m38?963<5g8ef>{t;=h1<7;t^23b?851838j:63<678b1>;4{t;?;1<7d4<5:<86?::;|q027<72;q6?;<5257896042oh0q~=97;297~;4><0j863<698100=:;?k1jo5rs24:>5<5s49=:7o;;<15e?43=2wx?;l50;0x96032:;h70=:b;db?xu4>j0;6?u2377974e<5:?m6ko4}r15`?6=:r78:;4<1b9>735=nh1v>8j:1827~;58802463=038:<>;58?02463=068:<>;58102463=088:<>;58h02463=0c8:<>;58j02463=0e8:<>;58:02463=058:<>;58<02463>f`8:<>;4>o0988523709b<=:;5<2sW9ih63;4k=0mm6s|3cd94?4|5:ho6l<4=2a5>7223ty8o=4?:3y>7f6=:=?01>m9:gc8yv5d93:1>v35<5s49h>7<;5:?0g6>70=l4;da?xu4k=0;6?u23b69613<5:i>6kl4}r1`1?6=:r78o84=449>7f0=nk1v>kn:181[5bi278in4n2:p7`e=839p1>kl:3c5?877k3li70=l2;d:?xu39k0;6?uQ40`8917e2;k=7psm38594?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e;ho1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm38194?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e;0>1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm38794?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c62e?6=:3:1i0D>9=;%1;0?>03-;n47:92:ke=?6=,:2?6<>:;:m100<72-9387<:0:J0<6=52;294~"4<>0:<95G36a8L6153-938768;I302>"6jo0:<85+1d:9034>6=4+3969606<3th?>94?:383>5}#;==1==:4H25`?M50:2.849477:J273=#9kl1==;4$0g;>1053`l26=4+3969553<3f8?97>5$2:7>73732wi?oo50;094?6|,:><6<>;;I14g>N4?;1/?5:5869K560<,8hm6<>:;%3f5$2:7>46232e9884?:%1;0?42821vnh850;094?6|,:><6<>;;I14g>N4?;1/?5:5869K560<,8hm6<>:;%3f5$2:7>46232e9884?:%1;0?42821vnhj50;094?6|,:><6<>;;I14g>N4?;1/?5:5869K560<,8hm6<>:;%3f5$2:7>46232e9884?:%1;0?42821vn>mi:181>5<7s-9?;7??4:J03f=O;>80(>6;:958L4513-;ij7??5:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=910qo=jb;296?6=8r.88:4>059K72e<@:=97)=74;:4?M74>2.:nk4>049'5`>=7<729q/?9951168L61d3A9<>6*<858;3>N6;?1/=oh51178 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;76sm9383>7<729q/?9951168L61d3A9<>6*<858;3>N6;?1/=oh51178 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;76sm8b83>7<729q/?9951168L61d3A9<>6*<858;3>N6;?1/=oh51178 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;76sm3dd94?4=83:p(>:8:027?M50k2B8;?5+3969<2=O9:<0(=>6gi9;29 6>328:>76a=4483>!5?<38><65rb57g>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c5:>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c5;>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c54>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c55>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c56>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c57>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c50>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c51>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c52>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c53>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c4e>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c4f>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c4g>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c4`>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c5b>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c62i0D>9=;%3f5;hcf>5<>6=44o03a>5<N6;?1/=oh51178 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;76sm25594?5=939p(>:8:364?M74>2.:nk4=519'5`>=>6=4+3969606<@:9976s|f883>7}Yn016?5>5f89~wdc=838pRlk4=2:3>6573ty9884?:3y]613<5:2;6?::;|p613=838pR?::;<330?43=2wx=7>50z&002<50498k722290/?5:52428?xd4km0;6?4?:1y'711=:=<0D>9l;I146>"40=03;6F>379'5g`=:<:0(290/?5:51178?j43=3:1(>6;:373?>{e<<81<7<50;2x 6202;>=7E=8c:J037=#;1>14:5G1248 4da2;?;7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>=zj=8:6=4=:183!53?38?:6F<7b9K724<,:2?6594H015?!7en38><6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=<6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=::7>52;294~"4<>098;5G36a8L6153-938768;I302>"6jo099=5+1d:9034>6=4+3969606<3th?=<4?:383>5}#;==1>984H25`?M50:2.849477:J273=#9kl1>8>4$0g;>1053`l26=4+3969553<3f8?97>5$2:7>73732wi?n650;094?6|,:><6?:9;I14g>N4?;1/?5:5869K560<,8hm6?;?;%3f5$2:7>46232e9884?:%1;0?42821vn>lj:181>5<7s-9?;7<;6:J03f=O;>80(>6;:958L4513-;ij7<:0:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=910qo=6d;297?7=;r.88:4=469K72e<@:=97E?<6:&2fc<5=91/=h654708mc?=831bmh4?::m100<722h84=4?:283>5}#;1>1?>?4ig;94?"40=0:<854i213>5<#;1>15k54o366>5<#;1>1>8>4H211?>{tn00;6?uQf89>7=6=n01vlk50;0xZdc<5:2;6>=?;|q100<72;qU>9;4=2:3>7223twi?lh50;094?6|,:><6?:9;I14g>N4?;1/?5:5869K560<,8hm6?;?;%3f5$2:7>46232e9884?:%1;0?42821vn9?<:181>5<7s-9?;7<;6:J03f=O;>80(>6;:958L4513-;ij7<:0:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=910qo:=6;297?7=;r.88:4=469K72e<@:=97E?<6:&2fc<5=91/=h654708mc?=831bmh4?::m100<722h84=4?:283>5}#;1>1?>?4ig;94?"40=0:<854i213>5<#;1>15k54o366>5<#;1>1>8>4H211?>{tn00;6?uQf89>7=6=n01vlk50;0xZdc<5:2;6>=?;|q100<72;qU>9;4=2:3>7223twi8=750;094?6|,:><6?:9;I14g>N4?;1/?5:5869K560<,8hm6?;?;%3f5$2:7>46232e9884?:%1;0?42821vn>7>:181>5<7s-9?;7<;6:J03f=O;>80(>6;:958L4513-;ij7<:0:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=910qo=68;296?6=8r.88:4=479K72e<@:=97)=74;:4?M74>2.:nk4=519'5`>=7<729q/?9952548L61d3A9<>6*<858;3>N6;?1/=oh52428 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;76sm3e594?4=83:p(>:8:365?M50k2B8;?5+3969<2=O9:<0(=>6gi9;29 6>328:>76a=4483>!5?<38><65rb2d2>5<42808w)=;7;073>N4?j1C?:<4H015?!7en38><6*>e98727=nn00;66gne;29?j43=3:17o=70;297?6=8r.8494<309jb<<72-9387??5:9j766=83.84946f:9l613=83.8494=519K764<3tym57>52z\e=>;4090m56s|ad83>7}Yil16?5>53228yv43=3:1>vP=449>7=6=:=?0qpl;3e83>6<62:q/?9952558L61d3A9<>6F>379'5g`=:<:0(2900elk50;9l613=831i?5>50;194?6|,:2?6>=>;hd:>5<#;1>1==;4;h104?6=,:2?64h4;n071?6=,:2?6?;?;I106>=z{o31<772o30q~oj:181[gb3493<7=<0:p613=838pR?::;<1;4?43=2wvn>jl:181>5<7s-9?;7<;6:J03f=O;>80(>6;:958L4513-;ij7<:0:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=910qo=j5;296?6=8r.88:4=479K72e<@:=97)=74;:4?M74>2.:nk4=519'5`>=7<729q/?9952548L61d3A9<>6*<858;3>N6;?1/=oh52428 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;76sm2e394?4=83:p(>:8:365?M50k2B8;?5+3969<2=O9:<0(=>6gi9;29 6>328:>76a=4483>!5?<38><65rb3f3>5<5290;w)=;7;072>N4?j1C?:<4$2:7>=1<@89=7)?mf;064>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c0ge?6=:3:1i0D>9=;%1;0?>03A;8:6*>bg8115=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4;|`1`<<72;0;6=u+3559610<@:=h7E=82:&0<15<#;1>1==;4;n071?6=,:2?6?;?;:a6a>=8381<7>t$264>7213A91C=>84$0`e>7373-;n47:92:ke=?6=,:2?6<>:;:m100<72-9387<:0:9~f7b029096=4?{%173?43>2B8;n5G3608 6>321=0D<=9;%3ab?4282.:i54;639jb<<72-9387??5:9l613=83.8494=5198yg4c>3:1>7>50z&002<50498k722290/?5:52428?xd5l<0;6?4?:1y'711=:=<0D>9l;I146>"40=03;6F>379'5g`=:<:0(290/?5:51178?j43=3:1(>6;:373?>{e:m>1<7<50;2x 6202;>=7E=8c:J037=#;1>14:5G1248 4da2;?;7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>=zj;n86=4=:183!53?38?:6F<7b9K724<,:2?6594H015?!7en38><6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=7>52;294~"4<>098;5G36a8L6153-938768;I302>"6jo099=5+1d:9034>6=4+3969606<3th9ok4?:383>5}#;==1>984H25`?M50:2.849477:J273=#9kl1>8>4$0g;>1053`l26=4+3969553<3f8?97>5$2:7>73732wi8n4?:083>5}#;1>1>l?4H251?M77>2.:nk4>049'5`g=:0:0e?o>:18'7=2=:h;07pl;d;295?6=8r.8494=a09K724<@8:=7)?mf;331>"6mh09555f2`394?"40=09m<54}c6f>5<6290;w)=74;0b5>N4?;1C==84$0`e>4623-;nm7<:6:k1e4<72-9387t$2:7>7g63A9<>6F>079'5g`=99?0(6;:3c2?>{e=90;6<4?:1y'7=2=:h;0D>9=;I332>"6jo0:<85+1dc960>=7>51;294~"40=09m<5G3608L4613-;ij7??5:&2ad<5=01b>l?50;&0<1<5i810qo;=:182>5<7s-9387m6g=a083>!5?<38j=65rb4194?7=83:p(>6;:3c2?M50:2B:<;5+1cd9553<,8oj6?;m;h0b5?6=,:2?6?o>;:a11<7280;6=u+39696d7<@:=97E??6:&2fc<68<1/=ho524a8m7g6290/?5:52`38?xd2=3:1=7>50z&0<1<5i81C?:<4H025?!7en3;;96*>e`811a=n:h;1<7*<8581e4=:183!5?<38j=6F<739K550<,8hm6<>:;%3fe?42m2c9m<4?:%1;0?4f921vn8750;394?6|,:2?6?o>;I146>N68?1/=oh51178 4cf2;?m7d32;k:76sm5`83>4<729q/?5:52`38L6153A;;:6*>bg8240=#9lk1>;?4i3c2>5<#;1>1>l?4;|`6g?6=93:180D<>9;%3ab?77=2.:il4=659j6d7=83.8494=a098yg0e290:6=4?{%1;0?4f92B8;?5G1148 4da28:>7)?ja;0;3>o5i80;6)=74;0b5>=zj1h1<7?50;2x 6>32;k:7E=82:J243=#9kl1==;4$0gb>7053`8j=7>5$2:7>7g632wi4i4?:083>5}#;1>1>l?4H251?M77>2.:nk4=519'5`g=:>o0c?o>:18'7=2=:h;07pl7f;295?6=8r.8494=a09K724<@8:=7)?mf;064>"6mh09;i5`2`394?"40=09m<54}c;2>5<6290;w)=74;0b5>N4?;1C==84$0`e>4623-;nm7<93:k1e4<72-9387t$2:7>7g63A9<>6F>079'5g`=99?0(6;:3c2?>{emj0;6<4?:1y'7=2=:h;0D>9=;I332>"6jo0:<85+1dc96305}#;1>1>l?4H251?M77>2.:nk4=519'5`g=:>30c?o>:18'7=2=:h;07pl=a`83>4<729q/?5:52`38L6153A;;:6*>bg8115=#9lk1>:l4o3c2>5<#;1>1>l?4;|`1ef<7280;6=u+39696d7<@:=97E??6:&2fc<5=91/=ho52908k7g6290/?5:52`38?xd5im0;6<4?:1y'7=2=:h;0D>9=;I332>"6jo099=5+1dc96215}#;1>1>l?4H251?M77>2.:nk4=519'5`g=:>?0c?o>:18'7=2=:h;07pl=ag83>4<729q/?5:52`38L6153A;;:6*>bg8115=#9lk1>:=4o3c2>5<#;1>1>l?4;|`1f5<7280;6=u+39696d7<@:=97E??6:&2fc<5=91/=ho52608k7g6290/?5:52`38?xd5j80;6<4?:1y'7=2=:h;0D>9=;I332>"6jo099=5+1dc96225}#;1>1>l?4H251?M77>2.:nk4=519'5`g=:><0c?o>:18'7=2=:h;07pl=b283>4<729q/?5:52`38L6153A;;:6*>bg8115=#9lk1>:64o3c2>5<#;1>1>l?4;|`1f1<7280;6=u+39696d7<@:=97E??6:&2fc<5=91/=ho526c8k7g6290/?5:52`38?xd5j<0;6<4?:1y'7=2=:h;0D>9=;I332>"6jo099=5+1dc962e5}#;1>1>l?4H251?M77>2.:nk4=519'5`g=:0>0c?o>:18'7=2=:h;07pl<9783>4<729q/?5:52`38L6153A;;:6*>bg8115=#9lk1>4=4o3c2>5<#;1>1>l?4;|`0=d<7280;6=u+39696d7<@:=97E??6:&2fc<68<1/=ho527`8m7g6290/?5:52`38?xd41j0;6<4?:1y'7=2=:h;0D>9=;I332>"6jo099=5+1dc96<46;:3c2?M50:2B:<;5+1cd9606<,8oj6?79;n0b5?6=,:2?6?o>;:a7g7=83;1<7>t$2:7>7g63A9<>6F>079'5g`=:<:0(6;:3c2?>{e;k31<7?50;2x 6>32;k:7E=82:J243=#9kl1==;4$0gb>7>d3`8j=7>5$2:7>7g632wi?oj50;394?6|,:2?6?o>;I146>N68?1/=oh52428 4cf2;227b32;k:76sm3b594?7=83:p(>6;:3c2?M50:2B:<;5+1cd9606<,8oj6?8i;n0b5?6=,:2?6?o>;:a7fe=83;1<7>t$2:7>7g63A9<>6F>079'5g`=:<:0(6;:3c2?>{e;jo1<7?50;2x 6>32;k:7E=82:J243=#9kl1==;4$0gb>7>b3`8j=7>5$2:7>7g632wi?i850;394?6|,:2?6?o>;I146>N68?1/=oh52428 4cf2;3>7b32;k:76sm3ec94?7=83:p(>6;:3c2?M50:2B:<;5+1cd9606<,8oj6?76;n0b5?6=,:2?6?o>;:a7`5=83;1<7>t$2:7>7g63A9<>6F>079'5g`=:<:0(6;:3c2?>{e;lk1<7?50;2x 6>32;k:7E=82:J243=#9kl1==;4$0gb>7?e3`8j=7>5$2:7>7g632wi?hk50;394?6|,:2?6?o>;I146>N68?1/=oh51178 4cf2;32;k:76sm3g294?7=83:p(>6;:3c2?M50:2B:<;5+1cd9606<,8oj6?6i;n0b5?6=,:2?6?o>;I106>=zj=:=6=4>:183!5?<38j=6F<739K550<,8hm6?;?;%3fe?4082e9m<4?:%1;0?4f921vn9??:182>5<7s-9387!5?<38j=65rb531>5<6290;w)=74;0b5>N4?;1C==84$0`e>7373-;nm7<75:m1e4<72-9387i5i80;6)=74;0b5>=zj=;<6=4>:183!5?<38j=6F<739K550<,8oj6?7n;*0b5?6=,:2?6?o>;:a04e=83;1<7>t$2:7>7g63A9<>6F>079'5g`=:<:0(6;:3c2?>{e<8l1<7?50;2x 6>32;k:7E=82:J243=#9kl1>8>4$0gb>70c3f8j=7>5$2:7>7g632wi8?=50;394?6|,:2?6?o>;I146>N68?1/=oh51178 4cf2;32;k:76sm43794?7=83:p(>6;:3c2?M50:2B:<;5+1cd9606<,8oj6?7>;n0b5?6=,:2?6?o>;I106>=zj=9h6=4>:183!5?<38j=6F<739K550<,8hm6?;?;%3fe?4?j2e9m<4?:%1;0?4f92B8??54}c665?6=93:180D<>9;%3ab?4282.:il4=9b9l6d7=83.8494=a098yg22;3:1=7>50z&0<1<5i81C?:<4H025?!7en3;;96*>e`81>o7>51;294~"40=09m<5G3608L4613-;ij7??5:&2ad<5>11b>l?50;&0<1<5i810qo=mb;295?6=8r.8494=a09K724<@8:=7)=80;107>"6jo0:<85+1dc96=g5}#;1>14:5+3559552<,8hm6<>:;%144?54;2.9ho4<329K724<@89=7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>=zj?31<7?50;2x 6>32;k:7E=82:J243=#;>:1?>=4$0`e>4623-;nm7<8f:k1e4<72-9387t$2:7>=1<,:><6<>;;%3ab?77=2.8;=4<329'6ad=;:90D>9=;I302>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c44>5<6290;w)=74;0b5>N4?;1C==84$253>6543-;ij7??5:&2ad<5?81b>l?50;&0<1<5i810qo87:181>5<7s-938768;%173?77<2.:nk4>049'726=;:90(?jm:210?M50:2B:?;5+1d:9034>6=4+3969606<3th=97>51;294~"40=09m<5G3608L4613-9<<7=<3:&2fc<68<1/=ho527;8m7g6290/?5:52`38?xd1>3:1>7>50z&0<1059'5g`=99?0(>9?:210?!4cj398?6F<739K560<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;:a26<7280;6=u+39696d7<@:=97E??6:&035<4;:1/=oh51178 4cf2;<;7d32;k:76sm6583>7<729q/?5:5869'711=99>0(80D<=9;%3f5$2:7>46232e9884?:%1;0?42821vn;?50;394?6|,:2?6?o>;I146>N68?1/?:>53218 4da28:>7)?ja;0b4>o5i80;6)=74;0b5>=zj?81<7<50;2x 6>321=0(>:8:027?!7en3;;96*<718076=#:mh1?>=4H251?M74>2.:i54;639jb<<72-9387??5:9l613=83.8494=5198yg3a290:6=4?{%1;0?4f92B8;?5G1148 6172:987)?mf;331>"6mh095k5f2`394?"40=09m<54}c43>5<5290;w)=74;:4?!53?3;;86*>bg8240=#;>:1?>=4$3fa>6543A9<>6F>379'5`>="6jo0:<85+1dc96i7>52;294~"40=03;6*<468241=#9kl1==;4$253>6543-8on7=<3:J037=O9:<0(290/?5:51178?j43=3:1(>6;:373?>{e=?0;6<4?:1y'7=2=:h;0D>9=;I332>"4?908?>5+1cd9553<,8oj6?7k;h0b5?6=,:2?6?o>;:a12<72;0;6=u+3969<2=#;==1==:4$0`e>4623-9<<7=<3:&1`g<4;:1C?:<4H015?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><65rb200>5<6290;w)=74;0b5>N4?;1C==84$253>6543-;ij7??5:&2ad<50?1b>l?50;&0<1<5i810qo==4;296?6=8r.849477:&002<68=1/=oh51178 6172:987)N4?;1C=>84$0g;>1053`l26=4+3969553<3f8?97>5$2:7>73732wi??>50;394?6|,:2?6?o>;I146>N68?1/?:>53218 4da28:>7)?ja;0;4>o5i80;6)=74;0b5>=zj:8:6=4=:183!5?<32<7)=;7;330>"6jo0:<85+3629765<,;ni6>=<;I146>N6;?1/=h654708mc?=83.8494>0498k722290/?5:52428?xd49l0;6<4?:1y'7=2=:h;0D>9=;I332>"4?908?>5+1cd9553<,8oj6?6>;h0b5?6=,:2?6?o>;:a74`=8381<7>t$2:7>=1<,:><6<>;;%3ab?77=2.8;=4<329'6ad=;:90D>9=;I302>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}r0e>5<5s493i73ty8<7>52z?07<1=n01v9m50;1xZ1e<5?i1j4524b81e4=z{=n1<7=t^5f893b=n0168i4=a09~w1c=839pR9k4=7g9b<=:0c<5i81v8>50;1xZ06<5>:1j4525181e4=z{<;1<7=t^438927=n0169<4=a09~w04=839pR8<4=609b<=:=;09m<5rs4194?5|V<901:=5f89>16<5i81v8:50;1xZ02<5>>1j4525581e4=z{=839pR864=649b<=:=109m<5rs4;94?5|V<301:95f89>1<<5i81v8o50;1xZ0g<5>21j4525`81e4=z{k09m<5rs7a94?5|5:2h6<;4=57e>43<5?i1>9;4}r4g>5<4s493o7?9;<66b?71347:?71c<6?27=i7<;5:p2c<72:q6?5m5199>00`=9116:k4=449~w26=839p1>6l:0;8913a28301:>52578yv162908w0=7c;3b?822n3;j709>:366?xu0:3:1?v3<8b82f>;3=o0:n6382;071>{t?:0;6>u239a95f=:<>1<7=t=2:`>4b<5=?m66=4<{<1;g?7b34>>j7?j;<56>7223ty<:7>53z?07=e=:;1688h5239>32<5<<1v:650;0x96>d2;901:652578yv1>2909w0=7c;06?81>2;>>7p}8a;297~;40j09h63;5g81`>;0i38?96s|7c83>2}:;1o1;o5239`93g=:;ho1j45238f9e`=:<;<1mh523g39e`=:<:n1mh5rs9194?2|5:2h65=4=57e>=5<5:2o65=4=2:e>=5d2o3015l52`38yv>d2909w0=7e;:a?8>d2;>>7p}7d;297~X?l273i7<;5:?;`?4f92wx4h4?:2y>72b=n>168<65f89><`7333493h73ty2=7>53z\:5>;>:3l2707>:3c2?xu>:3:1>v3<8d8:5>;>:38?96s|ad83>6}Yil16i;4i9:?ba?4f92wxi;4?:2y>7=c=il16?5l5ad9>a3<5<<1vhm50;1xZ`e<5ln1j452eb81e4=z{ln1<78t=2:`>`e<5:2m6474=2:a>9;4}r336?6=:r784n4>039>7=b=9980q~?>c;296~;40o0:=n5239g954e52z?0v3<8b8272=:;1l1=>94}r30a?6=:r784k4>499>7=c=9:o0q~?;b;296~;40o0:8o5239g950`52z?0916?5h51728yv71?3:1?v3<8b8222=:;1n1=;94=2:e>4003ty::l4?:3y>7=b=9?k01>6i:04b?xu6>o0;6?u239d953`<5:2n6<8i;|q2g5<72;q6?5h51b2896>b28n?7p}>d483>7}:;1l1=i;4=2:f>4b23ty98?4?:2y>04>=:=?01>6l:534?85?m3>:;6s|2`;94?5|V;k270;5i009m<5rs3cb>5<4sW8jm63=d18100=::hk1>l?4}r0bg?6=;rT9mn522e39613<5;kh6?o>;|q1ea<72:qU>lj4=3f1>722348jh7lh52`38yv4e83:1?vP=b19>6a3=:=?01?l?:3c2?xu5j80;6>uQ2c3897b12;>>70{t:k81<7=t^3`1?84c?38?963=b381e4=z{;h86=4<{_0a7>;5l10988522c196d753z\1f1=::m31>9;4=3`7>7g63ty9n84?:2y]6g3<5;nj6?::;<0a1?4f92wx>o950;0x96>d2;h<70::f;0a3>{t:k21<77d?3493h73ty9h=4?:3y>00`=:hk01?j?:g;8yv4c93:1>v3;5g81ef=::m;1j45rs3f1>5<5s4>>j7i=50;0x913a2;kn706a3=n01v?j9:181822n38i=63=d78e=>{t:m=1<77d5348o;7h6;|q1`=<72;q688h52c1897b?2o30q~6l:3f`?822n38oo63<8g81`f=z{;o:6=4={<1;g?4b92784i4=db9~w6762909w0::f;126>;40k08=<5rs23b>5<5s4>>j7=>b:?0;41809885238296d752z?0=0<5<<16?4?5f89~w6?52909w0=63;071>;41<0mm6s|38194?4|5:3?6?::;<1:1?`e3ty85;4?:2y]7<0<5:336?::;<1:2?4f92wx?4650;0x96?02;>>70=68;d:?xu41h0;6>uQ38c896?e2o301>7n:3c2?xu41k0;69u244d9776;<1;b?5>12785o4=449~w6?d2908wS=6c:?0=a<5<<16?4m52`38yv5>m3:1>v3<8d80=c=:;0n1j45rs2cg>5<4sW9jh63l?4}r1bb?6=:r78mh4=449>7d`=n01v>l>:180[5e9278n?4=449>7g7=:h;0q~=m2;296~;40o08n<523c09b<=z{:h26=4<{_1a=>;4jh0m563v3<8c80fa=:;ko1j45rs2a4>5<4sW9h;63l?4}r1`7f>=n01v>ml:180[5dk278oi4=449>7fe=:h;0q~=ld;296~;40m08oo523bf9b<=z{:in6=4<{_1`a>;4ko0m563v3<8d80`3=:;m=1j45rs2fb>5<4sW9om63l?4}r1gg?6=:r784k47ae=n01v>k<:180[5b;278i84=449>7`5=:h;0q~=j5;296~;40l08i>523d79b<=z{:oj6=4<{_1fe>;4mk0m563;40o08ii523dd961353z\0b5=:;o;1>9;4=2d3>7g63ty8j?4?:3y>7=c=;ln01>h>:g;8yv27>3:1?vP;079>05?=:=?019>9:3c2?xu3800;6?u244d9050<5=:26k74}r624?6=;rT?==524039613<5=;;6?o>;|q754<72;q688h53gd891762o30q~:>2;297~X39;168<=5257891752;k:7p};1283>7}:<:4=530>c?:87>53z\751=:<8<1>9;4=537>7g63ty?=;4?:3y>00`=<8>019?9:g;8yv26?3:1?vP;169>04>=98h019?8:3c2?xu3900;6?u240c9613<5=;36lk4}r62f?6=:r784o4;1c9>04g=n01v9?l:180[26k27?=h4=449>04e=:h;0q~:>e;296~;3=o0?=n5240g9b<=z{=;m6=4<{_62b>;3:809885240d96d79=7>52z?71c<39o168??5f89~w1442908wS:=3:?761>4=a09~w1432908w0::f;616>;40o0?>?524369613997>53z\760=:<;<1>9;4=506>7g63ty?>:4?:3y>7=c=<;8019<9:g;8yv24k3:1?vP;3b9>06b=:=?019=l:3c2?xu3;l0;6?u239g906d<5=9o6k74}r665?6=;rT?9<524409613<5=?:6?o>;|q717<72;q6?5j5442891352o30q~::3;297~X3=:1688:5f89>005=:h;0q~::4;296~;40l0?9=524469613>o7>53z\71f=:<>h7>54z?0a2=?i70::d;071>{t;kh1<7=t^2`a?85ej38j=63{t>00;6>uQ689>2<<5i816:l4i9:p22<72:qU::526681e4=:>10m56s|6483>6}Y><16:84=a09>234?:2y]26=:>:09m<52658e=>{t>80;6>uQ609>24<5i816:?4i9:p1c<72:qU9k525g81e4=:>90m56s|5e83>6}Y=m169i4=a09>1`{t;;91<7=t^200?855;38j=63<258e=>{t;;:1<7=t^203?855838j=63<208e=>{t;8o1<7=t^23f?856m38j=63<1g8e=>{t;ki1<7?t=2``>7223ty=m7>51z?5e?43=2wx:54?:0y>2=<5<<1v;850;3x930=:=?0q~8;:1828032;>>7p}92;295~;1:38?96s|6183>4}:>909885rs4g94?7|59;4}r74>5<6s4?<6?::;|q061<728q6??:52578yv5593:1=v3<208100=z{:;m6=4>{<12b?43=2wvb<;i0;290~N4?;1vb<;i1;290~N4?;1vb<;i2;297~N4?;1vb<;i3;295~N4?;1B>l?51z39y_`228q:il4r}o36b1<728qC?:<4I3c2>4}62tPm97?t1dc9yxh6=o?1<7?tH251?L4f93;p=7sUf4824cf2twe=8h9:182M50:2C9m<4>{08~^c3=9r;nm7srn07e3?6=9rB8;?5F2`395~7=uSl>6e`8~yk72n10;65<6sA9<>6G=a0824jn4?:0yK724:|Xe1?7|9lk1qp`>5gf94?7|@:=97D51zJ037=N:h;1=v?5}[d6>4}6mh0vqc?90183>4}O;>80E?o>:0y2>x\a=3;p=ho5}|l2257=83;pD>9=;H0b5?7|93wQj84>{0gb>x{i9?:96=4<{I146>{i9?:86=4<{I146>{i9?:?6=4<{I146>{i9?:>6=4<{I146>{i9?:=6=4<{I146>{i9?:<6=4<{I146>{i9?:36=4<{I146>{i9?:26=4<{I146>{i9?:j6=4;{I146>{i9?:i6=4:{I146>{i9?:h6=4={I146>{i9?:o6=4={I146>{i9?:n6=4={I146>{i9?:m6=4={I146>{i9?;;6=4<{I146>{i9?;:6=46{I146>{i9?;96=4m{I146>{i9?;86=4>{I146>O5i80:w<4rZg795~7bi3wvb<8>4;295`}O;>80qc?91483>4}O;>80E?o>:0y1>x\a=3;p=ho5}|l2240=839pD>9=;|l2241=83;pD>9=;H0b5?7|:3wQj84>{0gb>x{i9?;36=4>{I146>{i9?;26=4>{I146>O5i80:w<4rZg795~7bi3wvb<8>a;291~N4?;1vb<8>b;295~N4?;1B>l?51z39y_`228q:il4r}o355f<72>qC?:<4}o355a<728qC?:<4I3c2>4}62tPm97?t1dc9yxh6>8o1<78;{I146>{i9?;m6=4<{I146>{i9?8;6=48{I146>{i9?8:6=4:{I146>{i9?896=4<{I146>{i9?886=48{I146>{i9?8?6=4:{I146>{i9?8>6=4;{I146>{i9?8=6=4;{I146>{i9?8<6=4;{I146>{i9?836=48{I146>{i9?826=4:{I146>{i9?8j6=48{I146>{i9?8i6=4:{I146>{i9?8h6=4>{I146>O5i80:w?4rZg795~7bi3wvb<8=d;295~N4?;1B>l?51z09y_`228q:il4r}o356`<728qC?:<4I3c2>4}52tPm97?t1dc9yxh6>;l1<7?tH251?L4f93;p>7sUf4824cf2twe=;=?:182M50:2C9m<4>{38~^c3=9r;nm7srn0405?6=9rB8;?5F2`395~4=uSl>6e`8~yk71;;0;6=50;3xL6153@8j=7?t2;Yb0<6s8oj6psa1717>5<6sA9<>6G=a082762594?7|@:=97D2909wE=82:m535f290>wE=82:m5320290?wE=82:m532?2908wE=82:m532>290?wE=82:m532f290>wE=82:m532d290:wE=82:K1e4<6s;0vVk;51z3fe?{zf851zJ037=zf851zJ037=zf851zJ037=zf8<><7>51zJ037=N:h;1=v<5}[d6>4}6mh0vqc?95083>4}O;>80qc?95383>4}O;>80E?o>:0y2>x\a=3;p=ho5}|l2205=839=;|l2202=838pD>9=;H0b5?7|;3wQj84>{0gb>x{i9??>6=46{I146>{i9??=6=4>{I146>O5i80:w?4rZg795~7bi3wvb<8:7;295~N4?;1vb<8:8;295~N4?;1B>l?51z09y_`228q:il4r}o351<<72:qC?:<4}o351d<728qC?:<4I3c2>4}62tPm97?t1dc9yxh6>7sUf4824cf2twe=;;k:187M50:2we=;;j:182M50:2C9m<4>{38~^c3=9r;nm7srn046b?6=6e`8~yk71>80;69uG3608yk71>;0;65<3sA9<>6sa1745>5<6sA9<>6G=a0827vF<739~j40103:1=vF<739J6d7=9r81qWh::0y2ad:|Xe1?7|9lk1qp`>67`94?2|@:=97p`>67a94?7|@:=97D55zJ037=zf8<<<7>51zJ037=N:h;1=v<5}[d6>4}6mh0vqc?97083>7}O;>80qc?97383>4}O;>80E?o>:0y1>x\a=3;p=ho5}|l2225=838pD>9=;|l2222=83;pD>9=;H0b5?7|:3wQj84>{0gb>x{i9?=>6=4={I146>{i9?==6=4>{I146>O5i80:w?4rZg795~7bi3wvb<887;296~N4?;1vb<888;297~N4?;1B>l?53z195?5=uSl>6e`8~yk71?00;6>uG3608yk71?h0;69uG3608yk71?k0;65<5sA9<>6sa175e>5<6sA9<>6G=a0824vF<739J6d7=9r91qWh::0y2ad4?:3yK72469694?2|@:=97p`>69794?7|@:=97D1290?wE=82:m53>0290:wE=82:K1e4<6s80vVk;51z3fe?{zf8<347>52zJ037=zf8<357>51zJ037=N:h;1=v?5}[d6>4}6mh0vqc?98`83>0}O;>80qc?=1;295~N4?;1vb<<=:182M50:2we=?=50;3xL6153td:>94?:0yK72451zJ037=zf88<6=4>{I146>{i9;21<7=tH251?xh6:00;6>uG3608yk75k3:1=vF<739~j44c290:wE=82:m57`=83;pD>9=;|l275<728qC?:<4}o305?6=9rB8;?5rn011>5<6sA9<>6sa12694?7|@:=97p`>3483>4}O;>80qc?<6;295~N4?;1vb<=8:182M50:2we=>650;3xL6153td:?44?:3yK72452zJ037=zf89i6=4>{I146>{i9:i1<7?tH251?xh6;m0;69=;|l0e6<728qC?:<4}o1b1?6=:rB8;?5rn2c5>5<5sA9<>6sa3c094?4|@:=97p`7}O;>80qc=m4;296~N4?;1vb>l::182M50:2we?o850;3xL6153td8n:4?:0yK72451zJ037=zf:h26=4>{I146>{i;kk1<7?tH251?xh4jk0;69=;|l0g4<725<2sA9<>6sa3b694?5|@:=97p`0}O;>80qc=l6;297~N4?;1vb>m8:180M50:2we?n650;1xL6153td8o44?:0yK72451zJ037=zf:ii6=4={I146>{i;ji1<79=;|l0`4<728qC?:<4}o1g6?6=9rB8;?5rn2f0>5<6sA9<>6sa3e694?7|@:=97p`4}O;>80qc=k6;295~N4?;1vb>j8:182M50:2we?i650;3xL6153td8h44?:0yK72451zJ037=zf:ni6=4>{I146>{i;l:1<7=tH251?xh4m:0;69=;|l0a=<728qC?:<4}o1fa?6=9rB8;?5rn2ge>5<6sA9<>6sa3g294?7|@:=97p`4}O;>80qc:6f;297~N4?;1vb9o?:180M50:2we8l?50;1xL6153td?m>4?:0yK724jm7>51zJ037=zf=ki6=4>{I146>{i9=;|l7f6<728qC?:<4}o6a0?6=9rB8;?5rn5`6>5<4sA9<>6sa4c594?7|@:=97p`;b983>4}O;>80qc:m9;295~N4?;1vb9ln:180M50:2we4:?50;0xL6153td3;94?:2yK72453zJ037=zf1=<6=4<{I146>{i0>h1<7=tH251?xh??m0;6>uG3608yk>0m3:1=vF<739~j=1a290:wE=82:m<=6=83;pD>9=;|l;<4<728qC?:<4}o:;6?6=9rB8;?5rn9:0>5<6sA9<>6sa89694?7|@:=97p`78483>4}O;>80qc676;295~N4?;1vb568:182M50:2we45650;3xL6153td3444?:0yK72451zJ037=zf12i6=4>{I146>{i01i1<7?n3:1>vF<739~j=?7290:wE=82:m<<7=83;pD>9=;|l;=7<728qC?:<4}o::7?6=9rB8;?5rn9;5>5<5sA9<>6sa88594?7|@:=97p`79983>4}O;>80qc66a;295~N4?;1vb57m:182M50:2we44m50;3xL6153td35i4?:2yK72451zJ037=zf13m6=4>{I146>{i0h:1<7?tH251?xh?i80;6f:3:1=vF<739~j=g4290:wE=82:m9=;|l;e0<728qC?:<4}o:b2?6=9rB8;?5rn9c4>5<6sA9<>6sa8`:94?7|@:=97p`7a883>4}O;>80qc6na;295~N4?;1vb5om:181M50:2we4lm50;3xL6153td3mi4?:0yK72451zJ037=zf1km6=4>{I146>{i0k:1<7?tH251?xh?j80;6e;3:1?vF<739~j=d3290:wE=82:m9=;|l;f3<728qC?:<4}o:a3?6=9rB8;?5rn9`;>5<6sA9<>6sa8c;94?7|@:=97p`7b`83>4}O;>80qc6mb;295~N4?;1vb5ll:182M50:2we4oj50;3xL6153td3nh4?:0yK72451zJ037=zf1i;6=4>{I146>{i0j;1<7?tH251?xh?k;0;6?uG3608yk>d;3:1>vF<739~j=e22908wE=82:m9=;|l;g2<728qC?:<4}o:`5<6sA9<>6sa8bc94?7|@:=97p`7cc83>4}O;>80qc6lc;295~N4?;1vb5mk:182M50:2we4nk50;3xL6153td3ok4?:0yK72451zJ037=zf1n:6=4>{I146>{i0m81<7?tH251?xh?l:0;6c<3:1:vF<739~j=b2290?wE=82:m9=;|l;`=<72;qC?:<4}o:g=?6=:rB8;?5rn9fb>5<6sA9<>6sa8e`94?7|@:=97p`7db83>4}O;>80qc6kd;295~N4?;1vb5jj:182M50:2we4ih50;3xL6153td3i=4?:0yK72453zJ037=zf1o96=4<{I146>{i0l91<7?tH251?xh?m=0;68uG3608yk>b=3:1?vF<739~j=c1290:wE=82:m<`1=83;pD>9=;|l;a=<728qC?:<4}o:f=?6=9rB8;?5rn9gb>5<6sA9<>6sa8d`94?7|@:=97p`7eb83>4}O;>80qc6jd;295~N4?;1vb5kj:182M50:2we4hh50;3xL6153td3j?4?:3yK72452zJ037=zf1l?6=4>{I146>{i0o?1<7?tH251?xh?n>0;6a03:1=vF<739~j=`>290:wE=82:m9=;|l;bg<728qC?:<4}oga`?6=;rB8;?5rnd`f>5<6sA9<>6saecd94?7|@:=97p`jc183>4}O;>80qckl1;295~N4?;1vbhm=:182M50:2wein=50;3xL6153tdno94?:0yK72451zJ037=zfli=6=4>{I146>{imjk1<7=tH251?xhbkk0;6>uG3608ykcdk3:1?vF<739~j`ec290:wE=82:mafc=83;pD>9=;|lfgc<728qC?:<4}ogg4?6=9rB8;?5rndf2>5<6sA9<>6saee194?2|@:=97p`jd583>47|@:=97p`jd483>6}O;>80qckk6;295~N4?;1vbhj8:182M50:2weii650;3xL6153tdnh44?:0yK72451zJ037=zflni6=4;{I146>{immi1<7=tH251?xhblm0;69=;|lfa4<72=qC?:<4}ogf6?6=;rB8;?5rndg0>5<6sA9<>6saed694?4|@:=97p`je483>7}O;>80qckj6;295~N4?;1vbhk8:182M50:2weih650;3xL6153tdni44?:0yK72451zJ037=zfloi6=4>{I146>{imli1<7?tH251?xhbmm0;69=;|lfb4<72;qC?:<4}oge6?6=:rB8;?5rndd0>5<5sA9<>6saeg694?5|@:=97p`jf783>6}O;>80qcki7;295~N4?;1vbhh7:182M50:2weik750;3xL6153tdnjl4?:0yK72451zJ037=zfllh6=4>{I146>{imon1<7?tH251?xhbnl0;69=;|le47<728qC?:<4}od37?6=9rB8;?5rng27>5<6sA9<>6saf1794?7|@:=97p`i0783>4}O;>80qch?8;297~N4?;1vbk>n:180M50:2wej=l50;3xL6153tdm51zJ037=zfo:n6=4>{I146>{in9l1<7?tH251?xha990;69=;|le53<728qC?:<4}od23?6=9rB8;?5rng3;>5<4sA9<>6saf0;94?7|@:=97p`i1`83>4}O;>80qch>b;295~N4?;1vbk?l:180M50:2wej51zJ037=zfo8;6=4>{I146>{in;;1<7?tH251?xha:;0;69=;|le63<72:qC?:<4}od13?6==rB8;?5rng0;>5<4sA9<>6saf3;94?7|@:=97p`i2`83>4}O;>80qch=b;297~N4?;1vbkh4?:0yK72451zJ037=zfo9;6=4>{I146>{in:;1<7?tH251?xha;;0;6>uG3608yk`4;3:19vF<739~jc53290>wE=82:mb63=839pD>9=;|le73<728qC?:<4}od03?6=9rB8;?5rng1;>5<6sA9<>6saf2;94?7|@:=97p`i3`83>6}O;>80qchj50;3xL6153tdm?h4?:0yK72451zJ037=zfo>96=4<{I146>{in=91<7uG3608yk`3=3:1?vF<739~jc202902wE=82:mb1>=839pD>9=;|le0<<728qC?:<4}od7e?6=9rB8;?5rng6a>5<6sA9<>6saf5a94?7|@:=97p`i4e83>4}O;>80qch;e;295~N4?;1vbk:i:182M50:2wej8>50;3xL6153tdm9<4?:0yK72497>53zJ037=zfo?<6=4>{I146>{in<21<7?tH251?xha=00;69=;|le1`<728qC?:<4}od6b?6=9rB8;?5rng43>5<6sA9<>6saf7394?7|@:=97p`i6383>4}O;>80qch93;295~N4?;1vbk8;:180M50:2wej;950;3xL6153tdm:54?:0yK72451zJ037=zfo{I146>{in?h1<7?tH251?xha>j0;69=;|le35<72:qC?:<4}od46?6=:rB8;?5rng50>5<5sA9<>6saf6694?7|@:=97p`i7483>4}O;>80qch86;295~N4?;1vbk98:182M50:2wej:650;3xL6153tdm;44?:0yK72451zJ037=zfo=i6=4>{I146>{in>i1<7?tH251?xha?m0;6290:wE=82:m56ed290wE=82:m56ea2908wE=82:m56b7290:wE=82:m56b62908wE=82:m56b52908wE=82:m56b4290:wE=82:m56b32908wE=82:m56b22908wE=82:m56b1290:wE=82:m56b0290:wE=82:m56b?290:wE=82:m56b>290:wE=82:m56bf290:wE=82:m56be2908wE=82:m56bd2909wE=82:m56bc2909wE=82:m56bb290:wE=82:m56ba290:wE=82:m56c7290:wE=82:m56c6290:wE=82:m56c52908wE=82:m56c42908wE=82:m56c32908wE=82:m56c22908wE=82:m56c12908wE=82:m56c02908wE=82:m56c?2908wE=82:m56c>2908wE=82:m56cf2908wE=82:m56ce2908wE=82:m56cd2908wE=82:m56cc2908wE=82:m56cb2908wE=82:m56ca2909wE=82:m56`72909wE=82:m56`62909wE=82:m56`52909wE=82:m56`42909wE=82:m56`32909wE=82:m56`22909wE=82:m56`12909wE=82:m56`02909wE=82:m56`?2909wE=82:m56`>2909wE=82:m56`f2909wE=82:m56`e2908wE=82:m56`d2908wE=82:m56`c2908wE=82:m56`b2908wE=82:m56`a2908wE=82:m51672908wE=82:m51662908wE=82:m51652908wE=82:m51642908wE=82:m51632908wE=82:m51622908wE=82:m51612908wE=82:m5160290:9vF<739~j42713:1>vF<739~j427i3:1=vF<739~j427j3:1=vF<739~j427k3:1=vF<739~j427l3:1=vF<739~j427m3:1>vF<739~j427n3:1>vF<739~j42683:1=vF<739~j42693:1=vF<739~j426:3:1=vF<739~j426;3:1=vF<739~j426<3:1?vF<739~j426=3:1>vF<739~j426>3:1=vF<739~j426?3:1=vF<739~j42603:1?vF<739~j42613:1>vF<739~j426i3:1=vF<739~j426j3:1?vF<739~j426k3:1>vF<739~j426l3:1=vF<739~j426n3:1>vF<739~j42583:1=vF<739~j42593:1>vF<739~j425:3:1>vF<739~j425;3:1>vF<739~j425<3:1>vF<739~j425=3:1>vF<739~j425>3:1jvF<739~j42503:1?vF<739~j42513:1=vF<739~j425i3:1=vF<739~j425j3:1=vF<739~j425k3:1=vF<739~j425l3:1=vF<739~j425m3:1=vF<739~j42483:1?vF<739~j<1=839pqc7>:182xhfk3:1=vsa19c94?7|ug;2j7>51zm5g2=83;pqc?k3;297~{i9jn1<7?t}o3e6?6=;rwe=hm50;3xyk4693:1?vsa21`94?7|ug88<7>53zm67g=83;pqc<;f;297~{i:=31<7?t}o05a?6=;rwe>;650;3xyk4?l3:1?vsa29594?7|ug8jo7>53zm6d0=83;pqch:50;3xyk2583:1?vsa40c94?7|ug>8j7>53zm06?=83;pqc:90;296~{i<<31<7?t}o6f51zm106=839pqc;;a;295~{i=??1<7?t}o74e?6=9rwe95h50;3xyk3f<3:1=vsa5c;94?7|ug?o47>53zm1a4=83;pqc;i7;297~{i=o;1<7?t}o422?6=;rwe:<>50;3xyk04=3:1?vsa63d94?7|ug<>87>53zm21c=83;pqc883;297~{i>?n1<7?t}o4:6?6=;rwe:5m50;3xyk0e93:1?vsa6``94?7|ug53zm2fg=83;pqc7=c;295~{i1=h1<7=t}o;71?6=9rwe5;o50;1xyk?1<3:1=vsa99;94?5|ug33?7>51zm=d>=839pqc7n2;295~{i1j=1<7=t}o;`5?6=9rwe5h850;1xyk?b83:1=vsaa1794?5|ug3mj7>51zme72=839pqco>e;295~{ii=91<7=t}oc0`?6=9rwem;<50;1xykg2k3:1=vsaa9394?5|ugk51zmed6=839pqco6a;295~{iikl1<7=t}oca=?6=9rwemik50;1xykgc03:1=vsaagf94?5|ugkm;7>51zmf4e=839pqcl>6;295~{ij:n1<751zmf51zmg4>=839pqcm>2;295~{ik:=1<7=t}oa05?6=9rweo8850;1xyke283:1=vsaf`294?7|ugli97>51zmbfg=83;pqchkf;295~{ino>1<7?t}o334<<728qvb<>>e;295~{i99986=4>{|l241>=83;pqc??5e83>4}zf8:<>7>51zm55>0290:wp`>08a94?7|ug;;n<4?:0y~j46d>3:1=vsa11fa>5<6std:50;3xyk768<0;6{|l25=e=839pqc?>8783>4}zf8;jn7>53zm54g2290:wp`>1bc94?5|ug;:o94?:0y~j47b13:1?vsa10g0>5<6std:>=650;1xyk758;0;6:182xh6>1h1<7?tZg795~7bi3wC>9:4}o35{0gb>xN5<=1vb<87d;295~\a=3;p=ho5}I070>{i9?2n6=4>{[d6>4}6mh0vD?:;;|l22=`=83;pVk;51z3fe?{O:=>0qc?99183>4}]n<0:w51zXe1?7|9lk1qE<;4:m53?5290:wWh::0y2ad?7p`>68194?7|Ro?1=v?ja;K612=3:1=vTi5;3x5`g=uA8?86sa17;5>5<6sSl>6e`8~L7233td::4950;3xyk71110;60h1<7?t}o35=f<728qvb<86d;295~{i9?3n6=4>{|l22<`=83;pqc?9a183>4}zf851zm53g5290:wpsr}AB@4?c:33:8h=k88CDG}7uIJ[wpNO \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngr b/cpld/XC95144XL/WarpSE.ngr index ddcdae8..ff63443 100644 --- a/cpld/XC95144XL/WarpSE.ngr +++ b/cpld/XC95144XL/WarpSE.ngr @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$57f==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;13857=68;1:=?5>200857:10:30>LHW]]0O0<<50?37?45=AGZ^X7Jnt`C?57<76o1:?7B[ilgq844=87;:7<=5OTVSQQ58f3891]1?=:12;2=54=6;3Ujhi>?01>26?69i2;=6L2=3;:=55=6>3CE\XZ5A=00>=863;k0><4N<3395;773;;1EC^ZT;C?64<66l19?7OPFR@?66<668>0>>4FNQWW>DYA[K69?7?18:09@97=8730>7K}<083:`=52@D[YY4K<083:c=52F__\XZ5Q=394;><:3[7=7>11:1e?62?3=0O0>4?>b97>AgsiH686=0j;58JJUSS2M686=0>2:69MKVR\3NjxlO33;2=b>2=G\^[YY4^<283:42<<3E^X][[:Sgpqir;;3:546:5Q=194;b<<3Xnxb{<283:f=32]cfi2<:133;2=5>3?3<0O094?>b96>AgsiH6?6=06;48FW92=87o097GAPTV9@92=87;9784FNQWW>AgsiH6?6=0i;48LQQVR\3[787>11596>JSSX\^1^h}zlu>7>58?3<0Z094?>e96>Wct}e~787>1f:79[dbc89:;094?>0955>1631;0585N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566m2KGI=Qbuy234576l2KGI=Qbuy23454682KGI=Qbuy2345Yney>0MAK>4:CM@70GIL:H9:6OAD2@00>GIL=<0MCJ;B048EKB3J;<0MCJ;B248EKB3J=<0MCJ:B048EKB2J;<0MCJ:B248EKB2J=<0MCJ:B468EKB?<2KX~km4ARpe[kis89::=h5NSsd\jjr789;Te`~PARpe[kis89::=45N_GQA846912KTJ^L310<:?DYA[K6:>374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH75384AnwwK@c?=;@mvpJCXe|r;<=>Pilrg?Dir|FOTc>?0103?Dir|FOTc>?01]jiuYFg|~DIRa}012351=EIJ^n7OOLT^kntZDFK];>7OOLTs48F@TUzoo0NH\]rg]mkq6788897OK]Rsd\jjr789;Te`~PBDPQvcYig}:;<;B68G40J>2I9<@KA6:A11HCI;2I3A?5LE29@HN5ET>2Igil|>3:Aoo0=DdbOe;6Mck^DPI423:0=C48:596J310<4?A:6:3:596J313<5?A:6294?7I2>>59G87813M686=0;;E>0:3=C4=0;295K<5<7?A:26=1O0;0;;E>4:1=C414?7I26>69GPDELMGl0HYOLKDL\ekb789:986J[ABIFJZgil9:;?0058@QGDC{lm7IZNCJpe[kis89::>95KT@AHvcYig}:;<?0034?Agsi5:5;6Jnt`>2:0=Ci}kJ46Jnt`C?4;?89GeqgF48;5n6Jnt`C?57<7601OmyoN<00=<>Bf|hK7=364D`vbE949i2NjxlO33;2=<>Bf|hK7?3o4D`vbE92=8720HlznA=6=<>Bf|hK79364D`vbE90902NjxlO37?:8@drfI52546Jnt`C?=;0Pilr\@jssGLUjbi>?013f?Air|FOTmcj?01316>Bh}}ENSl`k0122[lkwWMe~xBKPaof34576l2NdyyAJ_np3456582NdyyAJ_np3456XadzTHb{{OD]lv56788n0Hb{{OD]lv5679;:0Hb{{OD]lv5679Vcf|RJ`uuMF[jt789;:=6K:;DDbhi773LLj`aQfmq]FBdjk9;1N_85JS=2=1>CT484>7H]32?78AV:46>1N_1:50?78AV:36h1N_Road12344g?01]jiuYB[Vkeh=>?00c8AVYffm:;<=5JS^antZcv89::Sdc_DQ\ghvXmx:;<?0348AQGDCVif|Rk~0123[lkwWL^JOFQlmq]fu5678820IcomldVq6>Cu;2Oy>85Jr=2=3>Cu480;285Jr=3=0>@DDB80JI:4FEAF6>@A<2LMh<94FGf2)Lh?3OLo= Ga189EBa7*Ag;:46HId0/Jj7? Ga189EBa4*Ag;:46HId3/Jj7?8:DE`7+Nf?30JKj=-Hl55<=ANm8&Ec9>8:DE`7+Nf1h0JKj=-Hl\mhv33OLo?:5IFe1.Mk>9:DE`6+Nf8;37KHk3,Km6<=ANm9&Ec<>8:DE`6+Nf:30JKj<-Hl05==ANm9&Ec:6;GDg7(Oi<830JKj<-Hl65==ANm9&Ec8m;GDg7(OiW`g{?6H\B29EWHe@TEV;&|l|jnua8BVKX:$zj~h`{c:DPIZ5*xhxnby?4G29DJA785FABQ0<>OFKZUba}:4IOKW1>OIA]Y<7D@FTRV@51=NF@^XXNQfmq]JJLRT\J;:<6GAIUQ\ghvXmx:;<=??;HLJPVYdeyUn}=>?1028MKOS[Vif|Rk~012155=NF@^XSnc_ds345543@D]96G@ABVg?LIFK]Ujbi>?0103?LIFK]Ujbi>?01]jiuYNGHI_Sl`k01235a=NGHI_S`{w0123a>OHIJ^Taxv?0122b>OHIJ^Taxv?01225`=NGHI_S`{w01236c=NGHI_S`{w012364`=a:KLFVYUmhnrSl`k0123[lkwW@EI_R\jae{\ekb789::=?5FOCQ\V`gcqVkeh=>?13c8MJDTW[ojhtQnne2344YneyUBCO]PRdcg}Zgil9:;==8:KLFVYUmhnrSb|?012\mhvXAFHXS_kndx]lv56788>0EBM\5:KLGV723@EH_?;4INAP7f=NGJYTmcj?0122a>OHKZUjbi>?01]jiuYNGJYTmcj?0122b>OHKZUha}Qjq123472OHKZUha}Qjq123672OHKZUha}Qjq123072:j6G@CR]`iuYby9:;9?:4INAP[fkwWl{;<=;Pilr\MJETWjg{Sh?0172b>OHKZUha}Qjq123272OHKZUha}Qjq123<72XadzTEBM\_bos[`w7892:j6G@CR]`iuYby9:;5?:4INAP[fkwWl{;<=7Pilr\MJETWjg{Sh?01;2b>OHKZUha}Qjq122472OHL]KHGQaou2344YneyUBCIZNCJp\jjr789;:86G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?j;HMO4Zkrp9:;<??;HMO4Zkrp9:;?219JKWCVWhdo<=>?_hos[LIUMXUjbi>?013g?LIUMXUfyu>?01g8MJTBYVg~t=>?00d8MJTBYVg~t=>?003e?LIUMXUfyu>?0102a>OHZL[Taxv?0120b>OHZL[Taxv?01205c=NG[OZS`{w012304c?10a8MJTP8Vey<=>?1d9JKWQ7Wfx;<=>Pilr\MJTP8Vey<=>?1b9JKWQ7Wfx;<=?>e:KLVR6Xg{:;<5:KLVR7c3@EY[_np3456XadzTEB\X1^mq45679:1BC^k4INQ\ghvXmx:;<=k4INQ\ghvXmx:;<k4INQ\ghvXmx:;<9k4INQ\ghvXmx:;<8k4INQ\ghvXmx:;<;:4INW3g>OH]9Ufyu>?01f8MJS7Wds<=>?1d9JKP6Xe|r;<=>>1d9JKP6Xe|r;<=>=1e9JKP6Xe|r;<=><119JKP6Xe|r;<=>Pilr7?LIR9j1BCX?Pnnv34576m2CDYOi;:1Bb9=4Io70?Lh1m2ANI]QGIDPBVFN^?2FDKDMNLe9OTHYFLMUXYOQ>d:NSIZGCLVY^NRl4LQO\FPUSZ@;o7A^B_BMVJQCUW8n0@]CPCNWMP@TX:m1G\@QLOTLWAWY402F[ARJIF^;8HUKXLOLT=l5CPL]GBCY68h1G\@QKFG]25d=KXDUOJKQ>2`9OTHYCNOU:?l5CPL]GBCY66`9OTHYCNOU:;l5CPL]GBCY60h1G\@QKFG]2=<=KXDUOJKQ=a:NSIZBANV8;m6B_M^FEBZ46i2F[ARJIF^01e>JWEVNMJR<JWEVNMJR<8a:NSIZBANV83m6B_M^FEBZ4>12F[ARJIF^1b?IVJWMLMS>>n;MRN[A@AW:;27A^B_EDE[1?3EZFSIHI_9;8HUKXLOLT5l5CPL][KW4X9?1GXdcjr89OPlkbz5:5m6B[ilgq8469i2F_e`k}<03=g>JSadoy0<<50?c8HQojm{6:>374LUknaw:6601GXdcjr=0==>JSadoy0>06;MVji`t;<730@Ygbes>6:<=K\`gn~1819:NWmhcu4>427AZfmdp?<;?99OPlkbz]I:?6B[ilgqPFYdeyUn}=>?0068HQojm{^HSnc_ds345669=1GXdcjrUA\ghvXmx:;<=<>4:NWmhcu\JUha}Qjq12346703E^bah|[C^antZcv89:;Sdc5:Nlea7c3Eejh_omw45669m1FmijP_`fg4567n2GscQ]erwop9776o1Ftb|PRdqvhq:697l0Aua}_Sgpqir;9;4n7@v`r^Pfwpjs484n7@v`r^Pfwpjs4;4n7@v`r^Pfwpjs4:4n7@v`r^Pfwpjs4=4n7@v`r^Pfwpjs4<4n7@v`r^Pfwpjs4?4n7@v`r^Pfwpjs4>4n7@v`r^Pfwpjs414n7@v`r^Pfwpjs40497C>=;O31?K463F?0CIM\re9L@FUuWhdo<=>?219L@FUuWhdo<=>?_hos[JBD[{Ujbi>?0130?JT5=2EY>O?<;NP01>IU;K;>7B\?6A]629LV25h5@uu0\mhvXG|~9=95@uu1f?Jss;Vcf|RAzt237?JssXadzTCxz7109Sg>V):9;8==?<_M68TDTSi2ZBBRLZSHF[f>VNFVH^_COBE09R0>W;87?0]1??>49R8479?2[7=?4?>49R8449>2[7=7>14:S?5;2W;;7<0]1:50?68U929<2[793:4Q=4=0>W;?7>0]1614:S?=;g?013b?TY6Wfx;<=>Pilr\UZ7Xg{:;<=?:;SC?4;025?69>2XJ03;4R@>0:0=UI5>596\N<4<6?WG;>7?0^L28>49QE9>9=2XJ040<;SCN1>TFEJY:<6\NMBQ\ghvXmx:;<=<9;SCNGVYdeyUn}=>?0^kntZTFEJYTo`~Pep23457682XJAN]Pilr\VDKD[8?0^LCJNe9QEHCIWds<=>?e:PBI@HXe|r;<=>>f:PBI@HXe|r;<=>>1g9QEHCIWds<=>?20g8VDKBFVg~t=>?02d8VDKBFVg~t=>?023f?WGJMGUfyu>?016e?WGJMGUfyu>?0162b>TFELDTaxv?01265`=UIDOES`{w0123247?1348VDKUmhnrSl`k0122[lkwW[KF^hoky^cm`56798;;7_OBRdcg}Zkrp9:;<?1008VDKUmhnrS`{w012354753[KF^hoky^ov|5678;;:=6\NMSgb`|Yj}q:;<==>4:PBIWcflpUfyu>?01]jiu??01g8VDUBDVg~t=>?00d8VDUBDVg~t=>?003e?WGTMEUfyu>?0102a>TF[LFTaxv?0120b>TF[LFTaxv?01205c=UIZOGS`{w012304cTFW:Ufyu>?0132a>TFW:Ufyu>?0102`>TFW:Ufyu>?01124>TFW:Ufyu>?01]jiueTFW=Ufyu>?013f?WGX?003f?WGX?033g?WGX?0233?WGX?0^kntf=UIV?Taxv?012g?WGX=Vg~t=>?00g8VDY2Wds<=>?10g8VDY2Wds<=>?20f8VDY2Wds<=>?3028VDY2Wds<=>?_hosg>TFW?Ufyu>?01f8VDY1Wds<=>?1d9QEZ0Xe|r;<=>>1d9QEZ0Xe|r;<=>=1e9QEZ0Xe|r;<=><119QEZ0Xe|r;<=>Pilr`?WGX?Vg~t=>?0e9QEZ1Xe|r;<=>>e:PB[2Yj}q:;<=?>e:PB[2Yj}q:;<=<>d:PB[2Yj}q:;<==>0:PB[2Yj}q:;<=Qfmqa8VDY?Whdo<=>?1d9QEZ>Xign;<=>Pilr\VDY?Whdo<=>?1b9QEZ>Xe|r;<=>k;SC\4R@];[hs89:;Sdcc:PB[?1028VJKD[Vif|Rk~012363=UGDIXSnc_ds3456XadzT^BCLS^antZcv89:;=<>4RNO@WZejxVoz<=>>279QKHETWjg{Sh?013\mhvXZFGH_Rmbp^gr456698:0^BCLS^kntZTHEJY:>6\\d:PP[fkwWl{;<=>k;SQ\ghvXmx:;<i5]S^antZcv89:8h6\\_bos[`w789>o7_]Pclr\at678?90^YB:;Sgb`|02Xnmiw=119Qadb~W`g{S_kndx3`?WcflpUd~=>?00g8V`gcqVey<=>?_hos[WcflpUd~=>?00a8V`gcqVey<=>>1d9Qadb~Wfx;<=?Pilr\V`gcqVey<=>>169QacBhflo0^hhKoog\kw6789897_kiDnlf[jt789:Te`~PRddGkkcXg{:;<=?n;SgeBwijZZ:n7_kiFsmnVV6Imq~::6\jfGpliWU7FlrSl`k012377=UmoLyc`\\0Og{pZgil9:;?0011?WcaN{ef^^>Aeyv\ekb789;Te`~PRddEvjkU[9DntyQnne234476>2XnjK|`mSQ3J`~sWhdo<=>=339Qac@ugdXX7_kiFsmnVV6Imq~Tc>?0113?WcaN{ef^^>Aeyv\kw6789Uba}Q]egDqkhTT8GosxRa}01235a=UmoLyc`\\0Qpf65=UmoLyc`\\0Qpf[lkwW[omJabRR2Sv`76i2XnjK|`mSQ3[lkwW[omJabRR22e>TbnOxda_]81`9Qac@ugdXX;Rgbp^PfbCthe[Y<=;5]egPBW3=UmoXn}55]egPfuLhf3[om^h\yoaf?WcaZl{Tmcj?01216>Tbn[ozSl`k0123[lkwW[om^hPaof34566981Yik\jq^antZcv89:;>55]egPfuZejxVoz<=>?_hos[WcaZl{To`~Pep23457692Xnj_k~_bos[`w789;946\jfSgr[fkwWl{;<=?Pilr\V``UmxUha}Qjq12354c=2:PfbPt`Whdo<=>?_hos[Wca]{mTmcj?0122e>Tbo\xl_t`l6:Pfwpjs12Xnxb{<119:Pfwpjs4=427_k|umv?1;?89Qavsk|5=556\jstnw8=8>3[oxyaz39?05?Wct}e~TUNOVH^_COBE49PS979=2Y\0?08;RU?7?79=2Y\0>0;;Raov1=Tkz~?7^katb9PakrXign;<=>>e:QfjqYffm:;<=Qfmq]PakrXign;<=>>b:QfjqYhz9:;<?0e9WWZejxVoz<=>>d:VP[fkwWl{;<=j4TR]`iuYim9:;<95[YQG6?Qojm{20Xdcjr=2=<>Rnelx7=364Thofv949i2^bah|33;2=<>Rnelx7?394ThofvQE6:2^bah|[C^antZcv89:;>l5[ilgqPFYdeyUn}=>?0^kntZRnelx_ORmbp^gr45679m1_e`k}_`lg4567:91_e`k}_`lg4567W`g{SYgbes]bja6789;<7Y|fD`vb7>PDK01]EHYPTXRF7>PWI=1]\L|l;WRBvZhh|9:;=Pilr\RlbUIDIX[_8;k^antZcv89:;=<64VhfQEHET_[>1328RlbUIDIX[_8;k^kntZPnl[KFO^Y]65i250=QamXJAN]XR^antZcv89:;?=5YiePBIFUPZVif|Rk~0123[lkwW_co^LCLSVP\ghvXmx:;<=?>5:Tj`WGJKZ]YSnc_ds3457482\bh_OBCRUQ[fkwWl{;<=?Pilr\RlbUIDIX[_Qlmq]fu56798;>7[gkR@O@WRTXkdzTi|>?0313?SocZHGH_Z\Pclr\at678;Uba}QYiePBIFUPZVif|Rk~0121543<^`nYM@M\WS]`iuYby9:;?>>4VhfQEHET_[Uha}Qjq1237ZojxV\bh_OBCRUQ[fkwWl{;<==>149UmaTFEJY\^Rmbp^gr4563;91]ei\NMBQTVZejxVoz<=>;_hos[SocZHGH_Z\Pclr\at678=;:96XfdSCNGVQUWjg{Sh?01704>Pnl[KFO^Y]_bos[`w789?Te`~PVhfQEHET_[Uha}Qjq12314723_co^LCLSVP\ghvXmx:;<;=?;WkgVDKD[^XTo`~Pep2343YneyU]ei\NMBQTVZejxVoz<=>91078RlbUIDIX[_Qlmq]fu567?::0Zdj]ALAPSWYdeyUn}=>?7^kntZPnl[KFO^Y]_bos[`w789=:=85YiePBIFUPZVif|Rk~012;75=QamXJAN]XR^antZcv89:3Sdc_WkgVDKD[^XTo`~Pep234=76=2\bh_OBCRUQ[fkwWl{;<=7<0:Tj`WGJKZ]YSnc_ds345?XadzTZdj]ALAPSWYdeyUn}=>?9036?SocZHGH_Z\Pclr\at67999;7[gkR@O@WRTXkdzTi|>?11]jiuYQamXJAN]XR^antZcv89;;=<;4VhfQEHET_[Uha}Qjq122566<^`nYM@M\WS]`iuYby9::=Rgbp^Tj`WGJKZ]YSnc_ds344769h1]ei\NMBQTVZojxV\bh_OBCRUQ547<^`nYM@M\WS]lv5678880Zdj]ALAPSWYhz9:;<?01026>Pnl[KFO^Y]_np345649<1]ei\NMBQTVZiu89:;Sdc6:UbvuUB9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON>2RXXAGM7:ZPPZAIL8o0T^ZPILROAHCI\H^BCCQLOOQWVDOI\Z;;7U][_SVO[UTHXLX_U:5WSU]UGF0^h494=7Ua30?35?]i;878=7Ua30?1:?]i;87Uba}=4Xrv:?]usODeoial4_`fg4567494h7Rokd12349776j1Tmij?012?548b3Vkoh=>?0=31>58d3Vkoh=>?0=31:g=Ximn;<=>31?`8[dbc89:;0?0k;^cg`5678591<3l4_`fg45674:4o7Rokd123492=87h0Sljk0123818e3Vkoh=>?0=7=f>Yflm:;<=29>c9\eab789:7;3l4_`fg4567414i7Rokd12349?902Ujbi>?0135?Zgil9:;>_hos[Zgil9:;=<64_`lg45659?1Tmcj?010\mhvXWhdo<=>=199\ekb7899::6Qnne2346YneyUTmcj?0112<>Yffm:;<9?9;^cm`567?5048[dhc89:>Sdc_^cm`567=820Sl`k012553=Xign;<=8Pilr\[dhc89:==55Paof34516>2Ujbi>?06]jiuYXign;<=9>8:]bja6781;=7Road123?093;?Zgil9:;5<84_`lg456>W`g{SRoad123=4>?0134?Ziu89::=95Pos2344YneyUTc>?0036?dbcm{o0mcj?012\kw6789897l`k0123[jt789:Te`~Paof3456Xg{:;<=?<;blw3>eh}g~n~?5ls29gbc??013:?kGCg|~GI4n@QlqqYhz9:;>7:lBkprHM8>0bLaztNG\mhvXfHe~xBK>5:lAAWT>3gHN^_QISC32?kDBZ[UM_OQnne23454?3gHN^_QISC]bja6789Uba}QaBDPQ[CUEWhdo<=>?10:8jGCUZVLXNRgbp^lAAWTXNZH:56`MESP\MJD03gHLSDAM7:lAVZOHJo1eN_QFOC]bja67898?7cL]_HMA[dhc89:;Sdc_o@Q[LIEWhdo<=>?1g9mFWYNGKUfyu>?0133?kDUW@EIS`{w01235474nCP\MJDXe|r;<=><129mFWYNGKUfyu>?01]jiuce:l@EVYig}:;<6:lGPDELi2dOXLMD_GQAe>hC\HI@SDAM6:lGmkJBl2dOecBJ_np3456582dOecBJ_np3456XadzTbIgaLD]lv56788<0bIgaODg8jAoiGLUjbi>?0101?kBnfFOTmcj?012\mhvXfMceCHQnne234576:2dOecAJ_hos[kBnfFO:;6`KotvLAc=iLfCHQnne2345433gNdyyAJ_`lg4567W`g{ScJ`uuMF[dhc89:;=<:4nEmvpJCXadzTbIaztNG23>hNGKOY^55aINFWEFM23gC[@?84nHRO6w2hKLZUjbi>?013f?kJC[Vkeh=>?0^kntZhKLZUjbi>?013`?kJC[Vkeh=>?10g8jIBTWhdo<=>>_hos[kJC[Vkeh=>?10a8jIBTWge<=>>1d9mHAUXff~;<=?Pilr\jIBTWge<=>>1c9mHAUXg{:;<=?l;oNGWZiu89:;Sdc_oNGWZiu89:;=o5aLEQ\kw6788;h7cBKS^mq4566W`g{ScBKS^mq45669=1e@ZKl;oNTAZgil9:;<?1b9mK@Yffm:;<=Qfmq]mK@Yffm:;<=?l;oMF[lkwWgEN=55aOwgqhd682dDzh|cax]bja67898=7cAyesnb}Zgil9:;?01324>hH~lxgmtQaou2344413gE}ibny^llp5679Vcf|R`@vdpoe|Yig}:;<?259mKscudhsTc>?01]jiuYiGoy`lwPos2345703gXJAAYJ7:lQEHSPM=1e^L]l;oPBWZgil9:;<0b_K\c:lQAVYig}:;<2dYC@M\139mVJKD[Vcf|R`]OLAP53=iZFG\Ih5aRNOTAZgil9:;?0031?kTHE^OTe`~PnSMNS@733g_O_55aUEQ\BVD?3g_O_RG@B068jPBTW@EISc[KS^KLFjssj2d^H^QFOCmvpf=i]MYTmcj?0122a>hRLZUjbi>?01]jiuYi]MYTmcj?0122g>hRLZUecy>?003f?kSC[Vddx=>?1^kntZhRLZUecy>?0037?kSPMj1eYZKPaof34566m2d^[HQnne2345YneyUeYZKPaof34566m2d^[HQfmq]mQRC6<2d]AL64nWOB[LIE9=1eZ@OPIN@\jSKFW@EIcxzm;oTNEZOHJfo6`YM@]bja6789;n7cXBA^cm`5678Vcf|R`YM@]bja6789;m7cXBA^antZcv89:;>95aVLC\ghvXmx:;<=Qfmq]mRHGXkdzTi|>?013`?kPJIVddx=>?10g8jSKFWge<=>>_hos[kPJIVddx=>?1068jSVF02d]\LQISC:8jSVFW@EIo6`YP@]bja6789;n7cX_A^cm`5678Vcf|R`YP@]bja6789;n7cX_A^kntZhQXH;87cYJ7:lTAZ@TJ>1{caQllj;8tjjXkeanb55om]pgit?3yegS~}jt99skwrXkeaj7}a}t^aoo`h>3yeyxRjnt`:8tjtsWfzj:6~`ru]rf>vhz}Uyi~{ct89skwrX{jfy56~`ru]pw`r63{90~lc7;svo[qwmo1xcx|le^djh`hfeln0b{}cd]omkcij;1~|45yamwf[foi12|j`xkPoq`;?}e>=9:s`h5wc8702}jwk=>&9pNOp04ba>FGp:2<6K4=:0yPed<40?098;4>35`244<6lm:hwc=8f;38j6>72?1/?:k536:8yVg>2:2=6?:9:017f46628no38?:7?<4c335?7cl9i0(>:k:0f6?!43?3>>h6l<7683>1d=9:0=>vB<7c81M7c<2.98:4;5b9~H61d28q/88956:'76`=;>=0V4h53za95`<5=3wQ??752zf95a>i3900;66g>i39>0;66a>i4l00;66gj5;29?l77<3:17d=j9;29?j5d03:17b=k0;29?l5a?3:17b:>8;29?l23:3:17b:=b;29?j27k3:17do;:188md7=831d?n>50;9l7f2=831b?ko50;9j7a>=831d8?=50;9l7`g=831d?kl50;9l061=831d?hj50;9l7c7=831b89950;9l7a5=831d>;?50;&10<<5>91e>9650:9l60`=83.9844=619m61>=921d>;o50;&10<<5>91e>9652:9l63?=83.9844=619m61>=;21d>;650;&10<<5>91e>9654:9l631=83.9844=619m61>==21d>;850;&10<<5>91e>9656:9l633=83.9844=619m61>=?21d>;:50;&10<<5>91e>9658:9l635=83.9844=619m61>=121d>;<50;&10<<5>91e>965a:9l60c=83.9844=619m61>=j21b4;4?:%07=?>23g8?47>4;h:7>5<#:=31485a25:95>=n0:0;6)<;9;:6?k4303807d6=:18'61?=0<1e>9653:9j<5<72-8?576:;o07!43132>7c<;8;48?l1c290/>975849m61>=?21b;n4?:%07=?>23g8?4764;h5a>5<#:=31485a25:9=>=n?h0;6)<;9;:6?k4303k07d96:18'61?=0<1e>965b:9j3=<72-8?576:;o07!43132>7c<;8;g8?l>c290/>975849m61>=n21b4n4?:%07=?>23g8?47??;:k;f?6=,;>265;4n36;>47<3`2j6=4+25;9<0=i:=21=?54i9;94?"5<00396`=49827>=n010;6)<;9;:6?k4303;?76g77;29 72>21?0b?:7:078?l>6290/>975849m61>=9?10e>97533g8j72?2810e>:183!54n39?o6F<789l613=831vn>l7:182e?4d2=:p@>9m:0yK5a26b<,=?<6;5r$21e>dd=8:188m<>=831b8=831b89850;9l63e=831d>i850;9l514=831b9=4?:%07=?2a3g8?47>4;h6f>5<#:=318k5a25:95>=n9653:9j0<<72-8?57:i;o0736=4+25;90c=i:=21965f4683>!4313>m7c<;8;48?l21290/>9754g9m61>=?21b884?:%07=?2a3g8?4764;h67>5<#:=318k5a25:9=>=n<:0;6)<;9;6e?k4303k07d:=:18'61?=965b:9j04<72-8?57:i;o07;6=4+25;90c=i:=21h65f5983>!4313>m7c<;8;g8?l30290/>9754g9m61>=n21i>?950;195?5|D:=i6:188k72f2900elj50;9a3?6=;3:130el>50;9jf4<722e98l4?::pea<72;qUmi527;c3?xu5::0;6?u27;07e>X5e89jf4<722e98l4?::kb`?6=3`kn6=44i`594?=e?3:197>50z&07c<68?1C?:74L25a>4}#9==188:4}hc6>5<>oe93:17b<;a;29?xd4?h0;6<4?:1y'76`=9:20D>96;n303?6=3tyjh7>52z\b`>;02h:0q~oj:181[gb34=1m85rs300>5<5s49d152z?4>72f3W8?m6s|23794?4|Vk;01:4m1:~f421290:=7=512y'76`=9=<0c<:=:188m01=831b954?::k74?6=3`>:6=44i5094?=n<:0;66g;4;29?l222900e9850;9j02<722c?47>5;h6:>5<>o3m3:17d;?:188f42429026=4?{%10b?143A9<56g>3`83>>o6;k0;66g>3b83>>o6;m0;66g>3d83>>o6;o0;66g>4183>>o6<80;66a=2783>>{e9=>1<7750;2x 65a2>90D>96;h30e?6=3`;8n7>5;h30g?6=3`;8h7>5;h30a?6=3`;8j7>5;h374?6=3`;?=7>5;n012?6=3th:884?:283>5}#;:l1:?5G36;8m45f2900e<=m:188k7412900q~?;2;296~X6<;16=9;52348yv302909wS;8;<377?74i2wx954?:3y]1==:9=91=>l4}r63>5<5sW>;70?;3;30g>{t<80;6?uQ409>515=9:n0q~:=:181[2534;??7?52151956`?6=4={_67?873;3;?<6s|4483>7}Y<<16=9=51538yv212909wS:9;<370?74i2wx8:4?:3y]02=:9=>1=>l4}r6;>5<5sW>370?;4;30g>{t<00;6?uQ489>512=9:n0q~:m:181[2e34;?87?n6=4={_6f?873<3;?<6s|5183>7}Y=916=9:51538yv73;3:1>v3>428163=:9=?1=>o4}r370?6=:r7:894=279>513=9:h0qpl>8583>`<428:p(>=i:0:7?j7103:17d?9c;29?l71m3:17d?80;29?l70:3:17d?84;29?l70>3:17d?88;29?l70i3:17d?8c;29?l70m3:17d?70;29?l7?:3:17d=:2;29?g7113:157>50z&07c<5;:1C?:74i01b>5<5<5<5<:6=44o305>5<56;294~"4;o09?=5G36;8m45f2900e<=m:188m45d2900e<=k:188m45b2900c?<9:188yg71j3:1?7>50z&07c<5:h1C?:74i01b>5<5<52z\22==:9?h1>?84}r35g?6=:rT::n5217;956g52z\22`=:9?31=>l4}r344?6=:rT:;=5217;956e7>52z\237=:9?31=>j4}r340?6=:rT:;95217;956`52z\233=:9?31=>k4}r3452z\23d=:9?k1=>l4}r34g?6=:rT:;n5217;951752z\23`=:9?k1=>o4}r3;4?6=:rT:4=5217c956b7>52z\2<7=:9?k1=>m4}r166?6=:rT89?5217c956c52z?22<<5:?16=;l512c8yv71i3:1>v3>6`8163=:9?h1=>l4}|`033<72m086ku+32d97205<5<5<5<5<5<5<>290;w)=N4?01b=>o50;9j56d=831b=>m50;9j56b=831b=>k50;9j56`=831b=9>50;9j517=831d>?850;9~f613290>6=4?{%10b?45n2B8;45f12c94?=n9:h1<75f12a94?=n9:n1<75`23494?=zj:=>6=4<:183!54n389m6F<789j56g=831b=>l50;9l670=831v>9=:181[50:278;84=279~w63>2909wS=:9:?036<6;k1v>;m:181[52j278;>4>3`9~w63c2909wS=:d:?036<6;j1v>;i:181[52n278;>4>3d9~w6062909wS=91:?036<6;m1v>8<:181[51;278;>4>3g9~w6022909wS=95:?036<6<81v>88:181[51?278;>4>419~w60>2909wS=99:?031<6;h1v>8m:181[51j278;94>3b9~w60c2909wS=9d:?031<6;k1v>8i:181[51n278;94>3e9~w6142909w0=83;012>;4?<0:?l5rs257>5<5s49<87<=6:?030<6;k1vqo<9f;297?6=8r.8?k492:J03<=n9:k1<75f12`94?=h:;<1<75rb27;>5<2290;w)=;4?::a727=8391<7>t$21e>34<@:=27d?4?:1y'76`=:;k0D>96;h30e?6=3`;8n7>5;n012?6=3th:594?:583>5}#;:l1:;5G36;8m45f2900e<=m:188m45d2900c?<9:188yg4513:1?7>50z&07c<1:2B8;45f12c94?=n9:h1<75`23494?=zj;3:17pl<5683>0<729q/?>h5689K72?5<5<N4?01b=>o50;9j56d=831b=>m50;9j56b=831d>?850;9~f63d290>6=4?{%10b?0e3A9<56g>3`83>>o6;k0;66g>3b83>>o6;m0;66a=2783>>{e;96;h30e?6=3`;8n7>5;h30g?6=3`;8h7>5;n012?6=3th8:=4?:483>5}#;:l1:o5G36;8m45f2900e<=m:188m45d2900e<=k:188k7412900qo=92;291?6=8r.8?k49b:J03<=n9:k1<75f12`94?=n9:i1<75f12f94?=h:;<1<75rb247>5<2290;w)=;4?::a730=83?1<7>t$21e>3d<@:=27d?10;684?:1y'76`=>h1C?:74i01b>5<5<5<55;294~"4;o0=n6F<789j56g=831b=>l50;9j56e=831b=>j50;9l670=831vn>8l:186>5<7s-98j78n;I14=>o6;h0;66g>3c83>>o6;j0;66g>3e83>>i5:?0;66sm37g94?3=83:p(>=i:7c8L61>3`;8m7>5;h30f?6=3`;8o7>5;h30`?6=3f89:7>5;|`035<72<0;6=u+32d92<=O;>30e<=n:188m45e2900e<=l:188m45c2900c?<9:188yg4ci3:197>50z&07c<1l2B8;45f12c94?=n9:h1<75f12a94?=n9:n1<75`23494?=zj;nh6=4::183!54n33:17pl>7083>0<729q/?>h56c9K72?5<5<N4?01b=>o50;9j56d=831b=>m50;9j56b=831d>?850;9~f412290>6=4?{%10b?0d3A9<56g>3`83>>o6;k0;66g>3b83>>o6;m0;66a=2783>>{e9>=1<7;50;2x 65a2?h0D>96;h30e?6=3`;8n7>5;h30g?6=3`;8h7>5;n012?6=3th:;44?:483>5}#;:l1:o5G36;8m45f2900e<=m:188m45d2900e<=k:188k7412900qo?8b;291?6=8r.8?k49a:J03<=n9:k1<75f12`94?=n9:i1<75f12f94?=h:;<1<75rb05g>5<2290;w)=;4?::a52`=83?1<7>t$21e>3g<@:=27d?h1C?:74i01b>5<5<5<55;294~"4;o0=56F<789j56g=831b=>l50;9j56e=831b=>j50;9l670=831vn?j7:180>5<7s-98j78<;I14=>o6;h0;66g>3c83>>i5:?0;66sm17f94?5=83:p(>=i:718L61>3`;8m7>5;h30f?6=3f89:7>5;|`757<72:0;6=u+32d926=O;>30e<=n:188m45e2900c?<9:188yg26>3:1?7>50z&07c<5:h1C?:74i01b>5<5<:87>52;294~"4;o0:8k5G36;8m45>2900c?<9:188yvg12908wSo9;<013?gc34>:<7ok;|q2=6<72;qU=4=4=0;7>7413ty247>53z\:<>;5:00:?o52404956d:j7>53z\75c=:<8:1n<52400956d53z\22==:91>1=;64=0;7>45e3ty?8;4?:2y]010<5:?36<=n;<3:0?74i2wx>;m50;1xZ70d348=j7<=6:?01=<6;k1v?j9:181[4c>279hi4=279~w4252909wS?;2:?203<6<;1v<8j:18187?<3;=i63>6g8163=z{8=;6=4={<3;0?70827:;<4=279~w4152909w0?74;346>;6?:09>;5rs057>5<5s4;387?84:?230<5:?1v<99:18187?<3;<:63>768163=z{8=36=4={<3;0?70027:;44=279~w4>52909w0?74;3;6>;60:09>;5rs05b>5<5s4;387?8a:?23g<5:?1v<9l:18187?<3;7e8163=z{8=n6=4={<3;0?70m27:;k4=279~w4>72909w0?74;3;4>;60809>;5rs3f4>5<5s48oh7?7}::mk1>?84=3f;>45e3ty9ho4?:5y>6ab=9:h01?<6:01b?84ck389:63>6e827g=z{:??6=4={<16;4=>09>;5rs34g>5<4s48=j7?;k52348yv71k3:1>v3>85822f=:9?n1>?84}r146?6=:r78;;4<739>727=9:h0q~:>5;296~;39?09>;52406956?:<7>54z?755<5;h512`897b?289j70?9d;30e>{t;<91<7741349<=7?3;8m6s|34;94?4|5:==6>;6;<16e?45>2wx?8l50;0x96112:?i70=:c;012>{t;63c349>i7<=6:p70`=838p1>99:27e?8518389:6s|37394?4|5:==6>8>;<156?45>2wx?;=50;0x96112:<870=94;012>{t;?n1<760c349=i7<=6:p704=838p1<6;:271?8509389:6s|37d94?4|5:==6>8i;<144?45>2wx?;;50;0x96112:<>70=96;012>{t;?=1<7600349=47<=6:p73?=838p1>99:24:?851i389:6s|37`94?4|5:==6>8m;<15g?45>2wx9=4?:02xZ06<58>=68>4=34f>45e348om7?;6?<0:?i52165956b<58=26<=k;<34f?74l27:;i4>3e9>52`=9:n01<6>:01g?87?;3;8h6*<2c87b>h4:h0;7p};e;2955}Y63c=9:k01?jn:01`?84ck3;8h63>6g827a=:9>;1=>j4=050>45c34;<97?;6?o0:?n52193956e<58286<=l;%11f?2a3g99m7?4}r6g>5<68rT?h63>4787`>;4=<0:?o522ec956d<5;nh6<=m;<35b?74i27:;<4>3b9>525=9:i01<9::01a?870?3;8m63>78827f=:9>h1=>m4=05g>45e34;97?im512c8940a289h70?81;30e>;6?:0:?o52167956g<58=<6<=l;<34=?74i27:;o4>3c9>52b=9:k01<9i:01a?87?93;8m63>82827d=#;;h18k5a33c97>{t<00;6>uQ489>510=<016?89512f8 64e2=l0b>=839pR964=065>1><5:?<6<=l;%11f?2a3g99m7;4}r64>5<4sW><70?;6;64?852?3;8n6*<2c87b>h4:h0=7p};6;297~X3>27:8;4;6:?012<6;h1/??l54g9m77g=?2wx884?:dy]00=:9=<1885234c956e<5:?h6<=m;<16a?74k278:=4>3c9>734=9:h01>8;:01b?851>3;8h63<69827a=:;?k1=>j4=24`>45c349=i7?3e9>734=9:n01>8;:01g?851>3;8n63<69827d=:;?k1=>m4=24`>45d349=i7?4?:dy]06=:9=<18>5234c956b<5:?h6<=k;<16a?74j278:=4>3`9>734=9:i01>8;:01`?851>3;8o63<69827f=:;?k1=>l4=24`>45f349=i7?3b9>734=9:k01>8;:01a?851>3;8m63<69827g=:;?k1=>o4=24`>45e349=i7?7}Y<916=985419'77d={t=>0;6?uQ569>510==>1/??l54g9m77g=n2wvn9;::1807?5129m:0yK5a210<,=?<6;5r$21e>70e3S3m6?ul:0a9y_55138ph7?m:|kb2?6=3f>8>7>5;h1`2?6=3f>997>5;n62=?6=3f9n87>5;h;;>5<5<5<5<5<5<5<#:=31>;>4n36;>5=36<54o34b>5<#:=31>;>4n36;>7=36>54o34;>5<#:=31>;>4n36;>1=36854o345>5<#:=31>;>4n36;>3=6=4+25;963636:54o347>5<#:=31>;>4n36;>==36454o341>5<#:=31>;>4n36;>d=36o54i5f94?"5<00?o6`=4983?>o3j3:1(?:6:5a8j72?2810e9750;&10<<3k2d9854=;:k7269m4n36;>6=h5<10?76g;6;29 72>2=i0b?:7:498m13=83.9844;c:l10=<132c?87>5$36:>1e36:54i5194?"5<00?o6`=498;?>o3:3:1(?:6:5a8j72?2010e9?50;&10<<3k2d9854n;:k74?6=,;>269m4n36;>g=h5<10h76g:7;29 72>2=i0b?:7:e98m00=83.9844;c:l10=97>5$36:>1e36k54i4694?"5<00?o6`=49824>=n=:0;6)<;9;6`?k4303;:76g:2;29 72>2=i0b?:7:008?l36290/>9754b9m61>=9:10e9o50;&10<<3k2d9854>4:9a6ac=83;;6<4>0zN03g<6sA;o86sC36a95~"3=>0>7p*<3g8276=nim0;66a=3583>>i5;?0;66a=3983>>i5;h0;66a=3b83>>i5;l0;66a=4183>>i5<;0;66a=4283>>o6km0;66g>cd83>>o6ko0;66g>d183>>o6l80;66g>d383>>d6;;0;6<>5d;37I50j3;pD6}K;>h1=vF>d59~ 65a28:<7dl>:188k72f2900elj50;9a3?6=;3:130@>9m:0y'511=<<>0qdo?:188mg7=831d>9o50;9~wdb=838pRlj4=68b4>{t:;91<7uC36`95~N6l=1v(>=i:024?ld62900c?:n:188mdb=831i;7>53;294~"4;o0:<85G36;8H61e28q/=9954468ylg72900eo?50;9l61g=831vlj50;0xZdb<5>0j<6s|23194?4|5>098l5Q25c8yv45<3:1>vPm1:?4>g794?:282>6}K;>h1=vF>d59~ 65a28:<7dl>:188k72f2900elj50;9a3?6=;3:130@>9m:0y'511=<<>0qdo?:188mg7=831d>9o50;9~wdb=838pRlj4=68b4>{t:;91<70>6ou+32d95445<5<5<5<3290;w)=3:17pl>0e83>6<729q/?>h5629K72?5<3:1N4?01b=>o50;9j56d=831b=>m50;9j56b=831b=>k50;9l670=831vn5<7s-98j7<=f:J03<=n9:k1<75f12`94?=n9:i1<75f12f94?=h:;<1<75rs007>5<3sW;9863>10827f=:99h1=>o4=02e>45e3ty:=>4?:5y]545<58;:6<=m;<33`?74i27:3`9~w46?290?wS??8:?254<6;h16==l512`8946c289i7p}>ce83>7}Y9jn01<>i:01g?xu6ko0;6?uQ1bd8946a289h7p}>d383>7}Y9m801<>i:01f?xu6800;6?uQ11;894772;8=7p}>0`83>7}:98;1>?84=033>45f3ty:55d=:;<01o6810;66g>ce83>>o6l80;66g>1283>>o6ko0;66g>cd83>>o6l90;66g>2583>>i69=0;66l>2383>1<729q/?>h5679K72?5<5<54;294~"4;o0=;6F<789j56g=831b=>l50;9j56e=831d>?850;9~f47?290=6=4?{%10b?173A9<56g>3`83>>o6;k0;66g>3b83>>o6;m0;66g>3d83>>i5:?0;66sm10c94?5=83:p(>=i:718L61>3`;8m7>5;h30f?6=3f89:7>5;|`25f<72:0;6=u+32d926=O;>30e<=n:188m45e2900c?<9:188yg76m3:1?7>50z&07c<1;2B8;45f12c94?=n9:h1<75`23494?=zj88;6=4;:183!54n3<<7E=89:k27d<722c:?o4?::k27f<722e9>;4?::a577=8321<7>t$21e>7553A9<56g>3`83>>o6;k0;66g>3b83>>o6;m0;66g>3d83>>o6;o0;66g>4183>>i5:?0;66s|11:94?5|V8:370?=2;30g>;69l0:?o5rs0ag>5<3sW;hh63>23827d=:9821=>j4=003>45e3ty:h<4?:5y]5a7<58896<=m;<322?74k27:>=4>3b9~w4742903:?253<6;k16=<6512`8947f289j70?>c;30f>;69l0:?l52132956g53z\2gc=:98<1=>o4=03;>45f3ty:oh4?:3y]5fc<58;36<=l;|q2`5<72;qU=i>4=03;>45b3ty:>94?:2y]572<58;j6<=m;<32g?74i2wx=<:50;0xZ47334;9=7<=6:p543=838p1<<=:305?87593;8m6s|10494?4|58;=6?<9;<315?74j2wx=<950;0x947?2;8=70?=1;30g>{t9831<774134;9=7?{zj89;6=47:78g!54n3;8<6g>ce83>>o6l80;66g>1283>>o6810;66g>cg83>>o6l;0;66g>2583>>i6:<0;66l>2g83>0<729q/?>h5689K72?5<5<N4?01b=>o50;9j56d=831b=>m50;9j56b=831d>?850;9~f44>29086=4?{%10b?043A9<56g>3`83>>o6;k0;66a=2783>>{e9;h1<7=50;2x 65a2?90D>96;h30e?6=3`;8n7>5;n012?6=3th:>i4?:483>5}#;:l1:l5G36;8m45f2900e<=m:188m45d2900e<=k:188k7412900qo?=e;292?6=8r.8?k4=319K72?5<5<5<54z\2ga=:9;l1=>o4=004>45e34;9h7?;<31b?74j27:>i4>3e9~w4742908wS?>3:?26c<6;j16=?7512`8yv7703:1?vP>099>57`=9:n01<uQ1bd89440289h70?=d;30g>{t9m81<7;6:00:?l5213`956g<588o6<=n;|q260<72;qU=?;4=00f>7413ty:>;4?:3y>57`=:;<01<0;6?u21359670<588n6<=m;|q26=<72;q6=?752348944b289h7p}>2`83>7}:9;h1>?84=00f>45c3ty:>n4?:3y>57b=:;<01<96;h30e?6=3`;8n7>5;h30g?6=3f89:7>5;|`172<72=0;6=u+32d923=O;>30e<=n:188m45e2900e<=l:188k7412900qo<<9;290?6=8r.8?k498:J03<=n9:k1<75f12`94?=n9:i1<75`23494?=zj;9i6=4;:183!54n3<>7E=89:k27d<722c:?o4?::k27f<722e9>;4?::a66b=83>1<7>t$21e>31<@:=27d?3:17pl=4083>1<729q/?>h5679K72?5<5<53;294~"4;o0=?6F<789j56g=831b=>l50;9l670=831v<>7:18a877038?m63>13824==:9;91==64=013>46?348897?>7512a8975e289h70<;5<80:?o52256956g5bz?256<5;5;<0:?n52225956d<5;926<=m;<00f?74j279?i4>3c9>617=9:k01?:;:01a?xu6:=0;6lu2136961g<58;96<<;;<317?75<27:?=4>259>663=9:h01?=8:01`?84413;8m63=3c827d=:::n1=>m4=362>45d3ty:oi4?:5y]5fb<58;96ce9~w4eb2909wS?le:?266<6kl1vcg9>575=9jl01<=?:0ae?xu6l90;6?uQ1e28944428n;7p}>d083>6}Y9m;01<<<:0f2?87483;o=6s|1e094?5|V8n970?>2;3g6>;6;90:h?5rs`d94?2|Vhl01<>7:`f894742hn01<<;:`f8yv44<3:1>vP=359>663=:;<0q~<<6;296~X5;?16>>952348yv4403:1>vP=399>66?=:;<0q~<>l52348yv44k3:1>vP=3b9>66b=:;<0q~<;0;296~X5<916>9?52348yv43;3:1>vP=429>612=:;<0q~??9;296~;6810i=63>13824<=z{8;?6=4={<327?d634;9?7?>4:p573=838p1<<;:c389457288>7ps|ae83>7}Yim16=><5ag9~w7532909wS<<4:?277<5;=1v?=9:181[44>27:??4=379~w75?2909wS<<8:?277<5;11v?=n:181[44i27:??4=3`9~w75d2909wS<ce9~w4eb2909wS?le:?277<6kl1vcg9~w4b72909wS?k0:?277<6l91v:181[7c927:??4>d09~w4b52909wS?k2:?277<6l;1vqo7n:180>4<4sE99o50;9~wdb=838pRlj4=68b4>{t:;91<7uC36`95~N6l=1v@>9l:0y'001==2w/?>h51eg8mg7=831d>9o50;9jea<722h<6=4<:183!54n3;;96F<789je5<722ci=7>5;n07e?6=3tyjh7>52z\b`>;02h:0q~<=3;296~;02;>j7S<;a:p672=838pRo?4=68a5>{zj:;=6=4<:080I50j3;pD>;7;4}%10b?7cm2ci=7>5;n07e?6=3`ko6=44b683>6<729q/?>h51178L61>3`k;6=44ic394?=h:=k1<75rs`f94?4|Vhn01:4n0:p675=838p1:4=4`9]61g52z\a5>;02k;0qpl<0483>6<62:qG?:l51zJ2`1=zD:=h6{#;:l1=ik4ic394?=h:=k1<75fae83>>d029086=4?{%10b?77=2B8;45fa183>>oe93:17b<;a;29?xufl3:1>vPnd:?4>d652z?4>72f3W8?m6s|23694?4|Vk;01:4m1:~f67529086<4<{M14f?7|@8n?7pB<7b82!22?3?0q)=oe93:17b<;a;29?lgc2900n:4?:283>5}#;:l1==;4H25:?lg72900eo?50;9l61g=831vlj50;0xZdb<5>0j<6s|23194?4|5>098l5Q25c8yv45<3:1>vPm1:?4>g70}K;>h1=vF>d59~H61d2hq/88955:&713<4j01/89k5b09'006=:=k0(9:l:`f8j12?281/89j5ad9m01?=92.?9?4<319m01g=82w/?>h51g68mdb=831bmh4?::ka5?6=3f8?m7>5;h104?6=3k=1<7;52;7xH61e28qC=i:4}%10b?7cl2ci=7>5;n07e?6=3`ko6=44i`g94?=ni>0;66l8:186>5<7s-98j7??6:J03<=ni<0;66gn7;29?lg72900eo?50;9l61g=831vn>9n:182>5<7s-98j7?<8:J03<=h9:=1<75rs`f94?4|Vhn01:4n0:pe`<72;qUmh527;c6?xu5::0;6?u236c9561<5>0j;6s|23694?4|5>098l5Q25c8yv45=3:1>vPm1:?4>g75}#;:l1>?l4H25:?l74i3:17d?3:17p}nd;296~Xfl27<6lj4}rcf>5<5sWkn70=m0;30f>{t:=k1<7;<1a4?74i2wx?lh50;0x920>7p*<3g82b2=nj80;66a=4`83>>ofl3:17o950;194?6|,:9m6<>:;I14=>J4?k0:w)?;7;660>{ni90;66gm1;29?j43i3:17p}nd;296~Xfl27<6l>4}r017?6=:r7<6?:n;_07e>{t:;>1<74<4sE9j7p}=2583>7}Yj816;7l>;|a6=d=8391=7=tL25a>4}O9m>0qA=8c;3x 1302<1v(>=i:0d:?ld62900c?:n:188mdb=831i;7>53;294~"4;o0:<85G36;8H61e28q/=9954468ylg72900eo?50;9l61g=831vlj50;0xZdb<5>0j<6s|23194?4|5>098l5Q25c8yv45<3:1>vPm1:?4>g76}K;>h1=vF>d59~H61d28q/88955:'76`=9ok0eo?50;9l61g=831bmi4?::`4>5<4290;w)=N4?01G?:l51z&202<3==1vel>50;9jf4<722e98l4?::pea<72;qUmi527;c3?xu5::0;6?u27;07e>X5fc9jf4<722e98l4?::kb`?6=3k=1<7=50;2x 65a28:>7E=89:kb4?6=3`h:6=44o36b>5<?850;9~wdb=838pRlj4=c2956?52z?4>d6<5k:1>?84}r010?6=:r7<6?:n;_07e>{t:;?1<735;h044?6=3`8n>7>5;h136?6=3`85;c04e?6=;3:1N4?01b=>o50;9j56d=831d>?850;9~f71429086=4?{%10b?45j2B8;45f12c94?=n9:h1<75`23494?=zj;=?6=4;:183!54n3<=7E=89:k27d<722c:?o4?::k27f<722e9>;4?::a620=8391<7>t$21e>35<@:=27d?4?:1y'76`=>:1C?:74i01b>5<5<54;294~"4;o09>n5G36;8m45f2900e<=m:188m45d2900c?<9:188yv4093:1>vP=709>62?=:;<0q~::512a8yv4a<3:1>vP=f59>622=9:k0q~77:180[??3483c9>62>=9:h0q~:8512`8yv57:3:1>vP<039>620=9:k0q~<8c;296~X5?j16>:6512c8yv40:3:1>v3=7`8163=::>91=>l4}r017?6=:r79;>4=279>622=9:h0q~<=4;296~;5?=09>;5226;956g52z?133<5:?16>:7512`8yv40?3:1>v3=798163=::>31=>m4}|`1<=<721086lu+32d96=>5<6=44i3de>5<5<5<5;50;694?6|,:9m6;94H25:?l74i3:17d?4?:1y'76`=:;k0D>96;h30e?6=3`;8n7>5;n012?6=3ty94>4?:3y]6=5<5;2=6?<9;|q1a4<72;qU>h?4=3:4>45c3ty9j84?:3y]6c3<5;2<6<=n;|q1bc<72;qU>kh4=3:4>45e3ty9;k4?:3y]62`<5;2<6<=l;|q1a7<72;qU>h<4=3:6>45d3ty9j54?:3y]6c><5;2>6<=m;|q1<4<72;qU>5?4=3:6>45f3ty9494?:3y>6=1=:;<01?69:01b?xu50<0;6?u22979670<5;2=6<=m;|a6<2=83k197kt$21e>7?33f83o7>5;h0f5?6=3`8m97>5;h0eb?6=3`85;h0f7?6=3`8oj7>5;h0f0?6=3`8n>7>5;h0e54;294~"4;o0=;6F<789j56g=831b=>l50;9j56e=831d>?850;9~f7>b29086=4?{%10b?45i2B8;45f12c94?=n9:h1<75`23494?=zj;2m6=4<:183!54n3<97E=89:k27d<722c:?o4?::m163<722wi>4?50;194?6|,:9m6;<4H25:?l74i3:17d?3:17pl=9383>3<729q/?>h52228L61>3`;8m7>5;h30f?6=3`;8o7>5;h30`?6=3`;8i7>5;n012?6=3ty94n4?:3y]6=e<5;396?<9;|q1a4<72;qU>h?4=3:e>45f3ty9j84?:2y]6c3<5;386<=n;<0;a?74i2wx>kh50;0xZ7`a3482?7?h=50;0xZ7c43482>7?h:50;0xZ7c33482>7?k650;0xZ7`?3482=7??:50;0x97>a2;8=70<62;30e>{t:0:1<77413482>7?49{%10b?4>i2e99h4?::k15;h63>5<4950;194?6|,:9m6;=4H25:?l74i3:17d?3:17pl=9983>6<729q/?>h523c8L61>3`;8m7>5;h30f?6=3f89:7>5;|q11`<72;qU>8k4=3;;>7413ty94o4?:2y]6=d<5;326<=n;<0:3?74i2wx8l4?:3y]0d=::031=>l4}r63>5<5sW>;70<67;30f>{t:0?1<7741348247?03;8n6srb3c3>5<32:0=w)=i5>;0;66g=8c83>>o293:17d:>:188f7?a29086=4?{%10b?053A9<56g>3`83>>o6;k0;66a=2783>>{e:0n1<7=50;2x 65a2?90D>96;h30e?6=3`;8n7>5;n012?6=3th95h4?:283>5}#;:l1>?o4H25:?l74i3:17d?3:17p}=6383>7}Y:?801?7j:305?xu50k0;6>uQ29`897?a289j70<6d;30e>{t=80;6?uQ509>6<`=9:h0q~:>:181[263482h7?m3;8m6s|28a94?4|5;3o6?<9;<0:a?74j2wvn?o9:187>6<1s-98j796=44b3c6>5<4290;w)=;4?::a6d5=8391<7>t$21e>35<@:=27d?4?:1y'76`=:;k0D>96;h30e?6=3`;8n7>5;n012?6=3ty9:>4?:3y]635<5;k?6?<9;|q15l4=3c6>45f348j?7?96=4={_61?84f;3;8n6s|2`394?4|5;k>6?<9;<0b0?74i2wx>l<50;0x97g42;8=70{zj;kh6=4;:285!54n38jo6a=6583>>o50k0;66g:3;29?l242900n?om:180>5<7s-98j78=;I14=>o6;h0;66g>3c83>>i5:?0;66sm2`;94?5=83:p(>=i:718L61>3`;8m7>5;h30f?6=3f89:7>5;|`1ed<72:0;6=u+32d967g<@:=27d?=0;6?uQ276897gf2;8=7p}=8c83>6}Y:1h01?om:01b?84f13;8m6s|5283>7}Y=:16>ll512`8yv242909wS:<;<0b=?74j2wx>l950;0x97ge2;8=70{t:h21<7741348jm7?49{%10b?4e:2e9:84?::k187>5;h67>5<lh50;194?6|,:9m6;=4H25:?l74i3:17d?3:17pl=b183>6<729q/?>h523c8L61>3`;8m7>5;h30f?6=3f89:7>5;|q120<72;qU>;;4=3`3>7413ty94o4?:2y]6=d<5;h:6<=n;<0bb?74i2wx994?:3y]11=::k;1=>l4}r67>5<5sW>?70{t:hn1<7741348i<7?5<32:0=w)=i5>?0;66g=8c83>>o2=3:17d:::188f7d029086=4?{%10b?053A9<56g>3`83>>o6;k0;66a=2783>>{e:k?1<7=50;2x 65a2?90D>96;h30e?6=3`;8n7>5;n012?6=3th9n;4?:283>5}#;:l1>?o4H25:?l74i3:17d?3:17p}=6783>7}Y:?<01?l9:305?xu50k0;6>uQ29`897d0289j70{t=<0;6?uQ549>6g1=9:h0q~:::181[22348i97?3;8m6s|2c694?4|5;h>6?<9;<0a2?74j2wvn?lj:187>6<1s-98j7=6=44b3`g>5<4290;w)=;4?::a6gd=8391<7>t$21e>35<@:=27d?4?:1y'76`=:;k0D>96;h30e?6=3`;8n7>5;n012?6=3ty9::4?:3y]631<5;hh6?<9;|q15l4=3`g>45f348in7?=6=4={_65?84ej3;8n6s|2c;94?4|5;ho6?<9;<0ag?74i2wx>oo50;0x97de2;8=70{zj;i?6=4;:285!54n38h86a=6983>>o50k0;66g:7;29?l202900n?m<:180>5<7s-98j78=;I14=>o6;h0;66g>3c83>>i5:?0;66sm2b394?5=83:p(>=i:718L61>3`;8m7>5;h30f?6=3f89:7>5;|`1g7<72:0;6=u+32d967g<@:=27d?10;6?uQ27:897e52;8=7p}=8c83>6}Y:1h01?m<:01b?84d93;8m6s|5683>7}Y=>16>n=512`8yv202909wS:8;<0`5?74j2wx>oh50;0x97e42;8=70{t:j:1<7741348h>7?49{%10b?4dk2e9:44?::k1g0<722c>47>5;h6;>5<n750;194?6|,:9m6;=4H25:?l74i3:17d?3:17pl=c`83>6<729q/?>h523c8L61>3`;8m7>5;h30f?6=3f89:7>5;|q12<<72;qU>;74=3ab>7413ty9o84?:2y]6f3<5;ii6<=n;<0`=?74i2wx954?:3y]1==::jh1=>l4}r6;>5<5sW>370{t:j=1<7741348hm7?=838p1?m6:305?84di3;8n6srb3f1>5<32:0=w)=i5>h0;66g=8c83>>o3j3:17d:6:188f7b629086=4?{%10b?053A9<56g>3`83>>o6;k0;66a=2783>>{e:jl1<7=50;2x 65a2?90D>96;h30e?6=3`;8n7>5;n012?6=3th9h=4?:283>5}#;:l1>?o4H25:?l74i3:17d?3:17p}=6`83>7}Y:?k01?j?:305?xu50k0;6>uQ29`897b6289j70{t6a7=9:h0q~:6:181[2>348hj7?:305?84c83;8m6s|2bg94?4|5;im6?<9;<0g4?74j2wvn?6n:180>5<7s-98j7<=b:J03<=n9:k1<75f12`94?=h:;<1<75rb50;>5<5290;w)=N4?01b=>750;9l670=831vn9=::181>5<7s-98j7?;f:J03<=n9:31<75`23494?=zj=:i6=4=:183!54n3;?j6F<789j56?=831d>?850;9~f16a29096=4?{%10b?73n2B8;45f12;94?=h:;<1<75rb53`>5<5290;w)=N4?01b=>750;9l670=831vn9<;:181>5<7s-98j7?;f:J03<=n9:31<75`23494?=zj::86=4<:183!54n3<97E=89:k27d<722c:?o4?::m163<722wi?=?50;194?6|,:9m6?o6;h0;66g>3c83>>i5:?0;66sm31294?0=83:p(>=i:7g8L61>3`;8m7>5;h30f?6=3`;8o7>5;h30`?6=3`;8i7>5;n012?6=3th9jn4?:283>5}#;:l1:?5G36;8m45f2900e<=m:188k7412900qo=?9;297?6=8r.8?k493:J03<=n9:k1<75f12`94?=h:;<1<75rb236>5<4290;w)=;4?::a6c5=8391<7>t$21e>74f3A9<56g>3`83>>o6;k0;66a=2783>>{e:j<1<7=50;2x 65a2?80D>96;h30e?6=3`;8n7>5;n012?6=3th?>:4?:283>5}#;:l1:95G36;8m45f2900e<=m:188k7412900qo:<4;291?6=8r.8?k49c:J03<=n9:k1<75f12`94?=n9:i1<75f12f94?=h:;<1<75rb52b>5<2290;w)=;4?::a05c=8391<7>t$21e>35<@:=27d??1C?:74i01b>5<5<30e<=n:188m45e2900c?<9:188yg4a?3:197>50z&07c<1j2B8;45f12c94?=n9:h1<75f12a94?=n9:n1<75`23494?=zj;l26=4<:183!54n3<87E=89:k27d<722c:?o4?::m163<722wi>kl50;694?6|,:9m6;84H25:?l74i3:17d?96;h30e?6=3`;8n7>5;h30g?6=3f89:7>5;|`13a<72:0;6=u+32d967g<@:=27d?<1C?:74i01b>5<5<N4?01b=>o50;9j56d=831d>?850;9~f6c129096=4?{%10b?73n2B8;45f12;94?=h:;<1<75rs`494?b|Vh<01?jj:`f89740=im16?=;5ae9>744=im16>k?5ae9>626=im16>:k5ae9>6=d=im16?=:5ae9>7`2=im1v9==:181[24:27??84=279~w6e1290=wS=l6:?762<6;h168>:512c8916f289j70:?e;30e>;39k0:?l5rs506>5<5sW>9963;298163=z{=;26=4={_62=>;39j09>;5rs2g7>5<5sW9n863>?:01a?84a?3;8o63=f8827d=::oh1=>m4=3:3>45f3483>7??<;<122?d63ty9444?:3y]6=?<5;2j6?<9;|q74f<72;qU8=m4=52e>7413ty8j44?:3y]7c?<5=:j6<=l;|q043<72;qU?=84=224>g7?:7>55z\703=:<;=1=>l4=517>45e34>;m7?3b9~w70d2902wS<9c:?1``<6ko16>5o512c89667289o70;39k0:?o522g5956d<5;li6<=n;<0;4?74j2wx8?=50;0xZ14434>987<=6:p6a0=838pR?j9;<610?7412wx8=650;0xZ16?34>;n7<=6:p744=83=p1?jj:0f2?856:38?m63<02827g=:;9:1=>k4=3d:>45e348mn7??;:181856:3h:70=>5;012>{t:>i1<771d348ik51bg89u22g3961g<5::26<=n;<121?74i2wx?=:50;0x96632;>j70:>e;30f>{t<9n1<745>34>;i7<=6:p065=838p19=::01:?824<389:6s|2b794?4|5;ih6?m:;<0`2?45>2wx>kh50;6x97>?2;lm70<64;0eb>;4880:?o52312967053z?1``<5;=16>4:52ed897`4289j7p}<0483>6}::mo1=i>4=226>72f348m;7?6<2=:l;01>>?:01`?84ak3;8m63=7e827d=z{;=m6=4<{<0;6=6=:;<0q~4>3`9>62b=9:h0q~<71;296~;501094<52290967052z?1``<5;j16>4:52d18yv4b<3:1>v3=dd8105=::0>1>h:4}r1ba?6=:r79hh4=429>752=j81v9>6:181827j3;8563;0`8163=z{=;j6=4={<62f?45>27?=h4>3`9~w6c22909w0=j4;`2?85b>389:6s|29`94?e|5;2i6?:n;<0:e?4?j279m=4=8c9>6d0=:1h01?ol:3:a?84e:383n63=b9815l4=3a7>7>e348o>7<7b:?1g3<6;h16?h8512;8yv4?k3:1>v3=8c8a5>;51=094n5rs3dg>5<5s48mo7?3c9~w6652909w0<8b;136>;48:09>;5rs3d1>5<5s48m=7oj;<0e7?45>2wx>k;50;6x97>?2;l>70<64;0e1>;4880:?l522ga967058z?1``<6l;16>:>525c8971e2;=;70=?0;30e>;3;=0:?i5241c956b<5=;i6<=l;<0;4?74k2wx?=650;0x96622k;01>>6:305?xu39m0;6?u240a956?<5=;n6?<9;|q042<72:q6?=9525c897`62:9;70=?9;30f>{t:o<1<7741348mi7?=83>p1?67:3d;?84><38m463=f88163=::oo1=>l4}r0ee?6=:r79jo4=279>6cc=9:i0q~<8e;296~;5?l098l5229c956d52z?13`;3:>09>;5rs352>5<5s48<<7l>;<04f?4092wx>;o50;0xZ70f348o>7<9a:&06g<5>91e??o52:p63?=838pR?86;<0`g?4112.8>o4=619m77g=;2wx>;650;0xZ70?348h87<98:&06g<5>91e??o54:p631=838pR?88;<0aa?41?2.8>o4=619m77g==2wx>;850;0xZ701348i47<96:&06g<5>91e??o56:p633=838pR?8:;<0a6?41=2.8>o4=619m77g=?2wx>;:50;0xZ703348jo7<94:&06g<5>91e??o58:p635=838pR?8<;<0b2?41;2.8>o4=619m77g=12wx>;<50;0xZ705348j<7<92:&06g<5>91e??o5a:p60c=838pR?;j;<0:e?42m2.8>o4=619m77g=j2wx8i4?:3y]0a=Y:1e;<0g6?2>3-99n7:l;o11e?436=4={_6;?84dk3>37)==b;6`?k55i390q~:8:181[20348h87:8;%11f?2d3g99m7:4}r65>5<5sW>=70h7c==a;78yv222909wS::;<0a?6=4={_67?84e:3>?7)==b;6`?k55i3=0q~:<:181[24348jo7:<;%11f?2d3g99m764}r61>5<5sW>970h7c==a;;8yv262909wS:>;<0b4?263-99n7:l;o11e?g;6=4={_63?84>i3>;7)==b;6`?k55i3h0q~;7:181[3?348ho7;7;%11f?2d3g99m7m4}r74>5<5sW?<70h7c==a;f8yv312909wS;9;<0aa?313-99n7:l;o11e?c6=4={_76?84e03?>7)==b;6`?k55i3l0q~;;:181[33348i>7;;;%11f?2d3g99m7??;|q67?6=:rT>?63=ab867>"4:k0?o6`<2`825>{t=;0;6?uQ539>6d0==;1/??l54b9m77g=9;1v8?50;0xZ07<5;k;68?4$20a>1e5<5sW>j70<6a;6b?!55j3>h7c==a;37?x{e;kl1<7?::3c97g}K;>h1=vF>d59~H61d2;qe8865589'001=>2w/?>h514d8md0=831b=4=50;9j7c0=831b=8>50;9j7f0=831b554?::m2=0<722c8j44?::k22=<722e:m?4?::k703<722c?>44?::m2<=<722c8j84?::k1`3<722e::=4?::m0aa<722e:o<4?::m6=?6=3f<:6=44o2f0>5<>;7:4}%10b?74<2cjh7>5;n000?6=3f88:7>5;n005;n00g?6=3f88i7>5;h3``?6=3`;hi7>5;h3`b?6=3`;o<7>5;h3g5?6=3k;8>7>5c;;9b~J4?k0:wE?k4:'76`=;:30e:188md`=831d>>:50;9l660=831d>>650;9l66g=831d>>m50;9l66c=831i==650;195?5|D:=i6j7p}=2583>7}Yj816;7l>;|a545=8391=7=tL25a>4}O9m>0q)=oe93:17b<;a;29?lgc2900n:4?:283>5}#;:l1==;4H25:?I50j3;p(<:8:577?xof83:17dl>:188k72f2900q~ok:181[gc34=1m=5rs300>5<5s4=1>9o4^36b?xu5:=0;6?uQb09>3?d63twi=<<50;696?3|,:9m65;h00a?6=3f;;57>5;c33g?6=;3:1N4?01b=>o50;9j56d=831d>?850;9~f46e29086=4?{%10b?45i2B8;45f12c94?=n9:h1<75`23494?=z{8:36=4={_33<>;68j0:?l5rs0f2>5<5sW;o=63>0b827g=z{;9n6=4={_00a>;68k0:?o5rs02:>5<5sW;;563>0c8163=z{8:j6=4={<33g?45>27:3`9~yg75;3:147;5cz&07c<6::1b=nj50;9j55>=831b>>k50;9j5a7=831b=nk50;9j5f`=831b=i>50;9l542=831i=3:17pl>1783>6<729q/?>h5629K72?5<N4?01b=>o50;9j56d=831d>?850;9~f47f290>6=4?{%10b?0e3A9<56g>3`83>>o6;k0;66g>3b83>>o6;m0;66a=2783>>{e98i1<7;50;2x 65a2;8m7E=89:k27d<722c:?o4?::k27f<722c:?i4?::m163<722wx=nj50;0xZ4ec34;:h7?=83>pR<>7;<32`?74i27:=;4>3`9>54g=9:h0q~<d083>7}Y9m;01cg83>7}Y9jl011583>7}Y98>011683>7}:9821>?84=03`>45d3ty:=44?:3y>54g=:;<0196;h30e?6=3`;8n7>5;n012?6=3th9?:4?:283>5}#;:l1:?5G36;8m45f2900e<=m:188k7412900qo<<9;297?6=8r.8?k493:J03<=n9:k1<75f12`94?=h:;<1<75rb31a>5<4290;w)=;4?::a66b=8391<7>t$21e>74f3A9<56g>3`83>>o6;k0;66a=2783>>{t9921<76t=02;>72f34;:>7??8:?266<68116>>;512c89750289i70<<9;30f>;5;k0:?o5222f956d52z\2ga=:9;91=nj4}r3`a?6=:rT:oh5213195fc52z\2gc=:9;91=nh4}r3g4?6=:rT:h=5213195a653z\2`4=:9881=i?4=000>4b63tyjj7>53z\bb>;6810jh63>128b`>{t::>1<7{t::21<7{t::i1<73;07e>;69;09?h52131966c<5;9>6<=m;<003?74i279?44>3`9>66d=9:k01?=k:01b?xu6800;6?u211:9f4=:9881==74}r320?6=:r7:=>4m1:?266<69=1vq~ok:181[gc34;8>7oi;|q171<72;qU>>:4=011>7533ty9?;4?:3y]660<58996?=9;|q17=<72;qU>>64=011>75?3ty9?l4?:3y]66g<58996?=n;|q17f<72;qU>>m4=011>75d3ty9?h4?:3y]66c<58996?=j;|q2ga<72;qU=nj4=011>4ec3ty:oh4?:3y]5fc<589964ea3ty:h=4?:3y]5a6<589964b63twi=8j50;195?5|D:=i6:188k72f2900elj50;9a3?6=;3:130@>9m:0y'511=<<>0qdo?:188mg7=831d>9o50;9~wdb=838pRlj4=68b4>{t:;91<7uC36`95~N6l=1v@>9l:0y'001=<2w/?>h51ed8mg7=831d>9o50;9jea<722h<6=4<:183!54n3;;96F<789O72d=9r.:8:4;559~md6=831bn<4?::m10d<722wxmi4?:3y]ea=:?3k;7p}=2283>7}:?38?m6P=4`9~w7432909wSl>;<59f4=zuk;i=7>55;091~J4?k0:wE?k4:O72e=9r.?9:4;;|&07c<6m91bn<4?::m10d<722cjh7>5;hcf>5<079K72?>of83:17dl>:188k72f2900qo=8a;295?6=8r.8?k4>399K72?5<5sWko7095a19~wdc=838pRlk4=68b1>{t:;91<745034=1m:5rs307>5<5s4=1>9o4^36b?xu5:<0;6?uQb09>3?d63twi><=50;696?2|D:=i6j7):;c;cg?k2303;0(9;=:213?k23i3;0q)=ofl3:17dl>:188k72f2900e>=?:188f2<72:0:6>uC36`95~N6l=1v(>=i:0fg?ld62900c?:n:188mdb=831i;7>53;294~"4;o0:<85G36;8md6=831bn<4?::m10d<722wxmi4?:3y]ea=:?3k;7p}=2283>7}:?38?m6P=4`9~w7432909wSl>;<59f4=zuk9257>52;294~"4;o0:8k5G36;8m45>2900c?<9:188yvgc2909wSok;<59ea=z{;>j6=4={_07e>;02;>j7p}<3183>7}Y;::01>76:01:?xu4110;6?u27;`2?85>1389:6srb`a94?2=939p@>9m:0yK5a21=#<<<1?o74$56f>g7<,=?;6?:n;%67g?gc3g>?47?4$571>6573g>?m7>4}%10b?7b:2cjh7>5;h`2>5<j6=44i213>5<0;6>4>:2yO72d=9rB:h95r$21e>4bc3`h:6=44o36b>5<049K72?>i57}Yim16;7o?;|q166<72;q6;7<;a:\10d=z{;8?6=4={_`2?81=j81vq~ok:181[gc34=1mi5rs36b>5<5sW8?m638:36b?xu4;90;6?uQ322892j7):;c;cg?k2303;0(9:k:`g8j12>281/88=532:8j12e281v(>=i:0g0?lgc2900elk50;9jf4<722e98l4?::k07=<722h<6=4::386I50j3;pD5;hcf>5<079K72?>of83:17dl>:188k72f2900qo=8a;295?6=8r.8?k4>399K72?5<5sWko7095a19~wdc=838pRlk4=68b1>{t:;91<745034=1m:5rs307>5<5s4=1>9o4^36b?xu5:<0;6?uQb09>3?d63twi?o<50;194?6|,:9m6?o6;h0;66g>3c83>>i5:?0;66s|ae83>7}Yim16;7ok;|qba?6=:rTji63j6=4={_07e>;02;>j7p}<3983>6}Y;:201:4m1:?0f7<6;h1v>l>:18181=il16?o<52348yxd60o0;684=:4yO72d=9rB:h95rL25`>4}#<<=186s+32d95`65}#;:l1==84H25:?lg22900el950;9je5<722ci=7>5;n07e?6=3th8;l4?:083>5}#;:l1=>64H25:?j74?3:17p}nd;296~Xfl27<6l>4}rcf>5<5sWkn7095a49~w7442909w0=8a;303>;02h=0q~<=4;296~;02;>j7S<;a:p673=838pRo?4=68a5>{zj8i36=4::386I50j3;pD>;7:4}%10b?7b82ci=7>5;n07e?6=3`ko6=44i`g94?=ni>0;66l8:186>5<7s-98j7??6:J03<=ni<0;66gn7;29?lg72900eo?50;9l61g=831vn>9n:182>5<7s-98j7?<8:J03<=h9:=1<75rs`f94?4|Vhn01:4n0:pe`<72;qUmh527;c6?xu5::0;6?u236c9561<5>0j;6s|23694?4|5>098l5Q25c8yv45=3:1>vPm1:?4>g76}K;>h1=vF>d59~H61d28q/88954:'76`=9mo0eo?50;9l61g=831bmi4?::`4>5<4290;w)=N4?01bm=4?::ka5?6=3f8?m7>5;|qb`?6=:rTjh638:`28yv45;3:1>v38:36b?[43i2wx>?:50;0xZg7<5>0i=6srb0c1>5<22;0>wA=8b;3xL4b33tF8;n4>{%663?25;hcg>5<>d0290>6=4?{%10b?77>2B8;45fa483>>of?3:17do?:188mg7=831d>9o50;9~f61f290:6=4?{%10b?7402B8;45`12594?=z{hn1<77p}=2283>7}:;>k1=>94=68b3>{t:;>1<7dd9jf4<722e98l4?::kb`?6=3k=1<7=50;2x 65a28:>7E=89:kb4?6=3`h:6=44o36b>5<4c73`h:6=44o36b>5<>of?3:17o950;794?6|,:9m6<>9;I14=>of=3:17do8:188md6=831bn<4?::m10d<722wi?:o50;394?6|,:9m6<=7;I14=>i6;>0;66s|ae83>7}Yim16;7o?;|qba?6=:rTji638:`78yv45;3:1>v3<7`8272=:?3k<7p}=2583>7}:?38?m6P=4`9~w7422909wSl>;<59f4=zuk;h=7>55;091~J4?k0:wE?k4:O72e=9r.?9:4;;|&07c<6m91bn<4?::m10d<722cjh7>5;hcf>5<079K72?>of83:17dl>:188k72f2900qo=8a;295?6=8r.8?k4>399K72?5<5sWko7095a19~wdc=838pRlk4=68b1>{t:;91<745034=1m:5rs307>5<5s4=1>9o4^36b?xu5:<0;6?uQb09>3?d63twi?><50;796?3|D:=i6j7):;c;cg?k2303;0(9:k:`g8j12>281/88<53228j12f291v(>=i:0g7?lgc2900elk50;9jf4<722e98l4?::k075<722h<6=4::386I50j3;pD5;hcf>5<079K72?6=44i`594?=ni90;66gm1;29?j43i3:17pl<7`83>4<729q/?>h512:8L61>3f;8;7>5;|qb`?6=:rTjh638:`28yvgb2909wSoj;<59e0=z{;886=4={<14e?74?27<6l94}r010?6=:r7<6?:n;_07e>{t:;?1<7l?:180>5<7s-98j7<=b:J03<=n9:k1<75f12`94?=h:;<1<75rs`f94?4|Vhn01:4nd:pe`<72;qUmh523c2956d52z\10d=:?38?m6s|32294?5|V:9;7095b09>7g6=9:k0q~=nf;296~;02ho01>l?:305?x{ek:0;684=:4yO72d=9rB:h95rL25`>d}#<<=186*;5780f<=#<=o1n<5+442961g<,=>h6lj4n56;>4=#<=n1mh5a45;95>"3=;08?=5a45c94>{#;:l1=h;4i`f94?=nil0;66gm1;29?j43i3:17d=<0;29?g1=83?1>7;tL25a>4}O9m>0q)=oe93:17b<;a;29?lgc2900elk50;9je2<722h<6=4::183!54n3;;:6F<789O72d=9r.:8:4;559~md3=831bm:4?::kb4?6=3`h:6=44o36b>5<51;294~"4;o0:?55G36;8k4502900q~ok:181[gc34=1m=5rs`g94?4|Vho01:4n5:p675=838p1>9n:014?81=i>1v?<;:18181=:=k0R?:n;|q160<72;qUn<527;`2?x{e;k:1<7=50;2x 65a2;8i7E=89:k27d<722c:?o4?::m163<722wxmi4?:3y]ea=:?3ko7p}ne;296~Xfm278n=4>3c9~w72f2909wS<;a:?4>72f3ty8?=4?:2y]766<5>0i=63?84}|`2f3<72>0864u+32d95g05<80;66g<3383>>o61:0;66l>b283>0<729q/?>h5689K72?5<5<N4?01b=>o50;9j56d=831d>?850;9~f4d229086=4?{%10b?053A9<56g>3`83>>o6;k0;66a=2783>>{t9k81<7{t110;6?uQ999>5g5=9:k0q~?98;296~X6>116=o=512a8yv062909wS8>;<3a0?74j2wx?><50;0xZ65534;i87?{t9k>1<774134;i97?5;h3:2?6=3`98m7>5;h10f?6=3`;>h7>5;c3:b?6=;3:1N4?01b=>o50;9j56d=831d>?850;9~f4?f29086=4?{%10b?45i2B8;45f12c94?=n9:h1<75`23494?=zj83i6=4<:183!54n3<97E=89:k27d<722c:?o4?::m163<722wi=4j50;194?6|,:9m6;=4H25:?l74i3:17d?3:17pl>9d83>1<729q/?>h523a8L61>3`;8m7>5;h30f?6=3`;8o7>5;n012?6=3ty:554?:3y]5<><583n6?<9;|q07a<72;qU?>j4=0;a>45f3ty==7>53z\55>;61o0:?l5218c956g52z\2=3=:90l1=>l4}r10e?6=:rT8?l5218g956d52z\07g=:90n1=>l4}r36`?6=:rT:9i5218f956g52z?2=c<5:?16=4o512`8yv45;3:1>v3>9`8163=:90h1=>l4}r010?6=:r7:5o4=279>5;5218g956e3}#;:l1=lo4o0c6>5<=i:708L61>3`;8m7>5;h30f?6=3f89:7>5;|`2e2<72:0;6=u+32d926=O;>30e<=n:188m45e2900c?<9:188yg7f03:1?7>50z&07c<5:h1C?:74i01b>5<5<52z\2e0=:9h21>?84}r42>5<4sW<:70?n9;30e>;6i>0:?l5rs0`2>5<5sW;i=63>a8827g=z{=>=6=4={_672>;6i>0:?o5rs0c5>5<5s4;j57<=6:?2e=<6;h1va9827g=zuk;3i7>54;192~"4;o0:4h5`19;94?=n>80;66g>8g83>>o4n00;66l>8e83>6<729q/?>h5639K72?5<N4?01b=>o50;9j56d=831d>?850;9~f4>d29086=4?{%10b?45i2B8;45f12c94?=n9:h1<75`23494?=z{8226=4={_3;=>;60j09>;5rs7394?5|V?;01<6k:01b?87?j3;8m6s|19d94?4|V82m70?7d;30f>{t;o31<7e2;8=70?7c;30f>{zj8i<6=4;:285!54n3;h;6a>c383>>o193:17d?l8;29?l2513:17o?l6;297?6=8r.8?k492:J03<=n9:k1<75f12`94?=h:;<1<75rb0a7>5<4290;w)=;4?::a5f3=8391<7>t$21e>74f3A9<56g>3`83>>o6;k0;66a=2783>>{t9j81<76}Y>816=n8512c894e3289j7p}>c983>7}Y9j201c283>7}:9j<1>?84=0a6>45f3ty:o94?:3y>5f2=:;<01m7E=89:k27<<722e9>;4?::a533=83>1<7>t$21e>74c3A9<56g>3`83>>o6;k0;66g>3b83>>i5:?0;66sm3g294?4=83:p(>=i:06e?M5012c:?44?::m163<722wi?>:50;794?6|,:9m6;l4H25:?l74i3:17d?3:17pll5;292?6=8r.8?k49f:J03<=n9:k1<75f12`94?=n9:i1<75f12f94?=n9:o1<75`23494?=zj:n>6=4<:183!54n3<87E=89:k27d<722c:?o4?::m163<722wi=;<50;194?6|,:9m6;=4H25:?l74i3:17d?3:17pl>6683>6<729q/?>h523`8L61>3`;8m7>5;h30f?6=3f89:7>5;|`221<72:0;6=u+32d927=O;>30e<=n:188m45e2900c?<9:188yg5bn3:197>50z&07c<1j2B8;45f12c94?=n9:h1<75f12a94?=n9:n1<75`23494?=zj8h36=4;:183!54n389o6F<789j56g=831b=>l50;9j56e=831d>?850;9~f4?0290?6=4?{%10b?013A9<56g>3`83>>o6;k0;66g>3b83>>i5:?0;66sm1`g94?2=83:p(>=i:30f?M5012c:?l4?::k27g<722c:?n4?::m163<722wi=l:50;194?6|,:9m6;=4H25:?l74i3:17d?3:17pl>b183>6<729q/?>h523c8L61>3`;8m7>5;h30f?6=3f89:7>5;|`073<72:0;6=u+32d967g<@:=27d?0;6?4?:1y'76`=9=l0D>96;h30=?6=3f89:7>5;|`150<72;0;6=u+32d951`<@:=27d?<9;29?j45>3:17pl>9083>7<729q/?>h515d8L61>3`;857>5;n012?6=3th:ol4?:383>5}#;:l1=9h4H25:?l7413:17b<=6;29?xd6ij0;6?4?:1y'76`=9=l0D>96;h30=?6=3f89:7>5;|qb2?6=98qUm;5232;9ea=:9=3ko70?n2;cg?83>2hn01<67:`f894e62hn01>==:`f89f5=im1v<7<:186[7>;27:n;4>929>g0<6;k16=;<512`89651289i7p}7}Y;o<01<68:01:?xu6=90;6>uQ1428943c2k;01<88:01b?xu4k?0;6?uQ3b4896ca289j7p}68;293~X>0278?44>cd9>764=;::01n=5322894d120201<78:01`?87fm3;8m6s|18794?4|V83>70?65;07e>{t;o31<7=t^2d:?87?m39m563>90827<=z{8<36=46{_35<>;4;00:ok521c4953><58<>6<=n;<100?74k27h97?a383>7}Y9h801;6kh0:?45rs0:;>5<5sW;3463>89810d=z{:l>6=4={_1e1>;4l<0:?l5rs3f5>5<5sW8o:63;6><09>;5rs2gg>5<5sW9nh63;6k8098l5rs4;94?4|V<30187525c8yv06290hwS8>;<10=?7dl27==7<;a:?2f3<1927:m=491:?2ed<1927:4h491:?2g2<19278?94>3`9>g0<6;h16=;<512c894g3289j7p}7}Y;m901>j9:305?xu4;j0;6?u232;966><58h36<=l;|q07a<72:q6?>7522c894g72:9o70=<6;30e>{t;lo1<745>349nj7<=6:p530=838p1<88:305?871<3;8n6s|c283>6}:k:098l523e7956d<585f1=9j80q~?m2;297~;6j80ji63>b782f7=::8?1=>74}r106?6=?r78?44>d19>764=:=k01;61>0:?l521`g956e52z?2<0;6j90:?l5rs210>5<5s498>7oj;<100?45>2wx=8j50;6x965>28n:70?:d;07e>;6i90:9i52c4827`=z{83=6=4={<3b4?7>>27:5:4=279~wf2=838p1n=5ad9>g0<5:?1v>oj:1818541388i63:9;`2?xufk3:1>v3nc;07e>;193kn7p}>6083>7}:9??1=>l4=041>7413ty::>4?:3y>533=9:i01<8;:305?xu59=0;6?u22019766<5;;>6?<9;|q2=5<72;q6=5h5b09>5<7=:;<0q~?nb;296~;6i;0ji63>ab8163=z{8h<6=4={<3a2?7e?27:n54=279~w4d62909w0?m1;07e>;6ih0:n<5rs330>5<3s48:?7<;a:?55?54027:4k4ne:?2g=a2;>j70?7e;3;b>{t9131<7g7<582n6<66;|q0`1<72;q6?i8512;896b22;8=7p}>a483>7}:9h81n<521`c95d352z?2<0<5v3<38817f=:9k:1=>l4}r3:a5827g=z{8km6=4={<3a4?45>27:mn4>389~w4e>2909w0?l8;`2?87di389:6s|1b:94?4|58i36?:n;<3`3?7d02wx?>;50;0x9653289o70=<6;012>{t;:k1<78t=21:>75334kh6>=?;<3;3`9~w65e2908w0=<9;002>;6i908?o521c:956d{I3g0>{K;>i1>v`;59846>"3=>0=7p*<3g821`=];;31=v=;:|k705<722e8oo4?::k0a2<722c:on4?::m215<722cn97>5;h62b?6=3`;297>5;hc7>5<>i3:00;66g>cc83>>o4l;0;66a>i4n80;66a:9;29?j24>3:17o?m9;2955<628:p@>9m:0yK5a26=z,:9m6<=:;hcg>5<5<5<5<;6=44o361>5<5<5<5<5<683n1=8uC36`95~N6l=1v(>=i:0`:?l7dl3:17d?le;29?l7dn3:17d?k0;29?l7c93:17d?k2;29?l7c;3:17doi:188k7532900c?=9:188k75?2900c?=n:188k75d2900c?=j:188k7272900c?:=:188f46?29086<4<{M14f?7|@8n?7p*<3g8242=nj80;66a=4`83>>ofl3:17o950;194?6|,:9m6<>:;I14=>J4?k0:w)?;7;660>{ni90;66gm1;29?j43i3:17p}nd;296~Xfl27<6l>4}r017?6=:r7<6?:n;_07e>{t:;>1<74<4sE9>i5t$21e>4623A9<56B<7c82!73?3>>86sfa183>>oe93:17b<;a;29?xufl3:1>vPnd:?4>d652z?4>72f3W8?m6s|23694?4|Vk;01:4m1:~f44329086<4<{M14f?7|@8n?7p*<3g8242=nj80;66a=4`83>>ofl3:17o950;194?6|,:9m6<>:;I14=>J4?k0:w)?;7;660>{ni90;66gm1;29?j43i3:17p}nd;296~Xfl27<6l>4}r017?6=:r7<6?:n;_07e>{t:;>1<76<1s-98j7?>2:k261<722c:=>4?::k24=<722e:<44?::`24`<72:0;6=u+32d926=O;>30e<=n:188m45e2900c?<9:188yg77j3:1?7>50z&07c<1:2B8;45f12c94?=n9:h1<75`23494?=zj8:o6=4<:183!54n389m6F<789j56g=831b=>l50;9l670=831v<<;:180[75<27:

3`9>55d=9:k0q~?>3;296~X69:16==k512`8yv7703:1>vP>099>55d=9:h0q~??9;296~X68016==j52348yv77i3:1>v3>0d8163=:99n1=>o4}r33f?6=:r7:55b=9:h0qpl>2283><<22mq/?>h51318m4742900e7:188m4b72900e:188m4b52900e5<7s-98j78<;I14=>o6;h0;66g>3c83>>i5:?0;66sm10494?5=83:p(>=i:718L61>3`;8m7>5;h30f?6=3f89:7>5;|`25=<72:0;6=u+32d926=O;>30e<=n:188m45e2900c?<9:188yg76i3:1:7>50z&07c<092B8;45f12c94?=n9:h1<75f12a94?=n9:n1<75f12g94?=h:;<1<75rb03`>5<2290;w)=N4?01b=>o50;9j56d=831b=>m50;9j56b=831d>?850;9~w474290?wS?>3:?25a<6;k16=<6512`8947f289n7p}>ce83>7}Y9jn01uQ13689471289i70?>8;30e>{t9921<73;8m6s|1e294?4|V8n;70?>a;30e>{t9m;1<7a;30g>{t9m91<7c;012>{t98?1<774134;:o7?c;30`>{zj89;6=48:58b!54n3;8<6g>1283>>o6810;66g>2583>>o6km0;66g>cd83>>o6ko0;66a>2483>>d6:j0;6>4?:1y'76`=>;1C?:74i01b>5<5<54;294~"4;o0=;6F<789j56g=831b=>l50;9j56e=831d>?850;9~f44>290=6=4?{%10b?173A9<56g>3`83>>o6;k0;66g>3b83>>o6;m0;66g>3d83>>i5:?0;66sm13`94?2=83:p(>=i:30`?M5012c:?l4?::k27g<722c:?n4?::m163<722wx=<=50;6xZ47434;9o7?099>57e=9:h01<<8:01b?87513;8o6s|13694?4|V88?70?=7;30g>{t9jn1<7{t9jl1<770?=b;012>{t9;<1<774134;9n7?5<7s-98j787;I14=>o6;h0;66g>3c83>>o6;j0;66a=2783>>{e::=1<7:50;2x 65a2?=0D>96;h30e?6=3`;8n7>5;h30g?6=3f89:7>5;|`17<<72=0;6=u+32d923=O;>30e<=n:188m45e2900e<=l:188k7412900qo<;4?::a66`=83>1<7>t$21e>33<@:=27d?3:17pl=4083>1<729q/?>h5679K72?5<5<5bz?24=<5;5;<0:?n52225956g<5;926<=n;<00f?74i279?i4>3b9>66`=9:i01?:>:01a?xu69:0;6ou2101961g<58;96129>663=9:h01?=8:01a?84413;8n63=3c827f=:::n1=>l4=31e>45e348?=7?228261=:9::1=?:4=316>45f3488;7?>l512`8975c289j70<;5<80:?n5rs0ag>5<4sW;hh63>2282ga=:9::1=nj4}r3`a?6=:rT:oh5212295fc52z\2gc=:9::1=nh4}r3g4?6=:rT:h=5213195a652z\2`4=:9;91=i?4}r3g6?6=:rT:h?5213195a452z\2`6=:9;91=i=4}rce>5<3sWkm70??8;cg?876;3ko70?=4;cg?xu5;=0;6?uQ226897522;8=7p}=3783>7}Y::<01?=8:305?xu5;10;6?uQ22:8975>2;8=7p}=3`83>7}Y::k01?=m:305?xu5;j0;6?uQ22a8975c2;8=7p}=3d83>7}Y::o01?=i:305?xu5<90;6?uQ252897262;8=7p}>0883>7}:9921n<52100955?52z?256>4>159~w4422909w0?=4;`2?87483;996srs`f94?4|Vhn01<==:`d8yv44<3:1>vP=359>564=::>0q~<<6;296~X5;?16=><52248yv4403:1>vP=399>564=::20q~<<522c8yv44k3:1>vP=3b9>564=::i0q~<<522g8yv4383:1>vP=419>564=:=:0q~<;2;296~X5<;16=><52508yv7dl3:1>vP>ce9>564=9jn0q~?le;296~X6kl16=><51bg8yv7dn3:1>vP>cg9>564=9jl0q~?k0;296~X6l916=><51e28yv7c93:1>vP>d09>564=9m;0q~?k2;296~X6l;16=><51e08yv7c;3:1>vP>d29>564=9m90qpl>a083>6<52=qG?:l51zJ2`1=zD:=h6{#;:l1=h84ic394?=h:=k1<75fae83>>d029086=4?{%10b?77=2B8;45C36`95~"6<>0?995ri`294?=nj80;66a=4`83>>{ej90;6?4?:1y'76`=9=l0D>96;h30=?6=3f89:7>5;|qb`?6=:rTjh63m0;30=>{t:;91<7;e8389:6s|23694?4|5>098l5Q25c8yv45=3:1>vPm1:?4>g753;090~J4?k0:wE?k4:O72e=9r.?9:4<;|&07c<6nk1bn<4?::m10d<722cjh7>5;c594?5=83:p(>=i:026?M5012cj<7>5;h`2>5<j6=44}c`3>5<5290;w)=N4?01b=>750;9l670=831vlj50;0xZdb<5k:1=>74}r017?6=:r7<6l>4=c2967052z?4>72f3W8?m6s|23794?4|Vk;01:4m1:~f7:tL25a>4}O9m>0qA=8c;3x 1302:1v(>=i:0da?ld62900c?:n:188mdb=831i;7>53;294~"4;o0:<85G36;8md6=831bn<4?::m10d<722win=4?:383>5}#;:l1=9h4H25:?l7413:17b<=6;29?xufl3:1>vPnd:?a4?7412wx>?=50;0x9252z\a5>;02k;0qpl=d283>6<52=qG?:l51zJ2`1=zD:=h6{#;:l1=kl4ic394?=h:=k1<75fae83>>d029086=4?{%10b?77=2B8;45fa183>>oe93:17b<;a;29?xde83:1>7>50z&07c<65<5<5sWko70l?:01:?xu5::0;6?u27;c3?8d72;8=7p}=2583>7}:?38?m6P=4`9~w7422909wSl>;<59f4=zuk9?j7>53;090~J4?k0:wE?k4:O72e=9r.?9:4<;|&07c<6nk1bn<4?::m10d<722cjh7>5;c594?5=83:p(>=i:026?M5012cj<7>5;h`2>5<j6=44}c`3>5<5290;w)=N4?01b=>750;9l670=831vlj50;0xZdb<5k:1=>74}r017?6=:r7<6l>4=c2967052z?4>72f3W8?m6s|23794?4|Vk;01:4m1:~fc`=8391>7:tL25a>4}O9m>0qA=8c;3x 1302:1v(>=i:0da?ld62900c?:n:188mdb=831i;7>53;294~"4;o0:<85G36;8md6=831bn<4?::m10d<722win=4?:383>5}#;:l1=9h4H25:?l7413:17b<=6;29?xufl3:1>vPnd:?a4?7412wx>?=50;0x9252z\a5>;02k;0qpl>0183>6<62:qG?:l51zJ2`1=zD:=h6{#;:l1=ik4ic394?=h:=k1<75fae83>>d029086=4?{%10b?77=2B8;45fa183>>oe93:17b<;a;29?xufl3:1>vPnd:?4>d652z?4>72f3W8?m6s|23694?4|Vk;01:4m1:~f`>=8321>7jtL25a>4}O9m>0qA=8c;:x 1302:1/88853c58 6df2=?27)::0;07e>"3>o4;90;66a=5783>!43138>96`=4983?>i5==0;6)<;9;061>h5<10:76a=5383>!43138>96`=4981?>i5=80;6)<;9;061>h5<10876a=4c83>!43138>96`=4987?>d0290o6;4j{M14f?7|@8n?7pB<7b84!22>39i56*;4d8a5>"3=9098l5+45a9ea=i<=21=6*;538075=i<=k1=6s+32d95`1v=8:279y_55138p?44<2;j767=831bmi4?::k075<722e99;4?:%07=?42=2d9854?;:m111<72-8?57<:5:l10=<632e99?4?:%07=?42=2d9854=;:m114<72-8?57<:5:l10=<432e98o4?:%07=?42=2d9854;;:ka`?6=,;>26om4n36;>5=h5<10:76gm9;29 72>2ki0b?:7:398mg>=83.9844mc:l10=<432ci>7>5$36:>ge36954b683>0<42>qG?:l51zJ2`1=zD:=h64u+44497g?<,=>n6o?4$573>72f3->?o7ok;o67=?;o67e?7<,=?86>=7;o67f?75<5<0;6>4>:2yO72d=9rB:h95r$21e>4bc3`h:6=44o36b>5<049K72?>i57}Yim16;7o?;|q166<72;q6;7<;a:\10d=z{;8?6=4={_`2?81=j81vqo=69;297?6=8r.8?k493:J03<=n9:k1<75f12`94?=h:;<1<75rb2`6>5<4290;w)=N4?01b=>o50;9j56d=831d>?850;9~wdb=838pRlj4=68b`>{tj80;6?uQb09>7g3=9:h0q~<;a;296~X5=838pR>=7;<1a1?74i2wx?>>50;0xZ657349257?76:01a?85e=389:6s|38:94?4|5>0i=63<988163=zuk21<7:52;7xH61e28qC=i:4}M14g?1|,=?=6>l6;%67a?d63->><7<;a:&70f;%666?5482d?8l4>;|&07c<6m81bmi4?::ka5?6=3f8?m7>5;h104?6=3k=1<7=51;1xH61e28qC=i:4}%10b?7cl2ci=7>5;n07e?6=3`ko6=44b683>6<729q/?>h51178L61>3`k;6=44ic394?=h:=k1<75rs`f94?4|Vhn01:4n0:p675=838p1:4=4`9]61g52z\a5>;02k;0qpl<9883>6<729q/?>h5629K72?5<5<5sWko7095ae9~wg7=838pRo?4=2;:>45e3ty98l4?:3y]61g<5>098l5rs213>5<5sW98<63<98827d=z{:336=4={<59f4=:;031>?84}|`2e?6=<3819vB<7c82M7c<2wG?:m57z&713<4j01/89k5b09'006=:=k0(9:l:`f8j12?281/88<53228j12f281v(>=i:0g2?lgc2900eo?50;9l61g=831b?>>50;9a3?6=;3;1?vB<7c82M7c<2w/?>h51ef8mg7=831d>9o50;9jea<722h<6=4<:183!54n3;;96F<789je5<722ci=7>5;n07e?6=3tyjh7>52z\b`>;02h:0q~<=3;296~;02;>j7S<;a:p672=838pRo?4=68a5>{zj:326=4<:183!54n3<87E=89:k27d<722c:?o4?::m163<722wxmi4?:3y]ea=:?3ko7p}m1;296~Xe9278544>3c9~w72f2909wS<;a:?4>72f3ty8?=4?:3y]766<5:326<=n;|q0==<72;q6;7l>;<1:=?45>2wvn?:50;696?3|D:=i6"3>oe93:17b<;a;29?l5483:17o950;195?5|D:=i69o50;9~wdb=838pRlj4=68b4>{t:;91<730e<=n:188m45e2900c?<9:188yvgc2909wSok;<59ea=z{k;1<7289i7p}=4`83>7}Y:=k01:4=4`9~w6572909wS=<0:?0=<<6;h1v>77:18181=j816?4752348yxd5>3:187<55zN03g<6sA;o86sC36a93~"3=?08n45+45g9f4=#<<:1>9o4$56`>db36<5+4409766j6<5r$21e>4c63`ko6=44ic394?=h:=k1<75f32294?=e?3:1?7?53zN03g<6sA;o86s+32d95ab5<7s-98j7??5:J03<=ni90;66gm1;29?j43i3:17p}nd;296~Xfl27<6l>4}r017?6=:r7<6?:n;_07e>{t:;>1<776:180>5<7s-98j78<;I14=>o6;h0;66g>3c83>>i5:?0;66s|ae83>7}Yim16;7ok;|qa5?6=:rTi=63<98827g=z{;>j6=4={_07e>;02;>j7p}<3183>7}Y;::01>76:01b?xu4110;6?u27;`2?85>1389:6srb37g>5<4290;w)=N4?01b=>o50;9j56d=831d>?850;9~w6562908wS=<1:?4>65?348>h7?=im16=l4nd:?10?gc348=6lj4}r104?6=;rT8?=527;104>;5=m0:?l5rs37`>5<2s421?>>4=0c9766<5;>1?>>4=349766<5;?o6?<9;|q113<72;qU>884=34961g<,:8i6?;:;o11e?687>52z\111=::=098l5+33`96035<5sW8>>63>a;07e>"4:k09985a33c96>{t:<;1<7=:=k0(>"4:k0io6`<2`83?xuej3:1>vPmb:?10?d63-99n7ll;o11e?7<510i=6*<2c8ag>h4:h087p}m2;296~Xe:27<6o?4$20a>geh51e48^<`=:r936>85}[11=?4|;h08?7s`33094?"5<008><5a25:94>=h;;:1<7*=488064=i:=21=65`30g94?"5<008><5a25:96>=h;8n1<7*=488064=i:=21?65`30:94?"5<008><5a25:90>=nl?0;6)<;9;f6?k4303:07dj;:18'61?=l<1e>9651:9j`7<72-8?57j:;o07!4313n>7c<;8;68?g46i3:1?7?53zN03g<6sA;o86s+32d95cb>i49>0;66l<8483>6<42h53978mf?=831bol4?::m052<722h84?4?:283>5}#;:l1:>5G36;8m45f2900e<=m:188k7412900qo=73;297?6=8r.8?k493:J03<=n9:k1<75f12`94?=h:;<1<75rb2:7>5<4290;w)=N4?01b=>o50;9j56d=831d>?850;9~wf?=839pRn74=2:1>45e3493?7?7413ty84<4?:3y>7=4=:;<01>6;:01b?xu40;0;6?u23919670<5:2?6<=m;|pg<<72;qUo4523979g<=z{jk1<722jk0q~=>7;296~X49>16?5;53058yxd59k0;6>4>:2yO72d=9rB:h95r$21e>4`c3`i26=44ibc94?=h;8=1<75m39794?5=;3?p(>=i:2:6?le>2900eno50;9l741=831i?5<50;194?6|,:9m6;=4H25:?l74i3:17d?3:17pl<8283>6<729q/?>h5629K72?5<30e<=n:188m45e2900c?<9:188yve>2908wSm6;<1;6?74j2784>4>3`9~wfg=839pRno4=2:1>45f3493?7??8;<1;0?45>2wx?5?50;0x96>52;8=70=74;30e>{t;181<7741349387?f?7}Y;8=01>6::234?x{e:8i1<7=51;1xH61e28qC=i:4}%10b?7al2ch57>5;hab>5<5<42:0>w)=od13:17dmn:188k6702900n>6=:180>5<7s-98j78<;I14=>o6;h0;66g>3c83>>i5:?0;66sm39194?5=83:p(>=i:718L61>3`;8m7>5;h30f?6=3f89:7>5;|`0<1<72:0;6=u+32d967g<@:=27d?4>3c9~w6702909wS=>7:?0<1<5:?1v>6>:18185?:389:63<85827d=z{:296=4={<1;7?45>278494>3c9~yve>2909wSm6;<1;1?e>3tyhm7>52z\`e>;40<0hm6s|30594?4|V:;<70=75;123>{zj;;o6=4<:080I50j3;pD5;c1;1?6=;3919v*<3g80<0=nk00;66gla;29?j56?3:17o=72;297?6=8r.8?k493:J03<=n9:k1<75f12`94?=h:;<1<75rb2:0>5<4290;w)=;4?::a7=2=8391<7>t$21e>74f3A9<56g>3`83>>o6;k0;66a=2783>>{tk00;6>uQc89>7=4=9:h01>6<:01b?xudi3:1?vPla:?0<7<6;h16?5=512`8yv56?3:1>vP<169>7=2=:;<0q~=71;296~;40;09>;52396956g7>52z?0<6<5:?16?5:512`8yxud13:1>vPl9:?0<05<5sW9:;63<848052=zuk9257>53;294~"4;o0=>6F<789j56g=831b=>l50;9l670=831vn>7m:180>5<7s-98j78=;I14=>o6;h0;66g>3c83>>i5:?0;66sm38f94?5=83:p(>=i:708L61>3`;8m7>5;h30f?6=3f89:7>5;|`06=<72;0;6=u+32d951`<@:=27d?<9;29?j45>3:17p}<9983>6}::8h1o45238;9670<5:3i6<=m;|q0=d<72:q6>77k:01a?xu41j0;6?u220f9g<=:;0n1>?84}r116?6=:rT8>?5220f9741<,:8i6><>;o11e?652z\065=::8i1?<94$20a>6463g99m7?4}r12a?6=:rT8=h5220`9741<,:8i6><>;o11e?452z\05a=::8k1?<94$20a>6463g99m7=4}r12<>;o11e?2o4k5:l06d<63tyo>7>53z\g6>;59k0hm63<9c827d=#;;h1h85a33c96>{tl80;6>uQd09>64g=kh16?47512c8 64e2m?0b>pRnm4=33b>f?<5:326<=m;<11o4k5:l06d<33twx?>?50;0xZ65634=1?>?4}rcg>5<5sWko7095ae9~w6572909wS=<0:?4>6573ty99;4?:2y]600<5>099;522049`3=#;;h1>8;4n20b>5=z{;??6=4<{_060>;02;??70<>6;f7?!55j38>96`<2`82?xu5=;0;6>uQ240892<5=;16><85d39'77d=:736348::7j>;%11f?42=2d8>l4<;|q10g<72:qU>9l4=6810g=::8<1on5+33`96035<5s4=1ni522049774<,:8i6>7>;o11e?652z?4>gd<5;;=6>92d8>l4>;|q0<`<72;q6;7l6;<022?56m2.8>o4<909m77g=:2wx?5j50;0x92?2909w095b39>640=;820(>0qpli9;297?7=;rF8;o4>{I3g0>{K;>i1=v*;5680?x"4;o0:il5fb083>>i5t$21e>4623A9<56B<7c82!73?3>>86sfa183>>oe93:17b<;a;29?xufl3:1>vPnd:?4>d652z?4>72f3W8?m6s|23694?4|Vk;01:4m1:~f151290>6>49{M14f?7|@8n?7pB<7b8b!22?390(9;9:2`:?!23m3h:7)::0;07e>"3>50;9a3?6==3819vB<7c82M7c<2w/?>h51ef8mg7=831d>9o50;9jea<722cji7>5;hc4>5<0;684?:1y'76`=99<0D>96;hc6>5<>oe93:17b<;a;29?xd4?h0;6<4?:1y'76`=9:20D>96;n303?6=3tyjh7>52z\b`>;02h:0q~oj:181[gb34=1m85rs300>5<5s49d152z?4>72f3W8?m6s|23794?4|Vk;01:4m1:~f6?>29096=4?{%10b?73n2B8;45f12;94?=h:;<1<75rb2`3>5<4290;w)=N4?01b=>o50;9j56d=831d>?850;9~wdb=838pRlj4=68b`>{til0;6?uQad9>7g6=9:k0q~<;a;296~X5=?;<1:=?741278n=4>3c9~w6??2909w095b09>7l?:305?x{e9<:1<7=51;1xH61e28qC=i:4}M14g?7|,=?<6>5r$21e>4bb3`h:6=44o36b>5<049K72?>i57}Yim16;7o?;|q166<72;q6;7<;a:\10d=z{;8?6=4={_`2?81=j81vqo;6:180>4<4sE99o50;9~wdb=838pRlj4=68b4>{t:;91<79l:0y'001=;2w/?>h51g`8mg7=831d>9o50;9jea<722h<6=4<:183!54n3;;96F<789je5<722ci=7>5;n07e?6=3thi<7>52;294~"4;o0:8k5G36;8m45>2900c?<9:188yvgc2909wSok;<`3>45>3ty9>>4?:3y>3?g734h;6?<9;|q161<72;q6;7<;a:\10d=z{;8>6=4={_`2?81=j81vqojl:180>4<4sE9j7p}=2583>7}Yj816;7l>;|a7fd=8391>7:tL25a>4}O9m>0qA=8c;3x 1302:1v(>=i:0da?ld62900c?:n:188mdb=831i;7>53;294~"4;o0:<85G36;8md6=831bn<4?::m10d<722win=4?:383>5}#;:l1=9h4H25:?l7413:17b<=6;29?xufl3:1>vPnd:?a4?7412wx>?=50;0x9252z\a5>;02k;0qpl6<52=qG?:l51zJ2`1=zD:=h6{#;:l1=kl4ic394?=h:=k1<75fae83>>d029086=4?{%10b?77=2B8;45fa183>>oe93:17b<;a;29?xde83:1>7>50z&07c<65<5<5sWko70l?:01:?xu5::0;6?u27;c3?8d72;8=7p}=2583>7}:?38?m6P=4`9~w7422909wSl>;<59f4=zuk>957>53;090~J4?k0:wE?k4:O72e=9r.?9:4<;|&07c<6nk1bn<4?::m10d<722cjh7>5;c594?5=83:p(>=i:026?M5012cj<7>5;h`2>5<j6=44}c`3>5<5290;w)=N4?01b=>750;9l670=831vlj50;0xZdb<5k:1=>74}r017?6=:r7<6l>4=c2967052z?4>72f3W8?m6s|23794?4|Vk;01:4m1:~f43f290j694k{%10b?72i2e:9>4?::k2fd<722c:m<4?::k2fg<722c:nn4?::k2fa<722c:nh4?::k`2?6=3`;ij7>5;h365?6=3k;>57>53;294~"4;o0=>6F<789j56g=831b=>l50;9l670=831vn<;::180>5<7s-98j78<;I14=>o6;h0;66g>3c83>>i5:?0;66sm14594?5=83:p(>=i:718L61>3`;8m7>5;h30f?6=3f89:7>5;|`21=<72>0;6=u+32d9667<@:=27d?b`83>7}Y9kk01<;6:01b?xu6i80;6?uQ1`38943>289i7p}>bc83>7}Y9kh01<;7:01a?xu6jj0;6?uQ1ca8943?289h7p}>be83>7}Y9kn01<;7:01g?xu6jl0;6?uQ1cg89432289i7p}l6;296~Xd>27:984>3`9~w4da2909wS?mf:?212<6;k1v<;>:181[72927:9:4>3`9~w4332909w0?:9;012>;6=10:?l5rs076>5<5s4;>97<=6:?21=<6;l1v<;9:181872?389:63>59827c=zuk<;6=47:38:!54n3<;7b;l:188m4df2900e:188m4de2900e3:17pl:e;293?6=8r.8?k4=309K72?5<5<5<5<5sW?h70;j:305?xu6jh0;6?uQ1cc890`=9:k0q~?n1;296~X6i8169k4>3c9~w4de2909wS?mb:?6a?74j2wx=om50;0xZ4dd34?n6<=l;|q2fa<72;qU=oj4=4g956b52z\2f`=:=l0:?h5rs0`e>5<5sW;ij63:e;30b>{t=m0;6?u25g8163=:=l0:?l5r}c1e0?6=:3:130e<=6:188k7412900qoh?:180>5<7s-98j78<;I14=>o6;h0;66g>3c83>>i5:?0;66smf383>6<729q/?>h5629K72?5<5<4290;w)=;4?::a06d=83>1<7>t$21e>33<@:=27d?3:17pl6<729q/?>h523c8L61>3`;8m7>5;h30f?6=3f89:7>5;|`f6?6=;3:130e<=n:188m45e2900c?<9:188yg`3290=6=4?{%10b?153A9<56g>3`83>>o6;k0;66g>3b83>>o6;m0;66g>3d83>>i5:?0;66smf783>3<729q/?>h56g9K72?5<5<5<;4?::a06b=83<1<7>t$21e>3`<@:=27d?3:17pl6<729q/?>h5639K72?5<5<4290;w)=N4?01b=>o50;9j56d=831d>?850;9~f43d290>6=4?{%10b?45n2B8;45f12c94?=n9:h1<75f12a94?=n9:n1<75`23494?=zj8?96=4<:183!54n3<97E=89:k27d<722c:?o4?::m163<722wii94?:683>5}#;:l1>>?4H25:?l74i3:17d?3:17plke;297?6=8r.8?k492:J03<=n9:k1<75f12`94?=h:;<1<75rbd294?2=83:p(>=i:748L61>3`;8m7>5;h30f?6=3`;8o7>5;n012?6=3th8ok4?:783>5}#;:l1>>>4H25:?l74i3:17d?4?:1y'76`=:;k0D>96;h30e?6=3`;8n7>5;n012?6=3th8jk4?:283>5}#;:l1:>5G36;8m45f2900e<=m:188k7412900qo:?7;291?6=8r.8?k4=2g9K72?5<5<N4?01b=>o50;9j56d=831d>?850;9~f14a29086=4?{%10b?053A9<56g>3`83>>o6;k0;66a=2783>>{ek10;6?4?:1y'76`=9=l0D>96;h30=?6=3f89:7>5;|`:`?6=:3:130e<=6:188k7412900qo4g9K72?5<=7>52;294~"4;o0:8k5G36;8m45>2900c?<9:188yg24n3:1>7>50z&07c<65<30e<=6:188k7412900qo:?3;296?6=8r.8?k4>4g9K72?5<8=7>52;294~"4;o0:8k5G36;8m45>2900c?<9:188yv2383:1>vP;419>707=9:30q~=lb;296~X4kk16?nl525c8yv5b?3:1>vPg=<6;01v06d=9:h0q~k::181[c234lm6o?4}r62b?6=:rT?=k522e7956?52z\2=0=:9h;1n<5rs`694?1|Vh>016a5=im16jk4nd:?217<6;h1vl?50;dxZd7<58h26lj4=0c2>db<5:>m6lj4=023>db<5l21mi52f88b`>;3;?0jh63>518b`>;213ko70=ja;cg?8bd2hn01>mm:`f896`>2hn019<6:`f8yv5a13:1>vP7c?=:=k0q~?62;296~X61;168=?512c8yv>a290?wS6i;<3a=?7dn278j>4>3`9>a5<6;j1v9<6:181[25127?>44=4`9~w4ee2909wS?lb:?76c<6;h1v>j=:181[5c:272h7?<9:p7`g=838pR>kn;<1fe?43i2wx?k?50;0xZ6`6349m87<=6:p1<<72;qU945258810d=z{=9=6=4<{_602>;3;?098l52fc827d=z{oi1<7?84}rg2>5<5s4nh6o?4=d0967074134nn6<=m;|q00c<72;q6?9h525c8915e289h7p}=d583>7}::m91n<522e79670g7<50n1>?84}rf`>5<5s4nh6?:n;<1e7?74j2wx8?k50;0x914a2;8=70:<1;30=>{tno0;6>u2fg810d=:99:1n<52f3827d=z{8?i6=4={<36g?45>27:9?4>3c9~wcg=838p1k75b09>bg<5:?1vhh50;0x9`>=;::01k>52348yv`62908w0k7:212?8`7289j70h=:305?xua?3:1>v3i0;30f>;a0389:6s|3bg94?4|5:im6?<9;<1``?7412wx5o4?:2y>5g?=9m8014l525c8943d289h7p};3`83>7}:<:<1?>>4=51a>7413ty:<=4?:3y>556=:=k01k<512`8yv5am3:1>v3o4}r634?6=:r7?<<4=279>055=9:30q~m9:18787e13;o<63l6;07e>;6=h0h:63>5b827d=z{:oi6=4={<1fe?d6349no7<=6:pb<<72:q6=o751e389c?=:=k01<;l:01a?xu6i80;68u21c;95fc<58k:6?:n;<36e?7f927=<7?n1:?f4?74i2wxhi4?:3y>a7<6;h16hh4=279~wa`=838p1h<512`89`6=:;<0q~522e1961g<58?h6<=k;|q0b7<72;q6?k:512;896`42;8=7p}<5183>7}:;=l1n<523439670?7>52z?215529~w0e=838p1875b09>25<2k2wxj>4?:2y>b1<5:?16j54>3`9>06`=9:30q~h::1818`12;8=70h7:01a?xu6jh0;69u21c;9662<58?j64df34o;6<=m;|q2fg<72?q6=o752248943f28hi708?:0`a?8c3289j70=lf;30e>;38<0:?l5rs0``>5<1s4;i57<<8:?21d<6jj16:=4>bb9>a1<6;k16?nh512`89162289i7p}>be83>3}:9k31>>o4=07b>4dc34<;645d349hj7?5`82f`=:>90:nh523da956g<5l>1=>j4=2ae>45c34>;;7?;4mj0:?o52e5827`=:;jl1=>k4=524>45c3ty:o=4?:3y>5g?=:=:01h:512d8yv5dk3:1>v3;4km09>;5rs513>5<5s4>957l>;<605?45>2wxo:4?:3y>g37}:<9?1>?84=2de>45e3ty?<;4?:2y>051=:;<019>>:01a?825n3;8n6s|41094?4|5:l26o?4=520>7413ty:9<4?:3y>50g=9<;01<;=:305?xu3;j0;6?u242`956g<5=9o6?<9;|qfa?6==r7n47<:6:?e0?74m27m:7?3`9'77d=mm1e??o50:paf<72b1<6;m16j;4>3`9>ba<6;m168>j512`8 64e2ln0b>w0k7:372?8`3289i70h9:01`?8`c289i70:"4:k0nh6`<2`80?xub13:19v3j8;07f>;a<3;8m63i6;30`>;al3;8m63;3e827a=#;;h1ii5a33c90>{zj:h=6=47:0;96c}K;>h1=vF>d59~H61d2;qe88657e9'001=>2w/?>h5a99Y77?=;r8m6?>5138~k6752900el850;9l753=831d?i750;9ja0<722c8j:4?::m767<722e3j7>5;c37379jea<722e9?94?::m173<722e9?54?::m17d<722c:oi4?::k2g`<722h:??4?:68;>g}K;>h1=vF>d59~ 65a28>37d?ld;29?l7dm3:17doi:188k7532900c?=9:188k75?2900c?=n:188f46?29086<4<{M14f?7|@8n?7p*<3g8242=nj80;66a=4`83>>ofl3:17o950;194?6|,:9m6<>:;I14=>J4?k0:w)?;7;660>{ni90;66gm1;29?j43i3:17p}nd;296~Xfl27<6l>4}r017?6=:r7<6?:n;_07e>{t:;>1<74<4sE9>i5t$21e>4623A9<56B<7c82!73?3>>86sfa183>>oe93:17b<;a;29?xufl3:1>vPnd:?4>d652z?4>72f3W8?m6s|23694?4|Vk;01:4m1:~f475290>6?49{%10b?76:2c:<54?::k2ga<722c:oh4?::k256<722e:<44?::`24f<72=0;6=u+32d920=O;>30e<=n:188m45e2900e<=l:188k7412900qo??b;297?6=8r.8?k4=2`9K72?5<52z\2ga=:99i1=>o4}r3`a?6=:rT:oh5211a956d52z\256=:99i1=>m4}r33=?6=:rT:<45211`967052z?24f<5:?16==l512`8yxd6::0;694<:7y'76`=9;90e7:188k4732900n5<7s-98j78<;I14=>o6;h0;66g>3c83>>i5:?0;66sm10494?5=83:p(>=i:718L61>3`;8m7>5;h30f?6=3f89:7>5;|`25=<72:0;6=u+32d967g<@:=27d?uQ1bf8947>289j70?>6;30f>{t9891<76;30e>{t98>1<78;30f>{zj;9>6=4<:183!54n3>950;194?6|,:9m6;=4H25:?l74i3:17d?3:17pl=3883>6<729q/?>h5639K72?5<N4?01b=>o50;9j56d=831d>?850;9~w46?290;69;0:<552131955><5;9>6<=m;<003?74i279?44>3c9>66d=9:h0q~?>3;293~;69:098l521009545<588863c9>66?=9:k01?=m:01b?xu6km0;6>uQ1bf8947528io70?=3;3``>{t9jo1<76}Yio16==65ae9>545=im1v?=;:181[44<279?84=279~w7512909wS<<6:?172<5:?1v?=7:181[440279?44=279~w75f2909wS<6:18187703h:70?>2;33=>{t98>1<7g7<58886;6;;09?95rs315>5<5sW88:63>338173=z{;936=4={_00<>;6;;09?55rs31b>5<5sW88m63>33817d=z{8io6=4={_3``>;6;;0:oi5rs0af>5<5sW;hi63>3382g`=zuklm6=4::386I50j3;pD>;7>4$575>6d>3->?i7l>;%664?43i2.?8n4nd:l70=<63t.8?k4>ee9Y=c<5s;81><4rZ20:>7}5;3;m6pgnd;29?j4293:1(?:6:373?k4303:07b<;b;29 72>2;?;7c<;8;38?ld?290/>975b69m61>=821bn?4?:%07=?d03g8?47?4;c594?5=939p@>9m:0yK5a25;hcg>5<0;6>4?:1y'76`=99?0D>96;hc3>5<?=50;0x92<59o4}r010?6=:rTi=638:c38yxd?29086<4<{M14f?7|@8n?7p*<3g82``=nj80;66a=4`83>>ofl3:17o950;194?6|,:9m6<>:;I14=>of83:17dl>:188k72f2900q~ok:181[gc34=1m=5rs300>5<5s4=1>9o4^36b?xu5:=0;6?uQb09>3?d63twxmi4?:2y]ea=:?3ko7065ae9~w7362909wS<:1:?;>72f3-99n7<:0:l06d<73ty98o4?:3y]61d<5>098l5+33`9606l4?;|qa6?6=:rTi>638:c38 64e2k=0b>0;7)::6;1a3>"4jh0?945+442961g<,=>h6lj4n56;>4=#<=n1mh5a45;95>"3=;08?=5a45c95>{#;:l1=il4Z8d95~4e2tP8>44={3f975>o4;90;66a=5583>!43138>?6`=4983?>i5=;0;6)<;9;067>h5<10:76a=5083>!43138>?6`=4981?>i5h5<10876l8:18a>1"3"3<6:3y1`?402tcjh7>5;hcf>5<5<#:=31>8=4n36;>5=36<54o372>5<#:=31>8=4n36;>7=i6=4+25;960536>54ic`94?"5<00im6`=4983?>oe13:1(?:6:cc8j72?2810eo650;&10<26oo4n36;>6=0;684<:6yO72d=9rB:h95rL25`><}#<<<1?o74$56f>g7<,=?;6?:n;%67g?gc3g>?47?4$56g>dc26<5+4409766j6<5r$21e>4ca3`ko6=44i`g94?=nj80;66a=4`83>>o4;90;66l8:186>7<2sE9>i530@>9m:0y'511=<<>0qdo::188md1=831bm=4?::ka5?6=3f8?m7>5;|`03d<7280;6=u+32d956><@:=27b?<7;29?xufl3:1>vPnd:?4>d6v38:36b?[43i2wx>?;50;0xZg7<5>0i=6srb2;:>5<4290;w)=;4?::a7g6=8391<7>t$21e>74f3A9<56g>3`83>>o6;k0;66a=2783>>{tim0;6?uQae9>3?gc3tyji7>52z\ba>;4j90:?o5rsc394?4|Vk;01>76:01a?xu5=?:180[548278544>3`9>7g6=9:k0q~=68;296~;02k;01>76:305?xu4io0;6?u27;cf?85e8389:6srb983>0<42>qG?:l51zJ2`1=zD:=h64u+44497g?<,=>n6o?4$573>72f3->?o7ok;o67o6lk4n56:>4=#<<81?>>4n56b>4=z,:9m65<>i5>d0290>6?4:{M14f?7|@8n?7p*<3g82`a=nj80;66a=4`83>>ofl3:17doj:188md1=831i;7>55;294~"4;o0:<;5G36;8H61e28q/=9954468ylg22900el950;9je5<722ci=7>5;n07e?6=3th8;l4?:083>5}#;:l1=>64H25:?j74?3:17p}nd;296~Xfl27<6l>4}rcf>5<5sWkn7095a49~w7442909w0=8a;303>;02h=0q~<=4;296~;02;>j7S<;a:p673=838pRo?4=68a5>{zj:326=4<:183!54n3<87E=89:k27d<722c:?o4?::m163<722wi?o>50;194?6|,:9m6?o6;h0;66g>3c83>>i5:?0;66s|ae83>7}Yim16;7ok;|qba?6=:rTji63289i7p}=4`83>7}Y:=k01:4=4`9~w6572908wS=<0:?0=<<6;h16?o>512c8yv5>03:1>v38:c3896?>2;8=7p}7}:?3kn70=m0;012>{zj8k1<7;53;5xH61e28qC=i:4}M14g??|,=?=6>l6;%67a?d63->><7<;a:&70f;%67`?gb3g>?57?4$571>6573g>?m7?4}%10b?7a92cjh7>5;hcf>5<{I3g0>{#;:l1=ij4ic394?=h:=k1<75fae83>>ofm3:17do8:188f2<72<0;6=u+32d9550<@:=27A=8b;3x 4202=??7pgn5;29?lg02900el>50;9jf4<722e98l4?::a72g=83;1<7>t$21e>45?3A9<56a>3683>>{tim0;6?uQae9>3?g73tyji7>52z\ba>;02h?0q~<=3;296~;4?h0:?:527;c4?xu5:=0;6?u27;07e>X5N4?01b=>o50;9j56d=831d>?850;9~f6d729086=4?{%10b?45i2B8;45f12c94?=n9:h1<75`23494?=z{hn1<7l4}r`2>5<5sWh:70=69;30f>{t:=k1<77}:?3h:70=69;012>{t;hl1<7;4j909>;5r}c07>5<22:0=92.?8i4ne:l70<<63->>>7=<0:l70d<63t.8?k4>f39jea<722cji7>5;h`2>5<j6=44i213>5<0;684=:4yO72d=9rB:h95r$21e>4bc3`h:6=44o36b>5<>of?3:17o950;794?6|,:9m6<>9;I14=>J4?k0:w)?;7;660>{ni<0;66gn7;29?lg72900eo?50;9l61g=831vn>9n:182>5<7s-98j7?<8:J03<=h9:=1<75rs`f94?4|Vhn01:4n0:pe`<72;qUmh527;c6?xu5::0;6?u236c9561<5>0j;6s|23694?4|5>098l5Q25c8yv45=3:1>vPm1:?4>g75}#;:l1:>5G36;8m45f2900e<=m:188k7412900qo=m0;297?6=8r.8?k4=2`9K72?5<5<5sWko7095ae9~wdc=838pRlk4=2`3>45e3tyi=7>52z\a5>;4100:?o5rs36b>5<5sW8?m638:36b?xu4;90;6>uQ322896?>289j70=m0;30e>{t;021<7;41009>;5rs2ce>5<5s4=1mh523c2967055z\b`>;02hn0154nd:?2e?gc348?6lj4}rcf>5<2sWkn7095ad9>;02:9;7065322894g=;::01?:53228yv42<3:1>vP=559>61<5

;<:961g<,:8i6?;<;o11e?452z\10g=:?38?m6*<2c8116=i;;k1?6s|bc83>7}Yjk16>94m1:&06gl4?;|qa=?6=:rTi563>a;`2?!55j3hj7c==a;38yvd?2909wSl7;<:9f4=#;;h1nl5a33c96>{tj;0;6?uQb39>3?d63-99n7ln;o11e?5d}K;>h1=vF>d59~H61d244={3g96=36=54o23f>5<#:=31?4=36?54o23;>5<#:=31?6=1<7*=488g7>h5<10;76gk2;29 72>2m90b?:7:098ma7=83.9844k3:l10=<532cho7>5$36:>a536>54b33b>5<42808wA=8b;3xL4b33t.8?k4>fe9jg<<722chm7>5;n123?6=3k9397>53;191~"4;o08485fc883>>odi3:17b=>7;29?g5?:3:1?7>50z&07c<1;2B8;45f12c94?=n9:h1<75`23494?=zj:286=4<:183!54n3<87E=89:k27d<722c:?o4?::m163<722wi?5:50;194?6|,:9m6?o6;h0;66g>3c83>>i5:?0;66s|c883>6}Yk016?5<512`896>4289j7p}la;297~Xdi2784?4>3`9>7=5=9:h0q~=>7;296~X49>16?5:52348yv5?93:1>v3<838163=:;1>1=>o4}r1;6?6=:r784>4=279>7=2=9:h0qp}l9;296~Xd1278484l9:pgd<72;qUol523979gd=z{:;<6=4={_123>;40<08=:5r}c02f?6=;3;1?vB<7c82M7c<2w/?>h51gf8mf?=831bol4?::m052<722h8484?:280>0}#;:l1?5;4ib;94?=nkh0;66a<1683>>d40;0;6>4?:1y'76`=>:1C?:74i01b>5<5<53;294~"4;o0=?6F<789j56g=831b=>l50;9l670=831vn>6;:180>5<7s-98j7<=a:J03<=n9:k1<75f12`94?=h:;<1<75rsb;94?5|Vj301>6=:01a?85?;3;8m6s|c`83>6}Ykh16?5<512c896>4289i7p}<1683>7}Y;8=01>6;:305?xu4080;6?u23909670<5:2?6<=n;|q0<7<72;q6?5=5234896>3289i7ps|c883>7}Yk016?5;5c89~wfg=838pRno4=2:6>fg52z\052=:;1?1?<94}|`15f<72:0:6>uC36`95~N6l=1v(>=i:0dg?le>2900eno50;9l741=831i?5;50;197?3|,:9m6>6:;ha:>5<96;h30e?6=3`;8n7>5;n012?6=3th84>4?:283>5}#;:l1:>5G36;8m45f2900e<=m:188k7412900qo=74;297?6=8r.8?k4=2`9K72?5<5<4sWi270=72;30f>;40:0:?l5rsbc94?5|Vjk01>6=:01b?85?;3;8n6s|30594?4|V:;<70=74;012>{t;1;1<7741349387?6<:305?85?<3;8n6srsb;94?4|Vj301>6::b;8yvef2909wSmn;<1;1?ef3ty8=:4?:3y]741<5:2>6>?8;|a7t$21e>34<@:=27d?4?:1y'76`=>;1C?:74i01b>5<5<52;294~"4;o0:8k5G36;8m45>2900c?<9:188yv5>03:1?v3=1c8`=>;41009>;5238`956d52z?15f1/??l530d8j64f291v>?j:181[56m279=o4<169'77d=;8l0b>d:?15d<49>1/??l530d8j64f2;1v>?7:181[560278>54=279'77d=;8l0b>fg<,:8i6i=4n20b>5=z{m81<7=t^e08977e2jk01>7m:01b?!55j3n87c==a;38yvb62908wSj>;<02e?ef349257?l4=;|q`g?6=;4100:?o5233:956?<,:8i6i=4n20b>6=zuzko6=4={_cg?81=im1vlk50;0xZdc<5>0ji6s|32294?4|V:9;70953228yv42<3:1?vP=559>3?42<279=;4k4:&06g<5=:1e??o50:p604=839pR?;=;<59604<5;;=6i<4$20a>7343g99m7?4}r065?6=;rT99<527;065>;59?0o=6*<2c8116=i;;k1>6s|25`94?5|V;>i709525`897712ji0(>39:i6*<2c800i463=17805a=#;;h1?5h4n20b>7=z{:236=4={<59f7=::8<1?<64$20a>6>a3g99m7=4}|`040<72<0968uC36`95~N6l=1v@>9l:0y'001=82w/?>h51d28mg7=831d>9o50;9jea<722cji7>5;hc4>5<0;684?:1y'76`=99<0D>96;hc6>5<>oe93:17b<;a;29?xd4?h0;6<4?:1y'76`=9:20D>96;n303?6=3tyjh7>52z\b`>;02h:0q~oj:181[gb34=1m85rs300>5<5s49d152z?4>72f3W8?m6s|23794?4|Vk;01:4m1:~f621290>6?4:{M14f?7|@8n?7pB<7b82!22?3:0q)=oe93:17b<;a;29?lgc2900elk50;9je2<722h<6=4::183!54n3;;:6F<789je0<722cj;7>5;hc3>5<:183!54n3;846F<789l561=831vlj50;0xZdb<5>0j<6s|ad83>7}Yil16;7o:;|q166<72;q6?:o5125892?:50;0x92<59o4}r011?6=:rTi=638:c38yxd6nl0;6k4=:0axH61e28qC=i:4}M14g?>|,=?<6=5+44497g1<,:hj69;6;%664?43i2.?8n4nd:l70=<63->?h7oj;o67=?7<6:3y21?702tcjh7>5;hcf>5<m6=4+25;961c36=54o36g>5<#:=31>9k4n36;>4=h6=4+25;961c36?54o37a>5<#:=31>9k4n36;>6=36954o37:>5<#:=31>9k4n36;>0=36;54o374>5<#:=31>9k4n36;>2=36554o377>5<#:=31>9k4n36;><=36l54o372>5<#:=31>9k4n36;>g=i6=4+25;961c36n54b683>4e=l3;hwA=8b;3xL4b33tF8;n48{%662?5e12.?8h4m1:&715<5

=92.?8i4ne:l70<<63t.8?k4>f29Y=c<5s891==4rZ20:>7}6=3o1qdok:188mdc=831d>9h50;&10<<59650:9l61b=83.9844=4d9m61>=921d>9m50;&10<<59652:9l60d=83.9844=4d9m61>=;21d>8o50;&10<<59654:9l60?=83.9844=4d9m61>==21d>8650;&10<<59656:9l601=83.9844=4d9m61>=?21d>8850;&10<<59658:9l602=83.9844=4d9m61>=121d>8<50;&10<<5965a:9l607=83.9844=4d9m61>=j21d>9l50;&10<<5965c:9jf3<72-8?57l:;o07!4313h>7c<;8;08?le5290/>975b49m61>=;21bo<4?:%07=?d23g8?47:4;ha3>5<#:=31n85a25:91>=njo0;6)<;9;`6?k4303<07dlj:18'61?=j<1e>9657:9jfa<72-8?57l:;o07<3`hi6=4+25;9f0=i:=21565fb883>!4313h>7c<;8;c8?ld?290/>975b49m61>=j21bn?4?:%07=?d23g8?47m4;c594?3=:3?p@>9m:0yK5a25;hcg>5<>d0290>6=4?{%10b?77>2B8;45fa483>>of?3:17do?:188mg7=831d>9o50;9~f61f290:6=4?{%10b?7402B8;45`12594?=z{hn1<77p}=2283>7}:;>k1=>94=68b3>{t:;>1<75<22;0>wA=8b;3xL4b33t.8?k4>e19jf4<722e98l4?::kb`?6=3`kn6=44i`594?=e?3:197>50z&07c<68?1C?:74i`794?=ni>0;66gn0;29?ld62900c?:n:188yg50i3:1=7>50z&07c<6;11C?:74o014>5<0j96s|23194?4|5:=j6<=8;<59e2=z{;8?6=4={<5961gj7p}=2483>7}Yj816;7l>;|a5d<72<0968uC36`95~N6l=1v(>=i:0g3?ld62900c?:n:188mdb=831bmh4?::kb3?6=3k=1<7;50;2x 65a28:=7E=89:kb1?6=3`k<6=44i`294?=nj80;66a=4`83>>{e;>k1<7?50;2x 65a28937E=89:m272<722wxmi4?:3y]ea=:?3k;7p}ne;296~Xfm27<6l;4}r017?6=:r78;l4>369>3?g03ty9>94?:3y>3?43i2T98l5rs306>5<5sWh:7095b09~yg43290>6?4:{M14f?7|@8n?7p*<3g82a5=nj80;66a=4`83>>ofl3:17doj:188md1=831i;7>55;294~"4;o0:<;5G36;8md3=831bm:4?::kb4?6=3`h:6=44o36b>5<51;294~"4;o0:?55G36;8k4502900q~ok:181[gc34=1m=5rs`g94?4|Vho01:4n5:p675=838p1>9n:014?81=i>1v?<;:18181=:=k0R?:n;|q160<72;qUn<527;`2?x{e:?0;684=:4yO72d=9rB:h95r$21e>4c73`h:6=44o36b>5<>of?3:17o950;794?6|,:9m6<>9;I14=>of=3:17do8:188md6=831bn<4?::m10d<722wi?:o50;394?6|,:9m6<=7;I14=>i6;>0;66s|ae83>7}Yim16;7o?;|qba?6=:rTji638:`78yv45;3:1>v3<7`8272=:?3k<7p}=2583>7}:?38?m6P=4`9~w7422909wSl>;<59f4=zuk9:6=4::386I50j3;pD5;hcf>5<079K72?>of83:17dl>:188k72f2900qo=8a;295?6=8r.8?k4>399K72?5<5sWko7095a19~wdc=838pRlk4=68b1>{t:;91<745034=1m:5rs307>5<5s4=1>9o4^36b?xu5:<0;6?uQb09>3?d63twi?n4?:481>0}K;>h1=vF>d59~ 65a28o;7dl>:188k72f2900elj50;9je`<722cj;7>5;c594?3=83:p(>=i:025?M5012cj97>5;hc4>5<>i5=i:01;?M5012e:?:4?::pea<72;qUmi527;c3?xufm3:1>vPne:?4>d352z?03d<6;>16;7o8;|q161<72;q6;7<;a:\10d=z{;8>6=4={_`2?81=j81vqo=k:186>7<2sE9>i530el;50;9je2<722cj<7>5;h`2>5<j6=44}c14e?6=93:130c<=8:188yvgc2909wSok;<59e5=z{ho1<7?=50;0x961f289<7095a69~w7432909w09525c8Z72f3ty9>84?:3y]f4=:?3h:7psm3d83>0<525<j6=44i`f94?=nil0;66gn7;29?g1=83?1<7>t$21e>4613A9<56gn5;29?lg02900el>50;9jf4<722e98l4?::a72g=83;1<7>t$21e>45?3A9<56a>3683>>{tim0;6?uQae9>3?g73tyji7>52z\ba>;02h?0q~<=3;296~;4?h0:?:527;c4?xu5:=0;6?u27;07e>X55<22;0>wA=8b;3xL4b33t.8?k4>e19jf4<722e98l4?::kb`?6=3`kn6=44i`594?=e?3:197>50z&07c<68?1C?:74i`794?=ni>0;66gn0;29?ld62900c?:n:188yg50i3:1=7>50z&07c<6;11C?:74o014>5<0j96s|23194?4|5:=j6<=8;<59e2=z{;8?6=4={<5961gj7p}=2483>7}Yj816;7l>;|a=?6==3819vB<7c82M7c<2w/?>h51d28mg7=831d>9o50;9jea<722cji7>5;hc4>5<0;684?:1y'76`=99<0D>96;hc6>5<>oe93:17b<;a;29?xd4?h0;6<4?:1y'76`=9:20D>96;n303?6=3tyjh7>52z\b`>;02h:0q~oj:181[gb34=1m85rs300>5<5s49d152z?4>72f3W8?m6s|23794?4|Vk;01:4m1:~fd<72<0968uC36`95~N6l=1v(>=i:0g3?ld62900c?:n:188mdb=831bmh4?::kb3?6=3k=1<7;50;2x 65a28:=7E=89:kb1?6=3`k<6=44i`294?=nj80;66a=4`83>>{e;>k1<7?50;2x 65a28937E=89:m272<722wxmi4?:3y]ea=:?3k;7p}ne;296~Xfm27<6l;4}r017?6=:r78;l4>369>3?g03ty9>94?:3y>3?43i2T98l5rs306>5<5sWh:7095b09~ygd=83?1>7;tL25a>4}O9m>0q)=oe93:17b<;a;29?lgc2900elk50;9je2<722h<6=4::183!54n3;;:6F<789je0<722cj;7>5;hc3>5<:183!54n3;846F<789l561=831vlj50;0xZdb<5>0j<6s|ad83>7}Yil16;7o:;|q166<72;q6?:o5125892?:50;0x92<59o4}r011?6=:rTi=638:c38yxufl3:1ivPnd:?4>db<510jh63>a;cg?8432hn01?85ae9>74db<5:o1mi523g8b`>;>2hn01l4nd:?a>dbdc<5;>1mh52278ba>;493kn70=l:`g896b=il16?h4ne:?0b?gb3431mh52a;cf?8d=il1v?:i:181[43n27i6?:n;%11f?43m2d8>l4?;|q10a<72;qU>9j4=`810d=#;;h1>9k4n20b>4=z{;>h6=4={_07g>;>2;>j7)==b;07a>h4:h097p}=5c83>7}Y:h525c8 64e2;>n7c==a;18yv42i3:1>vP=5`9>7`<5

=838pR?;7;<1`>72f3-99n7<;e:l06d<13ty99:4?:3y]601<5:;1>9o4$20a>72b3g99m794}r062?6=:rT99;5227810d=#;;h1>9k4n20b>==z{;??6=4={_060>;5<38?m6*<2c810`=i;;k156s|24094?4|V;?970?n:36b?!55j38?i6`<2`8b?xu5=80;6?uQ24389=<5

l4l;|qa2?6=:rTi:63m:c38 64e2k?0b>"4:k0i96`<2`82?xue;3:1>vPm3:?:>g7<,:8i6o;4n20b>7=z{j81<7h4:h0?7p}l0;296~Xd8278h7l>;%11f?d23g99m7;4}r`e>5<5sWhm70=l:c38 64e2k?0b>{tjm0;6?uQbe9>63o4m5:l06d52z\af>;5<3h:7)==b;`6?k55i330q~l6:181[d>34;j6o?4$20a>g3l4m;|qa6?6=:rTi>638:c38 64e2k?0b>3:1=l4>8;06I50j3;pD>:7=m3:&70c6;d9yj56k3:1(?:6:23a?k4303:07b=>a;29 72>2:;i7c<;8;38?j5613:1(?:6:23a?k4303807b==7;29 72>2:;i7c<;8;18?j55>3:1(?:6:23a?k4303>07b==5;29 72>2:;i7c<;8;78?j55<3:1(?:6:23a?k4303<07b==3;29 72>2:;i7c<;8;58?j55:3:1(?:6:23a?k4303207b==0;29 72>2:;i7c<;8;;8?j56m3:1(?:6:23a?k4303k07b=>d;29 72>2:;i7c<;8;`8?j5603:1(?:6:23a?k4303i07dj?:18'61?=ko1e>9650:9jg`<72-8?57mi;o0765fdc83>!4313im7c<;8;18?lbf290/>975cg9m61>=<21bh44?:%07=?ea3g8?47;4;hf;>5<#:=31ok5a25:92>=nl>0;6)<;9;ae?k4303=07dj9:18'61?=ko1e>9658:9j`1<72-8?57mi;o07!4313im7c<;8;`8?led290/>975cg9m61>=k21i>?8:188f6>229086>4:{%10b?5?=2ch57>5;hab>5<5<4290;w)=;4?::a7=5=8391<7>t$21e>35<@:=27d?4?:1y'76`=:;k0D>96;h30e?6=3`;8n7>5;n012?6=3tyh57>53z\`=>;40;0:?o52391956g;40=09>;5rs2:2>5<5s493>7<=6:?0<1<6;h1v>6=:18185?;389:63<85827g=zuzi26=4={_a:?85?=3i27p}la;296~Xdi278484la:p741=838pR>?8;<1;1?56?2wvn??m:180>4<4sE9>odi3:17b=>7;29?g5?=3:1?7=55z&07c<40<1bo44?::k`e?6=3f9:;7>5;c1;6?6=;3:1N4?01b=>o50;9j56d=831d>?850;9~f6>429086=4?{%10b?043A9<56g>3`83>>o6;k0;66a=2783>>{e;1>1<7=50;2x 65a2;8j7E=89:k27d<722c:?o4?::m163<722wxo44?:2y]g<=:;181=>l4=2:0>45f3tyhm7>53z\`e>;40;0:?l52391956d52z\052=:;1>1>?84}r1;5?6=:r784?4=279>7=2=9:k0q~=72;296~;40:09>;52396956d52z\`=>;40<0h56s|c`83>7}Ykh16?5;5c`9~w6702909wS=>7:?0<0<49>1vqo<>c;297?7=;rF8;o4>{I3g0>{#;:l1=kj4ib;94?=nkh0;66a<1683>>d40<0;6>4<:4y'76`=;1?0en750;9jgd<722e8=:4?::`0<7<72:0;6=u+32d926=O;>30e<=n:188m45e2900c?<9:188yg5?;3:1?7>50z&07c<1;2B8;45f12c94?=n9:h1<75`23494?=zj:2?6=4<:183!54n389m6F<789j56g=831b=>l50;9l670=831vn750;1xZf?<5:296<=m;<1;7?74i2wxol4?:2y]gd=:;181=>o4=2:0>45e3ty8=:4?:3y]741<5:2?6?<9;|q0<4<72;q6?5<5234896>3289j7p}<8383>7}:;191>?84=2:7>45e3twxo44?:3y]g<=:;1?1o45rsbc94?4|Vjk01>6::bc8yv56?3:1>vP<169>7=3=;8=0qpl=1e83>6<62:qG?:l51zJ2`1=z,:9m65<7dm6:188mfg=831d?<950;9a7=4=8391<7>t$21e>35<@:=27d?4?:1y'76`=>:1C?:74i01b>5<5<53;294~"4;o09>l5G36;8m45f2900e<=m:188k7412900q~m6:180[e>3493>7?6=:305?85?<3;8m6s|39094?4|5:286?<9;<1;0?74j2wvn750;0xZf?<5:2>6n74}rab>5<5sWij70=75;ab?xu49>0;6?uQ305896>22:;<7psm20g94?5=939p@>9m:0yK5a25<6=4<:286!54n39396gl9;29?lef2900c>?8:188f6>529086=4?{%10b?043A9<56g>3`83>>o6;k0;66a=2783>>{e;191<7=50;2x 65a2?90D>96;h30e?6=3`;8n7>5;n012?6=3th8494?:283>5}#;:l1>?o4H25:?l74i3:17d?3:17p}l9;297~Xd12784?4>3c9>7=5=9:k0q~mn:180[ef3493>7??8:181[56?278494=279~w6>62909w0=72;012>;40=0:?l5rs2:1>5<5s493?7<=6:?0<1<6;k1vq~m6:181[e>349397m6;|q`e?6=:rThm63<848`e>{t;8=1<75<42808wA=8b;3xL4b33t.8?k4>fe9jg<<722chm7>5;n123?6=3k9397>53;191~"4;o08485fc883>>odi3:17b=>7;29?g5?:3:1?7>50z&07c<1;2B8;45f12c94?=n9:h1<75`23494?=zj:286=4<:183!54n3<87E=89:k27d<722c:?o4?::m163<722wi?5:50;194?6|,:9m6?o6;h0;66g>3c83>>i5:?0;66s|c883>6}Yk016?5<512`896>4289j7p}la;297~Xdi2784?4>3`9>7=5=9:h0q~=>7;296~X49>16?5:52348yv5?93:1>v3<838163=:;1>1=>o4}r1;6?6=:r784>4=279>7=2=9:h0qp}l9;296~Xd1278484l9:pgd<72;qUol523979gd=z{:;<6=4={_123>;40<08=:5r}c014?6=;3;1?vB<7c82M7c<2w/?>h51gf8mf?=831bol4?::m052<722h8484?:280>0}#;:l1?5;4ib;94?=nkh0;66a<1683>>d40;0;6>4?:1y'76`=>:1C?:74i01b>5<5<53;294~"4;o0=?6F<789j56g=831b=>l50;9l670=831vn>6;:180>5<7s-98j7<=a:J03<=n9:k1<75f12`94?=h:;<1<75rsb;94?5|Vj301>6=:01a?85?;3;8m6s|c`83>6}Ykh16?5<512c896>4289i7p}<1683>7}Y;8=01>6;:305?xu4080;6?u23909670<5:2?6<=n;|q0<7<72;q6?5=5234896>3289i7ps|c883>7}Yk016?5;5c89~wfg=838pRno4=2:6>fg52z\052=:;1?1?<94}|`164<72:0:6>uC36`95~N6l=1v(>=i:0dg?le>2900eno50;9l741=831i?5;50;197?3|,:9m6>6:;ha:>5<96;h30e?6=3`;8n7>5;n012?6=3th84>4?:283>5}#;:l1:>5G36;8m45f2900e<=m:188k7412900qo=74;297?6=8r.8?k4=2`9K72?5<5<4sWi270=72;30f>;40:0:?l5rsbc94?5|Vjk01>6=:01b?85?;3;8n6s|30594?4|V:;<70=74;012>{t;1;1<7741349387?6<:305?85?<3;8n6srsb;94?4|Vj301>6::b;8yvef2909wSmn;<1;1?ef3ty8=:4?:3y]741<5:2>6>?8;|a674=8391=7=tL25a>4}O9m>0q)=od13:17dmn:188k6702900n>6::180>6<2s-98j7=75:k`=?6=3`ij6=44o234>5<3:17pl<8583>6<729q/?>h523c8L61>3`;8m7>5;h30f?6=3f89:7>5;|q`=?6=;rTh563<83827g=:;191=>o4}rab>5<4sWij70=72;30e>;40:0:?o5rs234>5<5sW9:;63<858163=z{:2:6=4={<1;6?45>278494>3`9~w6>52909w0=73;012>;40=0:?o5r}ra:>5<5sWi270=75;a:?xudi3:1>vPla:?0<07:~f77029086<4<{M14f?7|@8n?7p*<3g82ba=nk00;66gla;29?j56?3:17o=75;297?5==r.8?k4<849jg<<722chm7>5;n123?6=3k93>7>53;294~"4;o0=?6F<789j56g=831b=>l50;9l670=831vn>6<:180>5<7s-98j78<;I14=>o6;h0;66g>3c83>>i5:?0;66sm39694?5=83:p(>=i:30b?M5012c:?l4?::k27g<722e9>;4?::pg<<72:qUo452390956d<5:286<=n;|q`e?6=;rThm63<83827d=:;191=>l4}r123?6=:rT8=:52396967052z?0<7<5:?16?5:512c8yv5?:3:1>v3<828163=:;1>1=>l4}|q`=?6=:rTh563<848`=>{tkh0;6?uQc`9>7=3=kh1v>?8:181[56?278484<169~yg4603:1?7?53zN03g<6sA;o86s+32d95cb>i49>0;66l<8483>6<42h53978mf?=831bol4?::m052<722h84?4?:283>5}#;:l1:>5G36;8m45f2900e<=m:188k7412900qo=73;297?6=8r.8?k493:J03<=n9:k1<75f12`94?=h:;<1<75rb2:7>5<4290;w)=N4?01b=>o50;9j56d=831d>?850;9~wf?=839pRn74=2:1>45e3493?7?7413ty84<4?:3y>7=4=:;<01>6;:01b?xu40;0;6?u23919670<5:2?6<=m;|pg<<72;qUo4523979g<=z{jk1<722jk0q~=>7;296~X49>16?5;53058yxd5900;6>4>:2yO72d=9rB:h95r$21e>4`c3`i26=44ibc94?=h;8=1<75m39794?5=;3?p(>=i:2:6?le>2900eno50;9l741=831i?5<50;194?6|,:9m6;=4H25:?l74i3:17d?3:17pl<8283>6<729q/?>h5629K72?5<30e<=n:188m45e2900c?<9:188yve>2908wSm6;<1;6?74j2784>4>3`9~wfg=839pRno4=2:1>45f3493?7??8;<1;0?45>2wx?5?50;0x96>52;8=70=74;30e>{t;181<7741349387?f?7}Y;8=01>6::234?x{e;031<7=50;2x 65a2?80D>96;h30e?6=3`;8n7>5;n012?6=3th85o4?:283>5}#;:l1:?5G36;8m45f2900e<=m:188k7412900qo=6d;297?6=8r.8?k492:J03<=n9:k1<75f12`94?=h:;<1<75rb2;e>5<4290;w)=;4?::a7d7=8391<7>t$21e>34<@:=27d?4?:1y'76`=>;1C?:74i01b>5<5<53;294~"4;o0=>6F<789j56g=831b=>l50;9l670=831vn>o8:180>5<7s-98j78=;I14=>o6;h0;66g>3c83>>i5:?0;66sm3`;94?5=83:p(>=i:708L61>3`;8m7>5;h30f?6=3f89:7>5;|`0eg<72:0;6=u+32d927=O;>30e<=n:188m45e2900c?<9:188yg5fl3:1?7>50z&07c<1:2B8;45f12c94?=n9:h1<75`23494?=zj:836=4=:183!54n3;?j6F<789j56?=831d>?850;9~w6??2908w0<>b;a:?85>1389:63<9c827g=z{:3j6=4<{<02g?e>3492n7<=6:?0=a<6;k1v>7l:180846l3i270=6d;012>;41o0:?o5rs2;f>5<4s48:i7m6;<1:b?45>278m<4>3c9~w6g72908w0<>f;a:?85f9389:63349j?7<=6:?0e0<6;k1v>ol:18184613i270=nd;012>{t;h>1<7=t=302>f?<5:k>6?<9;<1b3?74j2wx?l850;1x97452j301>o8:305?85f13;8n6s|3`:94?5|5;;<6n74=2c:>741349jn7?{t;8i1<78;123>"4:k08=o5a33c95>{t;831<76s|33594?4|V:8<70<=2;123>"4:k08=o5a33c97>{t;;<1<770<=0;123>"4:k08=o5a33c91>{t;;>1<7e;123>"4:k08=o5a33c93>{t;;81<7c;123>"4:k08=o5a33c9=>{t;8o1<7a;123>"4:k08=o5a33c9f>{t;821<77}Yl916><75c`9'77d=ko1e??o50:pg`<72:qUoh5220:9gd=:;hn1=>o4$20a>f`7mn;<1b=?74i2.8>o4lf:l06d<43tyom7>53z\ge>;5:80hm63{tl00;6>uQd89>676=kh16?l;512c8 64e2jl0b>=839pRi64=33e>fg<5:k86<=n;%11f?ea3g99m784}rf4>5<4sWn<70<>e;ab?85f93;8m6*<2c8`b>h4:h0<7p}k6;297~Xc>279=i4la:?0=c<6;h1/??l5cg9m77g=02wxh94?:2y]`1=::8i1ol5238f956g<,:8i6nh4n20b><=z{m81<7=t^e08977e2jk01>7m:01b?!55j3im7c==a;c8yvb62908wSj>;<02e?ef349257?l4m;|q`g?6=;4100:?o5233:956?<,:8i6nh4n20b>f=zuzko6=4={_cg?81=im1vlk50;0xZdc<5>0ji6s|25d94?5|V;>m709525d897712m:0(>o4=4d9m77g=92wx>9m50;1xZ72d34=1>9m4=335>fb<,:8i6?:j;o11e?4n7>53z\11g=:?38>n63=178gf>"4:k098h5a33c97>{t:n7c==a;68yv4213:1?vP=589>3?421279=;4k9:&06g<5=839pR?;7;<5960><5;;=6i64$20a>72b3g99m784}r063?6=;rT99:527;063>;59?0o;6*<2c810`=i;;k1;6s|24494?5|V;?=7095244897712m<0(>o4=4d9m77g=12wx>8<50;1xZ73534=1>8<4=335>a4<,:8i6?:j;o11e?g=7>53z\114=:?38>=63=178g5>"4:k098h5a33c9f>{t:=h1<7=t^36a?81=:=h01??9:ba8 64e2;>n7c==a;a8yv5?k3:1>v38:c4897712:;h7)==b;1;f>h4:h0;7p}<8`83>7}:?3h?70<>6;12e>"4:k084o5a33c95>{t;131<7;59?08=45+33`97=d5<5s4=1o?522049771<,:8i6>6m;o11e?552z?4>f7<5;;=6><9;%11f?5?j2d8>l4;;|q0=0<72;q6;7m?;<022?55=2.8>o4<8c9m77g==2wx?4:50;0x92640=;;90(>399<6*<2c800i563=17805`=#;;h1?5l4n20b>d=z{:2o6=4={<59f==::8<1?6>e3g99m7l4}r1;67?3-99n7=7b:l06d=j50;796?3|D:=i6:188k72f2900elj50;9je`<722cj;7>5;c594?3=83:p(>=i:025?M5012cj97>5;hc4>5<>i5=i:01;?M5012e:?:4?::pea<72;qUmi527;c3?xufm3:1>vPne:?4>d352z?03d<6;>16;7o8;|q161<72;q6;7<;a:\10d=z{;8>6=4={_`2?81=j81vqo=i8;297?7=;rF8;o4>{I3g0>{K;>i1=v*;5683?x"4;o0:hh5fb083>>i5t$21e>4623A9<56gn0;29?ld62900c?:n:188yvgc2909wSok;<59e5=z{;886=4={<5961gj7p}=2583>7}Yj816;7l>;|auC36`95~N6l=1v@>9l:0y'001=82w/?>h51eg8mg7=831d>9o50;9jea<722h<6=4<:183!54n3;;96F<789je5<722ci=7>5;n07e?6=3tyjh7>52z\b`>;02h:0q~<=3;296~;02;>j7S<;a:p672=838pRo?4=68a5>{zj=896=4<:080I50j3;pD>;7>4}%10b?7cm2ci=7>5;n07e?6=3`ko6=44b683>6<729q/?>h51178L61>3`k;6=44ic394?=h:=k1<75rs`f94?4|Vhn01:4n0:p675=838p1:4=4`9]61g52z\a5>;02k;0qpl6<62:qG?:l51zJ2`1=zD:=h6{#;:l1=ik4ic394?=h:=k1<75fae83>>d029086=4?{%10b?77=2B8;45fa183>>oe93:17b<;a;29?xufl3:1>vPnd:?4>d652z?4>72f3W8?m6s|23694?4|Vk;01:4m1:~f775290n6>4>0z&07c<59;1d>=k50;9j5c`=831b>=:50;9j653=831b>=850;9j651=831b>=650;9j65?=831b>=o50;9j65d=831b>=m50;9j656=831b>=?50;9j655=831i>=h50;;94?6|,:9m6:=4H25:?l74i3:17d?3:17pl=1183>3<729q/?>h56d9K72?5<5<5<53;294~"4;o0=>6F<789j56g=831b=>l50;9l670=831v?>j:181[47m279=<4=279~w4`a2909wS?if:?155<6;h1v?>;:181[47<2793`9~w7622909wS9:181[47>2793b9~w7602909wS7:181[4702793e9~w76>2909wSn:181[47i279409~w76e2909wSl:181[47k279==4>3c9~w7672909wS>:181[479279==4>3b9~w7642909wSi:181847n389:63=10827d=z{;;;6=4={<024?45>279=<4>3c9~yg?2290>6>48{%10b??23f3;6=44i06g>5<=i:718L61>3`;8m7>5;h30f?6=3f89:7>5;|`:7?6=;3:130e<=n:188m45e2900c?<9:188yv?72909wS7?;<;0>7413ty:8i4?:3y]51b<50>1=>l4}r1g=?6=:rT8h45295827d=z{0<1<7a34396<=m;|q:5?6=:r7287<=6:?:7?74i2wx5?4?:3y>=7<5:?165>4>3c9~yg5b93:197=57z&07c<4m81d?im50;9j51e=831b?io50;9j7`4=831b?i750;9a7`6=8391<7>t$21e>35<@:=27d?4?:1y'76`=>:1C?:74i01b>5<5<53;294~"4;o09>l5G36;8m45f2900e<=m:188k7412900q~=kc;296~X4lj16?ih52348yv73k3:1>vP>4b9>7`6=9:h0q~=ka;296~X4lh16?h>512c8yv5b:3:1>vP7ac=9:k0q~=k9;296~X4l016?ik512`8yv5cl3:1>v3o4}r1ga?6=:r78hh4=279>7a`=9:h0qpl>4`83>1<729q/?>h5649K72?5<5<3:17pl<4983>0<729q/?>h56c9K72?5<5<N4?01b=>o50;9j56d=831b=>m50;9j56b=831d>?850;9~f66c290>6=4?{%10b?0d3A9<56g>3`83>>o6;k0;66g>3b83>>o6;m0;66a=2783>>{e;8;1<7=50;2x 65a2;8j7E=89:k27d<722c:?o4?::m163<722wi5:4?:583>5}#;:l1>?m4H25:?l74i3:17d?4?:1y'76`=>:1C?:74i01b>5<5<53;294~"4;o09>l5G36;8m45f2900e<=m:188k7412900qo=?f;296?6=8r.8?k4>4g9K72?5<>::`f896212hn01h7:`f89=`=im168?<5ae9>7a?=im1v>>::181[57=278<84=4`9~w6b>290>wS=k9:?0`<<57`7=;m301>jm:01b?xub=3:1>vPj5:?eb?d53ty8j:4?:3y]7c1<5:l36o?4}r616?6=:rT?>?52430961ga2;>j707::9d8yv7313:1>v3>4982ga=:9=k1>?84}r13e?6=:r78747=9:k0q~=?c;296~;48m09>;52303956d53z?20=<5;=165:4>3`9>7`5=9:k0q~?;c;290~;6<109?;523d3951e<50=1=>l4=2g0>45e3ty:8i4?:2y>51>=::2014;515f89<1=9:i0q~=?e;296~;48<0i=63<0g8163=z{8>n6=4={<37?4m1:p7`4=838p1>k>:2g1?85b;389:6s|3ea94?4|5:n26o?4=2g2>6bd3tyn:7>56z?0053`9>a2<5:?16?9o512`8yv5313:18v3<418075=:9oo1mh5221f9e`=:;=k1>?84}r;3>5<5s42m6o?4=879=5=z{0<1<709>;5rs32f>5<5s48;h7l>;<026?47m2wx?9850;1x96212;>j70?;a;30f>;45<4s4;?47?le:?0b=<5v3<478a5>;4<109>;5rs2fb>5<5s49n=7=ka:?0`g<5:?1v>??:1818569389:63<0g827<=z{;:o6=4={<03`?43i27:8l4>3b9~w622290>wS=>2:?005<5==16?96512f8966e289o70=?d;30g>"4:k08895a33c94>{t;=91<7:t=263>735349?47??7c==a;38yv53:3:18v3<418114=:;=21=>o4=22a>45e349;h7?p1>:?:36a?85303;8o63<0c827d=:;9n1=>j4$20a>6233g99m7=4}r337?6=:r7mj7<:1:?f3?74j2.8>o4>039m77g=82wx==?50;1x9c`=j116jk4=4c9>a2<6;h1/??l51108j64f281v?><:18187am38?j63=138146=#;;h1>=<4n20b>5=z{;::6=4={<3ea?43l279=?4=009'77d=:980b>;59;09<=5+33`96545<5s4;mi7<:b:?157<58j1/??l52108j64f2:1v?>m:18187am38>m63=13814g=#;;h1>=<4n20b>1=z{;:j6=4={<3ea?421279=?4=0`9'77d=:980b>2909w0?ie;06<>;59;09<45+33`96545<5s4;mi7<:7:?157<5811/??l52108j64f2>1v?>8:18187am38>:63=138142=#;;h1>=<4n20b>==z{;:=6=4={<3ea?42<279=?4=079'77d=:980b>;59;09<85+33`96545<5s4;mi7<:1:?157<58=1/??l52108j64f2k1v=<4n20b>f=zuk9in7>59;a954}K;>h1=vF>d59~H61d2;qe8865869'001=>2w/?>h51238k1272900c>k8:188m6e12900c4650;9j552=831b>h950;9j6`?=831b>hl50;9j514=831i;94?:281>1}K;>h1=vF>d59~H61d28q/88952:'76`=9o?0eo?50;9l61g=831bmi4?::`4>5<4290;w)=N4?01G?:l51z&202<3==1vel>50;9jf4<722e98l4?::af5<72;0;6=u+32d951`<@:=27d?<9;29?j45>3:17p}nd;296~Xfl27i<7?<9:p675=838p1:4n0:?a4?45>2wx>?:50;0x92<59o4}r011?6=:rTi=638:c38yxd5m10;684=:4yO72d=9rB:h95rL25`>d}#<<=1>6*;5780f<=#<=o1n<5+442961g<,=>h6lj4n56;>4=#<=n1mh5a45;95>"3=;08?=5a45c94>{#;:l1=k:4i`f94?=nil0;66gm1;29?j43i3:17d=<0;29?g1=83?1>7;tL25a>4}O9m>0q)=oe93:17b<;a;29?lgc2900elk50;9je2<722h<6=4::183!54n3;;:6F<789je0<722cj;7>5;hc3>5<:183!54n3;846F<789l561=831vlj50;0xZdb<5>0j<6s|ad83>7}Yil16;7o:;|q166<72;q6?:o5125892?:50;0x92<59o4}r011?6=:rTi=638:c38yxd4j90;6>4?:1y'76`=:;h0D>96;h30e?6=3`;8n7>5;n012?6=3tyjh7>52z\b`>;02hn0q~oj:181[gb349i<7?53z\075=:?3h:70=m0;30e>{t;hl1<7;4j909>;5r}c0fe?6==3819vB<7c82M7c<2wG?:m5az&712<53->>:7=m9:&70`;%666?5482d?8l4?;|&07c<6n=1bmi4?::kba?6=3`h:6=44o36b>5<0<525<j6=44i`f94?=nil0;66gn7;29?g1=83?1<7>t$21e>4613A9<56gn5;29?lg02900el>50;9jf4<722e98l4?::a72g=83;1<7>t$21e>45?3A9<56a>3683>>{tim0;6?uQae9>3?g73tyji7>52z\ba>;02h?0q~<=3;296~;4?h0:?:527;c4?xu5:=0;6?u27;07e>X530e<=n:188m45e2900c?<9:188yvgc2909wSok;<59ea=z{ho1<77}Y:=k01:4=4`9~w6572908wS=<0:?4>g7<5:h;6<=n;|q0ec<72;q6;7oj;<1a4?45>2wvn>k8:186>6<0sE9h3<10:7):;d;cf?k2313;0(9;<:21;?k23j3:0q)=ofl3:17doj:188mg7=831d>9o50;9j76>=831i;7>55;091~J4?k0:wE?k4:'76`=9mn0eo?50;9l61g=831bmi4?::kba?6=3`k<6=44b683>0<729q/?>h51148L61>3`k>6=44i`594?=ni90;66gm1;29?j43i3:17pl<7`83>4<729q/?>h512:8L61>3f;8;7>5;|qb`?6=:rTjh638:`28yvgb2909wSoj;<59e0=z{;886=4={<14e?74?27<6l94}r010?6=:r7<6?:n;_07e>{t:;?1<7l?:180>5<7s-98j7<=b:J03<=n9:k1<75f12`94?=h:;<1<75rb2`1>5<4290;w)=N4?01b=>o50;9j56d=831d>?850;9~wdb=838pRlj4=68b`>{til0;6?uQad9>7g4=9:h0q~l>:181[d6349i<7?53z\07==:;k:1=>o4=2`1>45f3ty8n<4?:3y>3?gb349i>7<=6:p7d`=838p1:4m1:?0f5<5:?1vqo=;e;291?5=?rF8;o4>{I3g0>{K;>i1mv*;5681?!22>39i56*;4d8a5>"3=9098l5+45a9ea=i<=21=6*;4e8ba>h3<00:7)::2;104>h30}K;>h1=vF>d59~ 65a28no7dl>:188k72f2900elj50;9je`<722cj;7>5;c594?3=83:p(>=i:025?M5012cj97>5;hc4>5<>i5=i:01;?M5012e:?:4?::pea<72;qUmi527;c3?xufm3:1>vPne:?4>d352z?03d<6;>16;7o8;|q161<72;q6;7<;a:\10d=z{;8>6=4={_`2?81=j81vqo=69;297?6=8r.8?k492:J03<=n9:k1<75f12`94?=h:;<1<75rb2`3>5<4290;w)=N4?01b=>o50;9j56d=831d>?850;9~wdb=838pRlj4=68b`>{til0;6?uQad9>7g6=9:h0q~l>:181[d6349257?53z\075=:;031=>o4=2`3>45f3ty8554?:3y>3?d6349257<=6:p7d`=838p1:4ne:?0f5<5:?1vqo76:180>5<7s-98j7<=b:J03<=n9:k1<75f12`94?=h:;<1<75rb3g`>5<4290;w)=;4?::a013=8381<7>t$21e>42a3A9<56g>3883>>i5:?0;66sm2dg94?5=83:p(>=i:30b?M5012c:?l4?::k27g<722e9>;4?::a6c6=8391<7>t$21e>74f3A9<56g>3`83>>o6;k0;66a=2783>>{e<=>1<7=50;2x 65a2?90D>96;h30e?6=3`;8n7>5;n012?6=3th<:7>52;294~"4;o0:8k5G36;8m45>2900c?<9:188yv2383:1>vP;419>013=:;<0q~=j7;296~X4m>16?h9525c8yv5d>3:18vP=<<6;h1689:512c8920=9:30q~77:185[??348n47=<0:?1ad<4;916?h9532:8962b2:9;7076:305?xu68=0;6;uQ1168922=im16>h65ae9>6`g=im16?h95ae9>71c=im1v?k8:180[4b?279i54ne:?1a`<6;h1v?k6:180[4b1279il4ne:?1b5<6;h1v<:=:180[73:278i:4m1:?00`31<53c9~w7cc2909w0;5ml09>;5rs3ge>5<5s48no7?:j:181853m38?m63;45827g=z{;o36=4={<0f3c9~w7cf2909w0;5n90:?o5rs560>5<5s4>?97?<9:?701<5:?1v?k9:18085b?3kn70=;e;cf?84bk389:6s|7483>7}:?=0i=6386;012>{zj=;m6=4<:080I50j3;pD>;784}%10b?7c12cmi7>5;h17f?6=3f9:;7>5;c594?5=83:p(>=i:8g8L61>3`;857>5;hg6>5<5<5sWln7095e49~w7442909w0952348Z6703ty9>94?:3y]71d<5>0:?45r}c1`=?6=;3;1?vB<7c82M7c<2wG?:m51z&712<13t.8?k4>d89jb`<722c88o4?::m052<722h<6=4<:183!54n33n7E=89:k27<<722cn97>5;n012?6=3tymi7>52z\ea>;02l?0q~<=3;296~;02;8=7S=>7:p672=838pR>:m;<5956?6}K;>h1=vF>d59~H61d28q/88956:'76`=9m30ekk50;9j71d=831d?<950;9a3?6=;3:1N4?01b=>750;9ja0<722e9>;4?::pb`<72;qUjh527;g6?xu5::0;6?u27;012>X49>1v?<;:181[53j27<6<=6;|a07e=8391=7=tL25a>4}O9m>0qA=8c;3x 1302?1v(>=i:0f:?l`b2900e>:m:188k6702900n:4?:283>5}#;:l15h5G36;8m45>2900eh;50;9l670=831vkk50;0xZcc<5>0n96s|23194?4|5>09>;5Q3058yv45<3:1>vP<4c9>3?7412wvn9=7:180>4<4sE97;29?g1=8391<7>t$21e>7}Y;=h01:4>389~yg5d93:1>7>50z&07c<65<30e<=6:188k7412900qo=k1;296?6=8r.8?k4>4g9K72?5<53;294~"4;o09>l5G36;8m45f2900e<=m:188k7412900qo=me;296?6=8r.8?k4>4g9K72?5<9=7>52;294~"4;o0:8k5G36;8m45>2900c?<9:188yv26n3:18vP;1g9>7g>=<8l01>ll:53e?826n39:;6s|3d:94?4|V:o370=mb;1f3>{t;j=1<7:t^2a4?822=39h:639m7>53z\76d=:<14>3ty?>84?:3y]073<5=?>69<:;|qb7?6=vP;189>003=<830q~=k7;297~X4l>16?oh53g7896dd2:n97p};1683>7}Y<8=019;::52;?xu4m=0;6?uQ3d6891322:o?7p}7}Y;m301>l9:2f:?xub=3:1?vPj5:?0ff;;<1a>97o9;<1ab?g1349i:7o9;<1af?77<2wx?h750;1xZ6c>349ij7=i6:?0ff<4m>1v>m7:181[5d0278o44<169~w6b72909wS=k0:?0`4<5:?1v>h8:181[5a?278n;48:?710<3;;1v9:=:181[23:278nn4;419~w14e2909wS:=b:?76f<49>1v9>l:181[27k27?984;0b9~wd2=838pRl:4=2``>d27}Y;j:01>m>:305?xu4k=0;6?uQ3b6896e22;8=7p}6}Y;ok019;::2d:?85en39m56s|43194?4|V=8870::5;617>{t;lk1<7{t<:=1<7{t;o;1<7<70=m8;672>;3=<0?8;523cd901052z\0`6=:;kl1?i=4}r126?6=:r7?984<129>7g0=;880q~?63;296~;4j10:5>523cd95<5<7>52z?0fc<6=916?om51428yv2583:1>v3;1g8ea>;3:809>;5rs4c94?4|5:hh6874=2a0>45e3ty>n7>52z?0fc<21278o>4>3`9~w6`c2909w0=mc;1e=>;4nj088o5rs226>5<5s4>>97=?6:?0f3<48<1v4650;6x96d?202019;::8:896da20201>lm:8:8yv5el3:1>v3?84}r3:1?6=:r78nk4>949>7ge=90?0q~<79;296~;3=<0944523c`96`19>7>52z?0f3<3:;168??512;8yv>a29032m70=l9;df?85ak3ln70:=c;df?82403ln70=k1;30=>{t9?21<740?349ij7?98:p5d4=838p1>li:0c1?85em3;856s|43f94?4|5:hh69<6;<61g?53j2wx?n<50;0x96e6289270=l3;012>{t9121<74>?349io7?62:p63e=838p1>l7:34`?822=38=o6s|3bc94?4|5:hh6>mm;<1`=?53j2wx>i850;1x96d?2;n=70::5;0g2>;4jo09h;5rs043>5<5s49ij7?90:?0fg<5m01v<:=:18185e03;?>6327??54<4c9~w4e62909w0=mf;3`5>;4jj0:oo5rs7394?4|5:hm6;?4=2a6>45>3ty9>>4?:3y>72g=9:=019?i:26a?xu5>80;6?uQ273891322;<:7)==b;054>h4:h0;7p}=5g83>7}Y:h0;6?uQ27c891322;h4:h097p}=6883>7}Y:?3019;::34:?!55j38=<6`<2`80?xu5>10;6?uQ27:891322;<37)==b;054>h4:h0?7p}=6683>7}Y:?=019;::344?!55j38=<6`<2`86?xu5>?0;6?uQ274891322;<=7)==b;054>h4:h0=7p}=6483>7}Y:??019;::346?!55j38=<6`<2`84?xu5>=0;6?uQ276891322;h4:h037p}=6283>7}Y:?9019;::340?!55j38=<6`<2`8:?xu5>;0;6?uQ270891322;<97)==b;054>h4:h0j7p}=5d83>7}Y:3:1>vP76:?0f=<282.8>o475:l06d<73ty387>52z\;0>;4j10?i6*<2c8;1>h4:h0:7p}73;297~X?;278n54;d:?710<3l2.8>o475:l06d<53ty3>7>53z\;6>;4j10?n63;5487f>"4:k0396`<2`80?xu?83:1?vP70:?0f=<3127?984;9:&06gl4;;|q4b?6=;rT;3=<0?46*<2c8;1>h4:h0>7p}8e;297~X0m278n54;7:?710<3?2.8>o475:l06d<13ty53z\4`>;4j10?:63;54872>"4:k0396`<2`84?xu0k3:1?vP8c:?0f=<3=27?984;5:&06gl47;|q4f?6=;rT;3=<0?86*<2c8;1>h4:h027p}8a;297~X0i278n54;3:?710<3;2.8>o475:l06d53z\4=>;4j10?>63;54876>"4:k0396`<2`8a?xu003:1?vP88:?0f=<3927?984;1:&06gl4l;|q43?6=;rT<;63;3=<0?<6*<2c8;1>h4:h0o7p}7e;297~X?m278n54:8:?710<202.8>o475:l06d53z\;`>;4j10>;63;54863>"4:k0396`<2`8e?xu?k3:1>vP7c:?710<2>2.8>o475:l06d<682wx4o4?:3y]>2909wS66;<661?343-99n76:;o11e?743ty347>52z\;<>;3=<0>>6*<2c8;1>h4:h0:86s|8683>7}Y0>1688;5509'77d=0<1e??o5149~w=7=838pR5?4=576>1g<,:8i65;4n20b>40=<:sO@Cy3yEFWstJK \ No newline at end of file +$2da==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;97<>=;031?446:2;96B[[PTV9VD:693:5n6?=:SC?54<76h1:?7J31383:`=6;3NjxlO31383:46<9:0BB][[:E>26?699=1:?7GAPTV9@drfI5;96=0i;019HQojm{6:>7>110927?IR\Y__6\2>2;2=53=6;3E^X][[:Sgpqir;9;0;2l5>3;S?57<76o1:?7\jstnw844=87;:7<=5_`fg45674881<3o4178B875=07;;7<85IORVP?G;::032<5=11915?OIX\^1M1<>:0442@D[YY4N_GQA875=9720>7J31;2==>4=M{6:6=0j;38JJUSS2M6:6=0j;38JKAIIM{6:6=0i;38LQQVR\3[7=7>18:09U97=87;0?k5<:HLSQQA:4294h794KaucB86<7601?6H]33;2=a>2=AGZ^X7J33;2=57=32@D[YY4KaucB86<76o1?6B[[PTV9U95=87;?794@UURVP?Tb{|f0>4?>997>T:4294o794]erwop95=87i087Zfmdp?7?69n2>1Sljk012386<7681>=68>;638<4=>=2K7==0:;@>25;3G;9=4>7L2>5?78E9716<1J0<915:C?5=823H6:53:4A=3=1>G;:94<7L2=1;3=1>G;:84>7L2=2?58E944214>7L2=3?68E949<2K7?3:4A=6=0>G;=7>0M1814:C?3;2GKM9Ufyu>?013f?DJB8Vg~t=>?003f?DJB8Vg~t=>?033g?DJB8Vg~t=>?0233?DJB8Vg~t=>?0^knt1=FDL;?7L@K279BJA4E9?1JBII>;5NNE6A73=FFM>I8;5NNE7A51=FFM2?7L]}fb9BWw`Xff~;<=?>e:CPvcYig}:;<9:C\BVD;99427LQISC>25;?89B[CUE489556OPFR@?518>3HUM_O2>5?;8EZ@TJ5;=245N_GQA841912KTJ^L319<:?DYA[K6:5364A^DPF97912KTJ^L321<:?DYA[K69=374A^DPF9456k1JSK]M<3195;?99B[CUE4;437LQISC>0:==FWOYI0907;@]EWG:2611JSK]M<7<;?DYA[K6<255N_GQA8=8?3HUM_O26>59Bgit13He~xBKj;@mvpJCXe|r;<=>i;@mvpJCXe|r;<=>>119BkprHMVg~t=>?00324>Gh}}ENS`{w012364`DFK]o0NLM[_hos[GGD\8?0NLM[r59AQCC?3K_XSD@IO09@0>E6>D<0O?>BEO48G73JMG90O5C;;B:Nv6=DIZh0OL]Pmtz3456d3JKXS`{w01235a=DIZUfyu>?0132g>EF[Vg~t=>?03d8GDUXe|r;<=>Pilr1?FC43JF@?6MCR29@JQ?7NbdEo58GimXNZG:86Mck^KMRZojxVIggRGAV038@1=C494>7I2>0?78@9766>1O0<<50?78@9756?1O0<4?>59G84833M692;5K<283:1=C4:4?7I2;>59G80833M6=295K<6<7?A:?6=1O0408;Ecwe969?2Njxl2>>49GeqgF02NjxlO30?;8@drfI5;;245KaucB8479j2NjxlO31383:<=Ci}kJ0<<18:FbpdG;9720HlznA=0=e>Bf|hK7?7>18:FbpdG;;720HlznA=6=<>Bf|hK79364D`vbE90902NjxlO37?:8@drfI52546Jnt`C?=;0Pilr\@jssGLUjbi>?013f?Air|FOTmcj?01316>Bh}}ENSl`k0122[lkwWMe~xBKPaof34576l2NdyyAJ_np3456582NdyyAJ_np3456XadzTHb{{OD]lv56788n0Hb{{OD]lv5679;:0Hb{{OD]lv5679Vcf|RJ`uuMF[jt789;:=6K:;DDbhi773LLj`aQfmq]FBdjk9;1N_85JS=2=1>CT484>7H]32?58AV:4294>7H]33?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@O46?1028AQGDCVcf|RK[ABI2<>CiikfnX<4Es78Aw:76>1N~1?50?78Aw:66=1MOAE=;GF0?CBD<2LOOH=4FER1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6g=ANm;&EcQfmq68BCb5?2LMh?#Fn99EBa4*Ag;27KHk2,Km54>9:DE`7+Nf=;37KHk2,Km1g=ANm8&EcQfmq68BCb4?2LMh>#Fn99EBa5*Ag;27KHk3,Km54?$Ce=95IFe4.MkYneyUMJi8"Io37?C@c?>1MJi9"Io:8BCb0%@d:56HId6/Jj47?3OLo; Ga289EBa1*Ag8:56HId6/Jj67?3OLo; Ga4c9EBa1*AgUba}:4FGf;3>@Al1'Bb55IFe:.Mk7>3OLo4 Ga10:8BCb?%@d9n6HId9/JjZojx:1M_O=4FRO`?CUJW9'{mkatb9EWHY6%ykyiczl;GQN[7+wi{oexn5ISL]0)ugumg~:7J=4GOF2?L4Pilr\MDETWjg{Sh?0122b>OFKZUha}Qjq1235721BBDZ\TB37?LHN\Z^HSdc_HLJPVRD98:0ECG[S^antZcv89:;==5FNHVP[fkwWl{;<=?>0:KMMQUXkdzTi|>?0333?LHN\ZUha}Qjq12376=NF_?0EBOLTe9JKDESWhdo<=>?219JKDESWhdo<=>?_hos[LIFK]Ujbi>?013g?LIFK]Ujbi>?0003?LIFK]Ujbi>?00]jiuYNGHI_Sl`k01225a=NGHI_S`{w0123a>OHIJ^Taxv?0122b>OHIJ^Taxv?01225`=NGHI_S`{w01236c=NGHI_S`{w012364`>_hos[LID[Vif|Rk~01225c=NGJYTo`~Pep2347433@EH_Rmbp^gr4565W`g{SDALS^antZcv89:9=k5FOBQ\ghvXmx:;<><;;HM@WZejxVoz<=><_hos[LID[Vif|Rk~01205c=NGJYTo`~Pep2341433@EH_Rmbp^gr4563W`g{SDALS^antZcv89:?=k5FOBQ\ghvXmx:;<8<;;HM@WZejxVoz<=>:_hos[LID[Vif|Rk~01265c=NGJYTo`~Pep2343433@EH_Rmbp^gr4561W`g{SDALS^antZcv89:==k5FOBQ\ghvXmx:;<:<;;HM@WZejxVoz<=>8_hos[LID[Vif|Rk~01245c=NGJYTo`~Pep234=433@EH_Rmbp^gr456?W`g{SDALS^antZcv89:3=k5FOBQ\ghvXmx:;<4<;;HM@WZejxVoz<=>6_hos[LID[Vif|Rk~012:5==NGJYTe`~9;HMGKKCc3@EOCCKPos2345473@EOCCKPos2345YneyUBCIAAE^mq4567911BCIAAEhl4?LICGGOym6G@DNLFv969k2CDHB@Jr=394;2Pmtz3456688o0EBB?_lw{456798l0EBB?_lw{456798;m7DAC0^ov|567888:i6G@L1]nq}6789;8i6G@L1]nq}6789;?h6G@L1]nq}67898n7DAC0^ov|5678;;n7DAC0^ov|5678:;n7DAC0^ov|5678=;n7DAC0^ov|5678<;n7DAC0^ov|5678?;o7DAC0^ov|5678>o0EBB?_lw{4567?8n0EBB?_lw{45670l1BCA>Pmtz3456?9l1BCA>Pmtz3456>98:0EBB?_lw{4567W`g{n6G@L1]lv56788i0EBB?_np3456XadzTEBB?_np34566<2CD@OHFYXnmiw>1:KLJUTbimsTmcj?0121<>OHFYXnmiwPaof3456XadzTEB@_Rdcg}Zgil9:;<<84INRTGV753@E[[N]Pilr\MJVPKZ;27DA_WSgb`|773@E[[_kndx]lv5678;<0EB^XRdcg}Ziu89:;Sdc_HMSSWcflpUd~=>?0058MJTCZL[m7DA]DSGR[dhc89:;>95FOSFQATYffm:;<=Qfmq]JKWBUMXUjbi>?013e?LIUL[OZS`{w012355=NG[NYI\Qbuy23457692CD^I\JQ^ov|56788;:=6G@REPFUZkrp9:;1:KLVATBYVg~t=>?02324>OHZMXN]Rczx12341763@EYH_K^_lw{4567<8;;7DA]DSGR[hs89:;9:1038MJTCZL[Taxv?01255462CD^H_}139JKWCVzVcf|RG@RDSq50=NG[]:h6G@RV3\ekb789:n7DA]W0]bja6789;n7DA]W0]bja67898n7DA]W0]bja67899:=6G@RV3\ekb789:Te`~l;HMQS4Yhz9:;<Paof34566m2CD_=Qnne2345YneyUBC^>Paof34566k2CD_=Qbuy2345b?01]jiuYNGZ:Tc>?013f?LITWjg{Sh?012f?LITWjg{Sh?013f?LITWjg{Sh?010f?LITWjg{Sh?011f?LITWjg{Sh?016f?LITWjg{Sh?017f?LITWjg{Sh?0147?LIR8j1BCX>Pmtz3456c3@E^Pmtz34566;l1BCX>Pmtz34566Pmtz34565m2CDY=Qbuy234546m2CDY=Qbuy234556m2CDY=Qbuy234526m2CDY=Qbuy234536m2CDY=Qbuy234506l2CDY=Qbuy23451b3@E^>0:KLSWTBYVg~t=>?0032?LIPZ[OZS`{w012354763@E\^_K^_lw{4567:8;:7DAXRSGR[hs89:;??4038MJQUZL[Taxv?0127546119JKRTUMXUfyu>?01527>OH_[XN]Rczx1234Zojx11BCZ\]EPp0?Lh7;2Ce=>5Fn318Mk543@d?i6EJEQ]KM@TFZJBR;6B@GHABHa=KXDUJHIQ\UC]2`>JWEVKOHR]ZB^0a?IVJWK_XX_G>d:NSIZEH]G^N^R?k;MRN[FIRF]OYS?j4LQO\GJSI\LXT?55CPL]GBCY>3EZFSIHI_0c8HUKXLOLT==o4LQO\@C@X98k0@]CPDGD\57gh1G\@QKFG]13d=KXDUOJKQ=8`9OTHYCNOU9545CPL]GBCY4i2F[ARJIF^13e>JWEVNMJR=>a:NSIZBANV9956B_M^FEBZ3>3EZFSIHI_7;8HUKXLOLT445CPL]GBCY>i2F[ARV@R3]22>JSadoy56B[ilgq858f3E^bah|311JSadoy0?06;MVji`t;;730@Ygbes>7:<=K\`gn~1;19:NWmhcu4?427AZfmdp?3;?89OPlkbz53546B[ilgqPF743E^bah|[C^antZcv89:;=95CThofvQEXkdzTi|>?01320>JSadoyXNQlmq]fu5678;;?7AZfmdpWGZejxVoz<=>?3058HQojm{^HSnc_ds3456Xadz>7Aand0f8Hjgc9Vddx=>?1328Hjgc9Vddx=>?1^kntZJhim;Tbbz?0132`>KflmUTmij?012e?H~hzVXnxb{<02=b>Kg{UYi~{ct=32:c=JpfxT^h}zlu>26;c7B\?6A]629LV25V):9;8==?<_M68TDTSi2ZBBRLZSHF[f>VNFVH^_COBE29SV@724;379R86<76=1Z0>0;;P>7:1=V4<4?7\29>59R82833X63295^<8>a:S\5Ziu89:;Sdc_P]2[jt789::96_`SBQ;?TiTZlkou85]A=2=2>TF48:546\N<0394;02:0=UI58596\N<2<6?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;35]AL78VDKD[>1YM@M\0Y37?WGJKZ:SSdc_SCNGV6_9<1YM@KAd:PBI@HXign;<=>=0:PBI@HXign;<=>Pilr\VDKBFVkeh=>?00f8VDKBFVkeh=>?1328VDKBFVkeh=>?1^kntZTFELDTmcj?0132`>TFELDTmcj?01014>TFELDTmcj?010\mhvXZHGNBRoad12364b7_ABCR58VJKD[=R:86\@MBQ7\ZojxVXDAN];X0f8VJKD[Vkeh=>?0328VJKD[Vkeh=>?0^kntZTHEJYTmcj?012255=UGDIXSnc_ds34565>2XDAN]Pclr\at6789Uba}Q]OLAP[fkwWl{;<=>>119QKHETW`g{S_ABCR31?WUc3[YTo`~Pep2345bTTWjg{Sh?017g?WUXkdzTi|>?0618VQJ23[ojhtj4Rdcg}Zgil9:;4Rdcg}Zgil9:;>119Qadb~W`g{S_kndx34?WcaLfdnj6\jfEmmaZgil9:;Pilr\V``CggoTmcj?0122b>TbnMeeiR``t123572?5]egFlj`Yhz9:;?1`9Qac@ugdXX<<<4RddEvjkU[9Ujbi>?010b?WcaN{ef^^>Paof3456XadzT^hhIrnoQW5Yffm:;<=?>2:PfbCthe[Y;Sl`k01226d=UmoLyc`\\0^cm`5679Vcf|R\jfGpliWU7Whdo<=>>1008V``AzfgY_=Qnne23474f3[omJabRR2\ekb7898Te`~PRddEvjkU[9Ujbi>?0332e>TbnOxda_]?_hos[WcaN{ef^^>>6:PfbWcv02Xnj_k~Io32?WcaZl{To`~Pep23454?3[om^hPclr\at6789Uba}Q]egPfuZejxVoz<=>?1038V``UmxUha}Qjq12357>139QacTbyVcf|R\jfSgr5`=UmoXn}R``t123574Tbn\xlEc?=;SgeQwaXadzT^hhZrf35?Wct}e~27_k|umv?4;g0?c8V`urd}6:=3m4Rdqvhq:6:3:5m6\jstnw844912Xnxb{<0<:?Wct}e~7>3l4Rdqvhq:429427_k|umv?7;?89Qavsk|5?556\jstnw838>3[oxyaz37?;8V`urd}63245]erwop9?9:?1Yi~{ct^2\jjr789:Te`~PRdqvhqY7Wge<=>?1c9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHC23Z]7=3;4SV>1:2=T_591=3;4SV>0:1=Tkex?7^m|t59Pakrd3ZoexRoad12344c<[ldSl`k0123[lkwWZoexRoad12344d<[ldSb|?0122g>Ubf}Ud~=>?0^kntZUbf}Ud~=>?00c8WkbUIDIX[_:4Srgw6>RTl2^XSnc_ds3456c3]YTo`~Pep2344b<\ZUha}Qjq1236a=S[Vif|Rk~01200>R^XL?0Xdcjr99Wmhcu49437Ygbes>2:==Sadoy0?0n;Uknaw:429437Ygbes>0:2=SadoyXN?=;UknawRDWjg{Sh?0121e>Rnelx_ORmbp^gr4567W`g{SYgbesV@[fkwWl{;<=>>d:Vji`tXign;<=>=0:Vji`tXign;<=>Pilr\PlkbzVkeh=>?0058PwoCi}k87[ML9:TJARYSQYO?7[^Nrb9UTDtXff~;<=?>e:TSEwYig}:;<6:UbvuUB9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON>2RXXAGM7:ZPPZAIL8o0T^ZPILROAHCI\H^BCCQLOOQWVDOI\Z;;7U][_SVO[UTHXLX_U:5WSU]UGF0^h494=7Ua30?35?]i;878=7Ua30?1:?]i;87Uba}=4Xrv:?]usODeoial4_`fg4567494h7Rokd12349776j1Tmij?012?548b3Vkoh=>?0=31>58d3Vkoh=>?0=31:g=Ximn;<=>31?`8[dbc89:;0?0k;^cg`5678591<3l4_`fg45674:4i7Rokd1234929j2Ujhi>?01>6:g=Ximn;<=>36?`8[dbc89:;0:0m;^cg`5678525n6Qnde2345:>611Tmcj?01222>Yffm:;<=Qfmq]\ekb789::46Qnne2344713Vkeh=>?1^kntZYffm:;<?20:8[dhc89:8=;5Paof3455XadzTSl`k01205==Xign;<=:>6:]bja678=Uba}QPaof3452602Ujbi>?0435?Zgil9:;9Rgbp^]bja678<;37Road1232409_hos[Zgil9:;:<64_`lg45609?1Tmcj?015\mhvXWhdo<=>8199\ekb7892::6Qnne234=YneyUTmcj?01:2<>Yffm:;<4?9;^cm`5671Vcf|RQnne234<7?3Vkeh=>>0048[dhc89;;Sdc_^cm`5668820S`{w01233>Yhz9:;<<:4_np3456XadzTSb|?01223>Yhz9:;=<:4_np3457XadzTSb|?01321>gcllxn7l`k0123[jt789:9>6oad1234Ziu89:;Sdc_`lg4567Wfx;<=>>3:amp2=dg|di<4cr18`c`>3mcyinzfoo18bvd33`eia95focq:?kGCg|~GI=?7;oCGkprKM9Uba}QaAEmvpIC798:0bLJ`uuNF4Ziu89:;>;5aAEmvpIC7Wfx;<=>Pilr\jDBh}}FN199mEAir|EO:Sdc_oCGkprKM8;87cO\7:lBWZ@TJ>1eM^QFOC31?kGTW@EIScO\_HMAkprf3gKXSDAMotv5?kGTg|~n7cO\otv\ekb789:9>6`NSnww[dhc89:;Sdc_oCPkprXign;<=>>e:lBWjssWge<=>>239mEVir|Vddx=>?1^kntZhF[fSca{01225a=iIZe~xRa}012365=iIZe~xRa}0123[lkwWgKXcxzPos2345703gKdyyAJ159mEjssGLUba}QaAnwwK@723gHN^_74nCGQVZ@TJ8;0bOK]R^DPFZgil9:;?0^kntZhEM[XTJ^LPaof3456612dII_\PIN@4?kD@W@EI;6`MR^KLFc=iJ[UBCOQnne2345433gHYSDAM_`lg4567W`g{ScL]_HMA[dhc89:;=k5aBS]JKGYj}q:;<=??;o@Q[LIEWds<=>?1038jGTXAFHTaxv?01225475aBS]JKGYj}q:;<=Qfmqg8jGTXAFHTc>?0101?kDUW@EISb|?012\mhvXfKXTEBLPos2345733gIJ_n5aC@Q\jjr789;:i6`LAR]mkq6788Uba}QaC@Q\jjr789;::6`KT@AHe>hC\HI@SK]Ma:lGPDELW@EIi6`KT@AH[dhc89:;>?5aDUC@OZgil9:;?1d9m@QGDCVddx=>?1308jARFKBUecy>?00]jiuYiL]KHGR``t1235404nEkmH@Yhz9:;>6:lGmkIBm2dOecAJ_`lg4567:;1eHd`@E^cm`5678Vcf|R`KioMF[dhc89:;=<<4nEkmK@YneyUeHd`@E058jAir|FOm7cJ`uuMF[dhc89:;>95aDnwwK@Yffm:;<=Qfmq]m@jssGLUjbi>?013e?kBh}}ENSl`k012261=iLfCHQnne2344YneyUeHb{{OD]bja6788;:86`KotvLAZojxVdOcxz@E0g8jAir|FOTc>?0101?kBh}}ENSb|?012\mhvXfMe~xBKPos2345723gC[@?84nHRO6w2hKLZUjbi>?013f?kJC[Vkeh=>?0^kntZhKLZUjbi>?013`?kJC[Vkeh=>?10g8jIBTWhdo<=>>_hos[kJC[Vkeh=>?10a8jIBTWhdo<=>=1d9mHAUXign;<==1b9mHAUXff~;<=?>e:lO@VYig}:;<b:lO@VYhz9:;<?1d9mHRCXign;<=>Pilr\jIQBWhdo<=>?1d9mHRCXadzTbAYJ129mK@dhHMVkeh=>?0^kntZhHMVkeh=>?00a8jJCXadzTbBK>8:lLr`tkip;;7cAyesnb}Zgil9:;?_hos[kIqm{fjuRoad12344773gE}ibny^llp5679;<0bBxjrmcz[kis89::Sdc_oMuawjfqVddx=>?10d8jJpbzekrSb|?01210>hH~lxgmtQ`r1234ZojxVdDzh|cax]lv56788=0b_OBLVG4?kTFE\]N86`]ARg8jWGTW`g{Sc\NS068jWCTk2dYI^Qaou23447b3gXN_R``t1235ZojxVdYI^Qaou2344703gXN_b{{6:lQKHET9;1e^BCLS^kntZhUGDIX=;5aRNOTA`=iZFG\IRoad1234740bXJ\_HMA[kSC[VCDNb{{b:lV@VYNGKe~xn5aUEQ\ekb789::i6`ZDR]bja6789Uba}QaUEQ\ekb789::o6`ZDR]bja6788;n7c[KS^cm`5679Vcf|R`ZDR]bja6788;h7c[KS^llp56798o0bXJ\_omw4566W`g{Sc[KS^llp56798h0bXJ\_np34566k2d^H^Q`r1234ZojxVd^H^Q`r123442=4:lUIDYdeyUn}=>?0^kntZhQEHUha}Qjq12344e5aWD58jRCXNZH<7}ac_bnh=>vhdViggh`7;qmo[vekz11{caQ|sdv;?uiu|Viggl5osv\gimbf01{czPd`vb<>vhz}Ud|l84pnpw[td?xmg8|f?2;?rg|n:;-4CDu74no1KLu=>e;D96?7|[h?1?{o122?7?k:34;>453j8:<6l994:f04`<7280:w^o::23g>70?289?n<>8:`555>pA:k>1<7?51;2xWd3=;8n1>;65126a551=i><:7)=?a;3ef>"5>008jl5m31g94?2e2881::uC30096~N6nh1/>;753g;8yI56;3;p(>h;:79~ 7`d2::n7W7<:2yf>4`=:>0vV?k8:3ye>4c=u"9i:7>5;n;5>5<5<5<1<75f37a94?=h;k81<75`36;94?=h;?o1<75fd983>>oa<3:17d=8e;29?j52k3:17b=96;29?l5>:3:17b=m3;29?l5cl3:17b=l2;29?j5f?3:17d77:188m<3=831d?8:50;9l70>=831b?4;50;9j73b=831d?oo50;9l7=5=831d?4850;9l7a4=831d?5850;9l7=g=831b?h=50;9l73?=831d>5;50;&12g<50=1e>;o50:9l6=5=83.9:o4=859m63g=921d>5k50;&12g<50=1e>;o52:9l6=b=83.9:o4=859m63g=;21d>5m50;&12g<50=1e>;o54:9l6=d=83.9:o4=859m63g==21d>5o50;&12g<50=1e>;o56:9l6=?=83.9:o4=859m63g=?21d>5650;&12g<50=1e>;o58:9l6=1=83.9:o4=859m63g=121d>5850;&12g<50=1e>;o5a:9l6=4=83.9:o4=859m63g=j21b;o4?:%05f?1f3g8=m7>4;h5:>5<#:?h1;l5a27c95>=n?10;6)<9b;5b?k41i3807d98:18'63d=?h1e>;o53:9j30<72-8=n79n;o05e?2<3`=?6=4+27`93d=i:?k1965f7283>!41j3=j7c<9a;48?l15290/>;l57`9m63g=?21b;<4?:%05f?1f3g8=m764;h53>5<#:?h1;l5a27c9=>=n>o0;6)<9b;5b?k41i3k07d8j:18'63d=?h1e>;o5b:9j2a<72-8=n79n;o05e?e<3`!41j3=j7c<9a;g8?l>5290/>;l57`9m63g=n21b4<4?:%05f?1f3g8=m7??;:k;4?6=,;47<3`=m6=4+27`93d=i:?k1=?54i6g94?"5>k0=n?m0;6)<9b;5b?k41i3;?76g8c;29 70e2>k0b?8n:078?l11290/>;l57`9m63g=9?10e?kk:18'63d=:li0b?8n:198m7ce290/>;l52da8j70f2810e?kn:18'63d=:li0b?8n:398f676290:6=4?{%0eg?75m2B8==5`13f94?=zj:;?6=4>:183!4ak39;56F<119l631=831vn>:j:182g?7e2;?p@>?=:0yK5cg6`<,:l?6;5r$3d`>d0:188k7g02900c<7l:188k7?62900c?h::188m=`=831b?o850;9l50e=831b?h<50;9l6<6=831d>l850;9l56>=831b844?:%05f?2?3g8=m7>4;h64>5<#:?h1855a27c95>=n;o53:9j07<72-8=n7:7;o05e?2<3`>:6=4+27`90==i:?k1965f4183>!41j3>37c<9a;48?l5a290/>;l5499m63g=?21b?h4?:%05f?2?3g8=m764;h1g>5<#:?h1855a27c9=>=n;j0;6)<9b;6;?k41i3k07d=m:18'63d=<11e>;o5b:9j7d<72-8=n7:7;o05e?e<3`926=4+27`90==i:?k1h65f5083>!41j3>37c<9a;g8?l37290/>;l5499m63g=n21i>9k50;195?5|D:;96h;:09~ 7`d2;:87dol:188k70c2900el650;9a3?6=;3:1N4991b594?::kbg?6=3f8=h7>5;|qbv38:34g?[41l2wx>9650;0xZde<5>0jo6srb2ca>5<22;0>wA=>2;3xL4`f3tF8=>4>{%1e0?75;hc;>5<>d0290>6=4?{%0eg?`03A9:<6B<1382!74m39m<6sfa183>>of:3:17d7;:188mde=831d>;j50;9~f676290:6=4?{%0eg?75m2B8==5`13f94?=z{h21<7<2wxm44?:3y]e<=:?3k;7p}=4683>7}:;8;1=?j4=68b6>{t:=21<7t$3d`>4113f;>o7>5;h30=?6=3`;=>7>5;h350?6=3`;=:7>5;h355;h35g?6=3`;=i7>5;h344?6=3`;<>7>5;h340?6=3`;=<7>5;h0:5?6=3k;>h7>59;294~"5nj09945G3028m4572900e<=>:188m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k72c2900qo?:e;292?6=8r.9jn4=579K7465<5<5<j7>53;294~"5nj099<5G3028m4572900e<=>:188k72c2900q~?:c;296~X6=j16=8h525f8yv7413:1>vP>389>50b=9::0q~?92;296~X6>;16=8j51238yv71<3:1>vP>659>50b=9:80q~?96;296~X6>?16=8j51218yv7103:1>vP>699>50b=9:?0q~?9a;296~X6>h16=8j51268yv71k3:1>vP>6b9>50b=9:<0q~?9e;296~X6>l16=8k51238yv7083:1>vP>719>50b=9:=0q~?82;296~X6?;16=8k51228yv70<3:1>vP>759>50c=9:90q~?90;296~X6>916=8k51208yv4>93:1>vP=909>50c=9:>0q~?:d;296~;6=m098i5214d9566i7>52z?21`<5<1C?<>4i013>5<5<5<53;294~"5nj099<5G3028m4572900e<=>:188k72c2900qo<62;297?6=8r.9jn4:e:J055=n9::1<75f12394?=h:=n1<75rb01g>5<4290;w)t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd5=90;6>4?:1y'6ce==j1C?<>4i013>5<5<55;294~"5nj0=:6F<119j566=831b=>?50;9j564=831b=>=50;9l61b=831vn<=n:186>5<7s-8mo78=;I124>o6;90;66g>3083>>o6;;0;66g>3283>>i55;h305?6=3f8?h7>5;|`226<72<0;6=u+2ga926=O;8:0e<=?:188m4562900e<==:188m4542900c?:k:188yg71=3:197>50z&1bf<1;2B8==5f12294?=n9:;1<75f12094?=n9:91<75`25f94?=zj8<<6=4::183!4ak30:k275<722c:?<4?::k277<722c:?>4?::m10a<722wi=;750;794?6|,;lh6;=4H233?l7483:17d?<1;29?l74:3:17d?<3;29?j43l3:17pl>6c83>0<729q/>km5659K7465<5<o6=44}c35`?6==3:1N4991b=>>50;9j567=831b=><50;9j565=831d>9j50;9~f40a290>6=4?{%0eg?023A9:<6g>3183>>o6;80;66g>3383>>o6;:0;66a=4e83>>{e9>;1<7;50;2x 7`d2?90D>??;h304?6=3`;8=7>5;h306?6=3`;8?7>5;n07`?6=3th:;>4?:483>5}#:oi1:95G3028m4572900e<=>:188m4552900e<=<:188k72c2900qo?85;291?6=8r.9jn494:J055=n9::1<75f12394?=n9:81<75f12194?=h:=n1<75rb3cb>5<4290;w)t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd4im0;6>4?:1y'6ce==m1C?<>4i013>5<5<53;294~"5nj099<5G3028m4572900e<=>:188k72c2900qo=nf;296?6=8r.9jn4>479K7465<0;68uQ2`5897g?2;>o70;5=90:?=52173956752z\2=f=:90n1>9j4}r0:5?6=?4=2`2>4563ty8n;4?:2y]7g0<5:ki6lm4=2cg>4563ty:9n4?:3y]50e<58==6<;l;|q0a7<72;qU?h<4=0;g>4573ty9m;4?:3y]6d0<5;ko6?:k;|q27=<72;qU=>64=01g>72c3ty::?4?:3y>520=9?801<8<:36g?xu6>=0;6?u21649532<58<>6?:k;|q223<72;q6=:85174894002;>o7p}>6983>7}:9><1=;64=04:>72c3ty::l4?:3y>520=9?k01<8m:36g?xu6;00;6>u2164956?<589o6<=?;<30e?43l2wx=;m50;0x941128{t9:h1<745634;8o7<;d:p53c=838p1<99:04f?871n38?h6s|16294?4|58==6<9?;<345?43l2wx=:<50;0x941128=970?83;07`>{t9>>1<741334;<97<;d:p6d?=838p1?ok:013?84fi38?h6s|25g94?4|5;>n6?8k;<1b`?7482wx>9h50;0x972b2hi01?;?:36g?xu4il0;6?u23``9e<=:;hl1>9j4}r0bf?6=:r79mn4=4e9>6dg=9:;0q~?90;296~;6??0::=52173961b52z?0f4<5>4=042>4573ty8mn4?:3y>7db=:=n01>l>:013?xu313:1jvP;9:?1e=<6;;16>4<5123897gd289870?;6>:0:?>521779565<58<<6<=<;<35=?74;27::o4>329>53b=9:901<8i:010?87093;8<63>728274=:9>?1=>?4$3g:>1>:3;8<63=ab8277=:9:k1=><4=040>45534;=97?<2:?222<6;;16=;751228940e289:70?9d;305>;6>o0:??521639565<58=86<=<;<341?74;2.9i44;8:l1a=<63ty?:7>5ez\72>;5i10:?<522`a9567<589j6<=>;<357?74927::84>319>531=9:;01<86:011?871j3;8>63>6e8275=:9?l1=>?4=052>45534;h75499m6`>=:2wx894?:dy]01=::h21=>>4=3c`>45734;8m7?<0:?226<6;916=;;512389400289;70?99;305>;6>k0:?=5217f9564<584>319>523=9:80(?k6:5:8j7c?2:1v9<50;0xZ14<589h6<=>;%0f=?2?3g8n47:4}r62>5<5sW>:70?"5m00?46`=e986?x{e;o;1<7=<:3797a}K;881=vF>f`9~H6742;qe?k;54`9'7c2=>2w/>km529d8^<5=:ro1=i4rZ3g4>4}a2tcj=7>5;n1``?6=3`9>m7>5;n1ag?6=3f9i87>5;n14=?6=3`2m6=44i3f7>5<5<5<5<5<5<6=4+27`96=25<#:?h1>5:4n34b>4=5<#:?h1>5:4n34b>6=5<#:?h1>5:4n34b>0=5<#:?h1>5:4n34b>2=5<#:?h1>5:4n34b><=5<#:?h1>5:4n34b>g=h5>h0;76g;4;29 70e2=?0b?8n:098m14=83.9:o4;5:l12d<532c?=7>5$34a>1354i5294?"5>k0?96`=6`87?>o4n3:1(?8m:578j70f2<10e>k50;&12g<3=2d9:l49;:k0`?6=,;2=h5>h0376g5$34a>13k0?96`=6`8`?>o283:1(?8m:578j70f2m10e9h50;&12g<3=2d9:l4j;:k7a?6=,;c=h5>h0:<65f4b83>!41j3>>7c<9a;32?>o3j3:1(?8m:578j70f28807d:n:18'63d=<<1e>;o51298m15=83.9:o4;5:l12d<6<21i>lk50;a95?d|D:;96h;:49~ 7`d28827do7:188k73e2900c?;k:188k73a2900c?8>:188k7042900c?8;:188k7022900c?89:188m4`22900e=7?tH0db?x"5nj09mh5f1g794?=n9o<1<75f1g594?=nih0;66a=5c83>>i5=m0;66a=5g83>>i5>80;66a=6283>>i5>=0;66a=6483>>i5>?0;66lia;297?7=;rF8=?4>{I3ee>{#:oi1j45fab83>>i5>m0;66gn8;29?g1=8391<7>t$3d`>c3<@:;;7A=>2;3x 45b2:l;7pg64;29?lgd2900c?8k:188yvg?2909wSo7;<59=1=z{;><6=4={<5963b7}Yij16;7ol;|a556=8391=7=tL231>4}O9ok0q)=831i;7>53;294~"5nj0m96F<119O744=9r.:?h47}:?38=h6P=6e9~w72?2909wSol;<59ef=zuk;;n7>53;397~J49;0:wE?ia:'6ce=n01bmn4?::m12a<722cj47>5;c594?5=83:p(?hl:g78L6773E9:>7?t$01f>6`73tc287>5;hc`>5<5<5sWk37095959~w7202909w09527f8Z70c3ty9854?:3y]ef=:?3kh7psm10094?5=939p@>?=:0yK5cg5<50z&1bf>{ti10;6?uQa99>3??33ty98:4?:3y>3?41l2T9:i5rs36;>5<5sWkh7095ab9~yg76=3:1?7?53zN057<6sA;mm6s+2ga9b<=nij0;66a=6e83>>of03:17o950;194?6|,;lh6k;4H233?I56:3;p(<=j:2d3?xo><3:17dol:188k70c2900q~o7:181[g?34=1595rs364>5<5s4=1>;j4^34g?xu5<10;6?uQab9>3?gd3twi=??50;195?5|D:;96N4991G?<<51z&27`<4n:1ve4:50;9jef<722e9:i4?::pe=<72;qUm5527;;7?xu5<>0;6?u27;05`>X5>m1v?:7:181[gd34=1mn5r}c312?6=<3819v*=fb8263=n:?<1<75f24d94?=n9o<1<75`13094?=e9;?1<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th:>94?:283>5}#:oi1>8?4H233?l7483:17d?<1;29?j43l3:17p}=6783>7}Y:?<01<<;:013?xu5=o0;6?uQ24d89442289:7p}>f783>7}Y9o<01<<::013?xu6:;0;6?uQ130894432;>o7p}>2283>7}:9;?1>9j4=007>4563twi=<950;194?6|,;lh68m4H233?l7483:17d?<1;29?j43l3:17p}>f783>6}Y9o<01<<9:0d5?876?3;8=6s|a`83>2}Yih16jl4n8:?2458l50;1xZ73e34;;<7ol;<33f?41l2wx>8j50;1xZ73c34lj6lm4=023>70c3ty99k4?:5y]60`<588:6?8k;<312?42n27:=:4>319~w7032908wS<94:?24gm16=?852748yv76;3:1?v3>138bg>X5><16jl4=6e9~w4712909w0?>5;c`?876?38?h6s|13094?4|588:6lm4=005>4453twxm54?:3y]e==:9;21ml5rs37a>5<5sW8>n63>29811g=z{;?o6=4={_06`>;6:1099i5rs37e>5<5sW8>j63>29811c=z{;<86=4={_057>;6:109:>5rs347>5<5sW8=863>298121=z{;<>6=4={_051>;6:109:85rs345>5<5sW8=:63>298123=z{8l>6=4={_3e1>;6:10:j85rs0d5>5<5sW;m:63>2982b3=z{8l<6=4={_3e3>;6:10:j:5r}c;2>5<42808wA=>2;3xL4`f3tF8=>4>{%1e0?35;hc;>5<0;6>4?:1y'6ce=n<1C?<>4i8694?=nij0;66a=6e83>>{ti10;6?uQa99>3??33ty98:4?:3y>3?41l2T9:i5rs36;>5<5sWkh7095ab9~yg4e13:197<55zN057<6sA;mm6sC3019e~"4n=0>7)=i2;17b>"4mh0jo6*"4m00j56`>of13:17dol:188k70c2900e?kj:188f2<72<0968uC30095~N6nh1v(?hl:321?lgd2900c?8k:188md>=831bm44?::kb6?6=3k=1<7;50;2x 7`d2o=0D>??;hc3>5<>ofk3:17b<9d;29?xd4980;6<4?:1y'6ce=9;o0D>??;n31`?6=3tyj47>52z\b<>;020>0q~o6:181[g>34=1m=5rs364>5<5s49:=7?=d:?4>d452z?4>70c3W8=h6s|25;94?4|Vhi01:4nc:~f62129086=4?{%0eg?42:2B8==5f12294?=n9:;1<75`25f94?=z{h21<7?4}r05`?6=:rT9:i527;05`>{t:lo1<7=t^3gf?81=ij16?9851228yv53=3:1>v38:`;896212;>o7psm9`83>6<62:qG?<<51zJ2bd=zD:;86{#:oi1>>d029086=4?{%0eg?`23A9:<6B<1382!74m39m<6sf9583>>ofk3:17b<9d;29?xuf03:1>vPn8:?4><252z?4>70c3W8=h6s|25:94?4|Vhi01:4nc:~f7g429086<4<{M126?7|@8lj7pB<1282!5a<3?0q)ofk3:17b<9d;29?lg?2900n:4?:283>5}#:oi1j85G3028H67528q/=>k53g28yl?32900elm50;9l63b=831vl650;0xZd><5>0286s|25594?4|5>09:i5Q27f8yv4303:1>vPnc:?4>de1}K;881=vF>f`9~H67428q/?k:55:'6ce=:8l0elm50;9l63b=831bm54?::`4>5<4290;w)5;hc`>5<5<5290;w)N4991b=?h50;9l61b=831vl650;0xZd><5hh1=?h4}r073?6=:r7<64:4=``961b52z?4>70c3W8=h6s|25;94?4|Vhi01:4nc:~f7?4290=6>48{M126?7|@8lj7pB<128`!5a<3?0(>h=:26e?!5bi3kh7)=jc;05`>"4m10j46`2900elm50;9l63b=831b>k850;9j6`c=831i;7>55;091~J49;0:wE?ia:'6ce=:980elm50;9l63b=831bm54?::kb=?6=3`k96=44b683>0<729q/>km5f69K7464<729q/>km513g8L6773f;9h7>5;|qb2909wSo6;<59e5=z{;><6=4={<125?75l27<6l<4}r07{t:=31<75<7s-8mo7?;6:J055=n9;l1<75`25f94?=zj:>=6=4;:183!4ak38>?6F<119j566=831b=>?50;9j564=831d>9j50;9~wd>=838pRl64=68b<>{ti00;6?uQa89>710=9:80q~<9d;296~X5>m16;7<9d:p6c0=838pR?h9;<172?7492wx>hk50;1xZ7cb3499j7?=f:?003<6;91v>v38:`;896212;>o7psm24c94?3=:3>p@>?=:0yK5cg0=#;o81?9h4$2gb>de<,:oh6?8k;%1fd?6<5+3dg96`c7633`k36=44i`;94?=nij0;66a=6e83>>o5ml0;66l8:186>7<2sE9:>7?tH0db?x"5nj09>i5>m0;66gn8;29?lg>2900el<50;9a3?6==3:1N4991G?<<51z&27`<4n91vel>50;9je7<722c287>5;hc`>5<9950;0x9676288o7095a39~w72?2909w09527f8Z70c3ty9844?:3y]ef=:?3kh7psm35494?4=83:p(?hl:065?M5682c:>k4?::m10a<722wxm54?:3y]e==:?3k37p}=6e83>7}Y:?n01:4=6e9~w7cb2908wSde<5:>=6<6<729q/>km55b9K7465<o6=44}c;g>5<4290;w)N4991b=>>50;9j567=831d>9j50;9~w52z\1f4=:1l0:?=5rs3a3>5<5sW8h<636e;305>{t:k81<7m38?h636d;304>{zj;i<6=4;:183!4ak38>?6F<119j566=831b=>?50;9j564=831d>9j50;9~f6da29096=4?{%0eg?73>2B8==5f13d94?=h:=n1<75rb2`a>5<5290;w)N4991b=?h50;9l61b=831vn>j?:181>5<7s-8mo7?;6:J055=n9;l1<75`25f94?=zj:k=6=4=:183!4ak3;?:6F<119j57`=831d>9j50;9~f6gf29096=4?{%0eg?73>2B8==5f13d94?=h:=n1<75rb2`6>5<5290;w)N4991b=?h50;9l61b=831vn?j::180>5<7s-8mo7;k;I124>o6;90;66g>3083>>i55;h305?6=3f8?h7>5;|`1fc<72:0;6=u+2ga9607<@:;;7d?<0;29?l7493:17b<;d;29?xd5i<0;6>4?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3th95k4?:283>5}#:oi1>8?4H233?l7483:17d?<1;29?j43l3:17pl=a083>6<729q/>km52438L6773`;8<7>5;h305?6=3f8?h7>5;|`1g7<72=0;6=u+2ga925=O;8:0e<=?:188m4562900e<==:188k72c2900qo5<3290;w)0<729q/>km5649K7465<5<o6=44}c1b1?6==3:1N4991b=>>50;9j567=831b=><50;9j565=831d>9j50;9~f6g>29086=4?{%0eg?3c3A9:<6g>3183>>o6;80;66a=4e83>>{e:kh1<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th9584?:283>5}#:oi19n5G3028m4572900e<=>:188k72c2900qo<67;297?6=8r.9jn4:d:J055=n9::1<75f12394?=h:=n1<75rb3;:>5<4290;w)t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd51m0;6>4?:1y'6ce==m1C?<>4i013>5<5<52;294~"5nj0:8;5G3028m44a2900c?:k:188yg50j3:1>7>50z&1bf<64i00e>5<o6=44}rc2>5<>sWk:70l=5a99>72?=i116>4=5a99>60g=i11v>mk:181[5dl278h=4=4e9~w63f290>wS=:a:?0f`<6;916?nh5122896g2289;70=n9;304>{t;ki1<7{t;>31<7=}Y0o165<4nc:?11d<5ml16>n<5123897e3289;70;51>0:?=5228;956653z\1`1=::m?1=>?4=3`a>4563ty8m:4?:3y]7d1<5:kj6?:k;|q0=1<72;qU?4:4=2c6>4553ty9o44?:2y]6f?<5;in6<=>;<0af?7482wx?h<50;7xZ6c5349ii7?<1:?0gc<6;816?l;5123896g>289:7p}7}Y;j:01>mi:011?xu4jh0;6?uQ3cc896de2;>o7p}=a783>7}Y:h<01>lm:00e?xu4i:0;6?uQ3`1896g12;>o7p}=d283>1}::ho1=k94=3f6>72c348h87?<1:?1g3<6;;1v?o;:18184f;3kh70{t180;6?u290812a=::j81=>>4}r0:a?6=:r795>4=f79>6<`=:=n0q~319>6fc=9::0q~52z?0ed<6:o16?l7525f8yv5dm3:1>v39j4}r0bb?6=;r79mh4=5c9>6g`=9::01?o::012?xu5k10;6?u22bg961b<5;i96<==;|q1f5<72;q6>lk524f897da289:7p}=b083>0}::ho1>8h4=8d96g7<5;3>6<=?;<0:=?7492795i4>309~w7?32909w0<63;0fa>;51<098i5rs3`1>5<4s48ji7<94:?:b?4e:279m84>319~w7?12909w0<6f;304>;51>098i5rs3;;>5<5s482j7?<1:?1=<<5>4}r0:e?6=:r79m<4>319>6;|q1=f<72;q6>l?5123897?c2;>o7p}7}:;h<1=?h4=2c6>72c3ty9o<4?:3y>6f1=9::01?m=:36g?xu5k:0;6?u22b59567<5;i?6?:k;|q1g0<72;q6>n95120897e12;>o7p}<7`83>7}:;>31mn5236`961b54z?1e`<6n?165k4=c19>6f1=:=n01?7::012?xu5jl0;6?u22c;9e<=::kl1>9j4}r0ae?6=:r79no4=4e9>6gb=9;l0q~7n:1818?f2;{t:091<7:t=3;0>70c348h:7?<0:?0gc<6;:16?l;51218yv?e2909w07n:`a89<`=1k1v?o<:18184f;38=h6322908wS<75:\1<==Y<;1/>h752968j7c?291v?6<:181[4?;2T?<6*=e881<1=i:l21=6s|29g94?4|V;2n7S=j;%0f=?4?<2d9i54=;|q15j4^538 7c>2;2?7cvP=8b9]7a=#:l31>5:4n3g;>1=z{;2i6=4={_0;f>X4k2.9i44=859m6`>==2wx>5o50;0xZ7>f3W9i7)h5m10=7p}=8883>7}Y:130R>o4$3g:>7>33g8n4794}r0;3?6=:rT94:5Q3g9'6`?=:1>0b?k7:89~w7>12909wS<76:\0=>"5m009495a2d:9e>{t:181<772=;=qG?<<51zJ2bd=zD:;86?ua3g791`=#;o>1:6s+2ga950d5;n3b5?6=3`9287>5;h36g?6=3`9n>7>5;h1`4?6=3f;5;n3f3?6=3`8j:7>5;n1;2?6=3f;257>5;n3`a?6=3f?96=44o4`94?=h;?31<75m2g594?d=93hp@>?=:0yK5cg1=z,;lh6<5<5<5<5<5<5<1b=k;50;9j5c0=831b=k950;9j5c>=831b=k750;9jed<722e99o4?::m11a<722e99k4?::m124<722e9:>4?::`ee?6=;3;1?vB<1382M7ai2w/>km5f89jef<722e9:i4?::kb??;M126?7|,89n6>h?;|k:0?6=3`kh6=44o34g>5<3`kh6=44o34g>5<3d80b5=za0>1<75fab83>>i5>m0;66s|a983>7}Yi116;77;;|q102<72;q6;7<9d:\12a=z{;>36=4={_c`?81=ij1vqohi:187>7<2s-8mo7hi;hdb>5<5<6<729q/>km55b9K7465<o6=44}cdg>5<4290;w)N4991b=>>50;9j567=831d>9j50;9~wcg=838pRko4=gg956652z\2b<=:nl0:?<5rs340>5<5sW8=?63id;305>{tnk0;6?uQfc9>ba<5;=50;9j5c?=831b=k850;9j5c1=831b=k650;9l557=831i==750;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17pl>0283>6<729q/>km55e9K7465<o6=44}c331?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f460290>6=4?{%0eg?033A9:<6g>3183>>o6;80;66g>3383>>o6;:0;66a=4e83>>{e9921<7;50;2x 7`d2;?>7E=>0:k275<722c:?<4?::k277<722c:?>4?::m10a<722wx=k;50;0xZ4`234;;57?<1:pbd<72=qUjl5211;9566<58:86<=?;<333?7492wx>;=50;1xZ70434;;?7?<1:?240<6;81v319~w4`12909wS?i6:?242<6;;1v329~w4`?2909wS?i8:?242<6;91v<>>:181[77927:<54=4e9~w4652909w0??9;07`>;6810:?=5rs020>5<5s4;;?7<;d:?24=<6;81v<>;:181877=38?h63>098277=z{8:=6=4={<333?43l27:<54>329~yg42k3:1?7>50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj;?n6=4<:183!4ak3?h7E=>0:k275<722c:?<4?::m10a<722wi>;>50;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17pl=6383>6<729q/>km55d9K7465<o6=44}rdb>5<0s4lj6?8k;cg<58:j6ko4=37`>457348>i7?<1:?125<6;816>;<51238yv7a=3:1>vP>f49>55g=9o?0q~?i6;296~X6n?16==o51g48yv7a?3:1>vP>f69>55g=9o=0q~?i8;296~X6n116==o51g:8yv7a13:1?vP>f89>bc<6n016==o51g;8yvgf2908wSon;d><58:;6l64}r06f?6=:rT99o5224a961bh7>52z\11a=::9j4}r06b?6=:rT99k52272961b52z\124=::?81>9j4}r057?6=0rT9:>52112963b<5ol1>;=4=02b>704348>o7?<1:?11`<6;916>;>512289705289;7p}ib;296~;ai3kh70hi:g`8yv7793:1>v3>018bg>;68h0:<<5r}rc;>5<5sWk370?=8;cb?xu5=k0;6?uQ24`8944?2;?i7p}=5e83>7}Y:7}Y:?;01<<7:342?xu5>:0;6?uQ2718944?2;<87p}>f483>7}Y9o?01<<7:0d6?xu6n?0;6?uQ1g48944?28l=7p}>f683>7}Y9o=01<<7:0d4?xu6n10;6?uQ1g:8944?28l37p}>f883>7}Y9o301<<7:0d:?x{e9<<1<7=51;1xH67528qC=ko4}M127?7|,:l?695r$3d`>7613`kh6=44o34g>5<3d80b5=za0>1<75fab83>>i5>m0;66s|a983>7}Yi116;77;;|q102<72;q6;7<9d:\12a=z{;>36=4={_c`?81=ij1vqo?8b;291?7=;rF8=?4>{I3ee>{K;891:v*"4mj09:i5+3d:9e==i;l>1=6s+2ga9651v<;:309y_4b?38p>84=0;je=<722e9;94?:%05f?40;2d9:l4?;:m12`<72-8=n7<83:l12d<632ci?7>5$34a>g4k0i>6`=6`82?>d029086<4<{M126?7|@8lj7p*=fb8146=nij0;66a=6e83>>of03:17o950;194?6|,;lh6k;4H233?l?32900elm50;9l63b=831vl650;0xZd><5>0286s|25594?4|5>09:i5Q27f8yv4303:1>vPnc:?4>de52z\b<>;02h20q~<9e;296~X5>l16;7<9d:&1a<<5?:1e>h651:pea<72;qUmi527;c`?!4b13h97c4}#;o>186s+2ga965>5}#:oi1j:5G3028md6=831bm?4?::k:0?6=3`kh6=44o34g>5<51;294~"5nj0:>h5G3028k44c2900q~o7:181[g?34=1595rs`;94?4|Vh301:4n0:p611=838p1>?>:00g?81=i;1v?:7:18181=:?n0R?8k;|q10<<72;qUmn527;c`?x{e::=1<7:52;6xH67528qC=ko4}M127?>|,:l?695+3g0971`<,:oj6lm4$2g`>70c3-9n47o7;o1f0?7<,:on6?kj;o1f2?75<6<62:qG?<<51zJ2bd=z,;lh6?>=;hc`>5<50z&1bf>ofk3:17b<9d;29?xuf03:1>vPn8:?4><252z?4>70c3W8=h6s|25:94?4|Vhi01:4nc:~f64a29096=4?{%0eg?73>2B8==5f13d94?=h:=n1<75rs`:94?4|Vh201:4n8:p63b=838pR?8k;<5963b52z\1a`=:;;l1=?h4}r11a?6=:r7<6lm4=20e>72c3twim:4?:582>6}K;881=vF>f`9~H67421q/?k:54:&0b7<4k7:`:8j6c3281/?hk52dg8j6c1291v(?hl:326?lg?2900elm50;9l63b=831b>hk50;9a3?6=;3;1?vB<1382M7ai2w/>km52108mde=831d>;j50;9je=<722h<6=4<:183!4ak3l>7E=>0:k:0?6=3`kh6=44o34g>5<3?g?3ty9:i4?:3y]63b<5>09:i5rs3gf>5<5sW8ni638:`a8yxd2j3:197<55zN057<6sA;mm6sC3019e~"4n=0?7)=i2;17b>"4mh0jo6*"4m00j56`>of13:17dol:188k70c2900e?h9:188f2<72<0968uC30095~N6nh1v(?hl:321?lgd2900c?8k:188md>=831bm44?::kb6?6=3k=1<7;50;2x 7`d2o=0D>??;hc3>5<>ofk3:17b<9d;29?xd4980;6<4?:1y'6ce=9;o0D>??;n31`?6=3tyj47>52z\b<>;020>0q~o6:181[g>34=1m=5rs364>5<5s49:=7?=d:?4>d452z?4>70c3W8=h6s|25;94?4|Vhi01:4nc:~f62?29086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=z{h21<7?4}r05`?6=:rT9:i527;05`>{t:o<1<7=t^3d5?81=ij16?9651228yv53?3:1>v38:`;8962?2;>o7psm18794?3=:3?p@>?=:0yK5cg1=z,;lh6?>7;hc`>5<t$3d`>c1<@:;;7do?:188md4=831b594?::kbg?6=3f8=h7>5;|`054<7280;6=u+2ga957c<@:;;7b?=d;29?xuf03:1>vPn8:?4><2v38:34g?[41l2wx>9750;0xZde<5>0jo6srb0g0>5<22;0>wA=>2;3xL4`f3tF8=>4>{%1e0?25;hc;>5<>d0290>6=4?{%0eg?`03A9:<6gn0;29?lg52900e4:50;9jef<722e9:i4?::a747=83;1<7>t$3d`>44b3A9:<6a>2e83>>{ti10;6?uQa99>3??33tyj57>52z\b=>;02h:0q~<;7;296~;4980:>i527;c1?xu5<10;6?u27;05`>X5>m1v?:6:181[gd34=1mn5r}c3b5?6=;3;1?vB<1382M7ai2wG?<=51z&0b1<33t.9jn4=029jef<722e9:i4?::kb??;h;7>5<<2wx>9950;0x92<5>m1U>;j4}r070;6>4>:2yO744=9rB:jl5rL230>4}#;o>186s+2ga96555<7s-8mo7h:;I124>o><3:17dol:188k70c2900q~o7:181[g?34=1595rs364>5<5s4=1>;j4^34g?xu5<10;6?uQab9>3?gd3twi=:k50;195?5|D:;96h;:59~ 7`d2;:87dol:188k70c2900el650;9a3?6=;3:1N4991b594?::kbg?6=3f8=h7>5;|qbv38:34g?[41l2wx>9650;0xZde<5>0jo6srb0af>5<42808wA=>2;3xL4`f3tF8=>4>{%1e0?25;hc;>5<0;6>4?:1y'6ce=n<1C?<>4i8694?=nij0;66a=6e83>>{ti10;6?uQa99>3??33ty98:4?:3y>3?41l2T9:i5rs36;>5<5sWkh7095ab9~yg3529086<4<{M126?7|@8lj7pB<1282!5a<3>0q)ofk3:17b<9d;29?lg?2900n:4?:283>5}#:oi1j85G3028m<2=831bmn4?::m12a<722wxm54?:3y]e==:?33?7p}=4683>7}:?38=h6P=6e9~w72?2909wSol;<59ef=zuk8m<7>55;091~J49;0:wE?ia:O745=ir.8j94;;%1e6?53n2.8il4nc:&0af<5>m1/?h65a99m7`2=92.8i44n9:l0a0<63-9ni75;hc`>5<5<0;684=:4yO744=9rB:jl5r$3d`>7653`kh6=44o34g>5<>of:3:17o950;794?6|,;lh6k94H233?I56:3;p(<=j:2d3?xof83:17do=:188m<2=831bmn4?::m12a<722wi?i6:m0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:`28yv43?3:1>v3<10826a=:?3k97p}=4983>7}:?38=h6P=6e9~w72>2909wSol;<59ef=zuk9?:7>53;294~"5nj099?5G3028m4572900e<=>:188k72c2900q~o7:181[g?34=1m55rs`;94?4|Vh301>:9:012?xu5>m0;6?uQ27f892<5>m1v?kj:180[4bm27<6lm4=265>4573ty8884?:3y>3?g>349?:7<;d:~f4?>290>6?4:{M126?7|@8lj7pB<128b!5a<3>0(>h=:26e?!5bi3kh7)=jc;05`>"4m10j46`2900elm50;9l63b=831b>hk50;9a3?6==3819vB<1382M7ai2w/>km52108mde=831d>;j50;9je=<722cj57>5;hc1>5<0;684?:1y'6ce=n>1C?<>4i`294?=ni;0;66g64;29?lgd2900c?8k:188yg5693:1=7>50z&1bf<6:l1C?<>4o00g>5<0j<6s|25594?4|5:;:6<36=4={<5963b7}Yij16;7ol;|a710=8391<7>t$3d`>7353A9:<6g>3183>>o6;80;66a=4e83>>{ti10;6?uQa99>3?g?3tyj57>52z\b=>;45<5sW8=h638:34g?xu5ml0;6>uQ2dg892319~w6222909w095a89>710=:=n0qpl>9d83>0<52"4n;088k5+3dc9ef=#;li1>;j4$2g;>d>>ofk3:17b<9d;29?l4bm3:17o950;796?3|D:;966gnc;29?j41l3:17do7:188md?=831bm?4?::`4>5<2290;w)5;hc1>5<1<75fab83>>i5>m0;66sm30394?7=83:p(?hl:00f?M5682e:>i4?::pe=<72;qUm5527;;7?xuf13:1>vPn9:?4>d652z?054<6:m16;7o=;|q10=<72;q6;7<9d:\12a=z{;>26=4={_c`?81=ij1vqo=;6;297?6=8r.9jn4=539K7465<o6=44}rc;>5<5sWk37095a99~wd?=838pRl74=265>4563ty9:i4?:3y]63b<5>09:i5rs3gf>5<4sW8ni638:`a89621289;7p}<4483>7}:?3k270=;6;07`>{zj:<26=4::285I56:3;pD62a3-9nm7ol;%1fg?41l2.8i54n8:l0a1<63-9n57o6;o1f1?7<,:om6?h9;o1f3?65}#:oi1j:5G3028md6=831bm?4?::k:0?6=3`kh6=44o34g>5<51;294~"5nj0:>h5G3028k44c2900q~o7:181[g?34=1595rs`;94?4|Vh301:4n0:p611=838p1>?>:00g?81=i;1v?:7:18181=:?n0R?8k;|q10<<72;qUmn527;c`?x{e;=<1<7<50;2x 7`d28>=7E=>0:k26c<722e98i4?::a71>=8391<7>t$3d`>7353A9:<6g>3183>>o6;80;66a=4e83>>{ti10;6?uQa99>3?g?3tyj57>52z\b=>;4<10:?<5rs34g>5<5sW8=h638:34g?xu5n?0;6>uQ2g489621288m70=;8;304>{t;==1<7;4<1098i5rs266>5<5s4=1mn52354961b<}#:oi1=o64o0`7>5<>o61j0;66g>b883>>d6j<0;684?:1y'6ce=>;1C?<>4i013>5<5<5<53;294~"5nj0>i6F<119j566=831b=>?50;9l61b=831vn5<7s-8mo7;l;I124>o6;90;66g>3083>>i5{t0o0;6?uQ8g9>5g3=9:;0q~?:c;296~X6=j16=o;51228yv3e2909wS;m;<3a2?7482wx>k>50;0xZ7`734;i:7?<1:p534;i97?<3:p5g3=838p12<68r.9jn4>ag9l5d2=831b>kl50;9j1g<722c:n>4?::k2e7<722c8i?4?::k1b=<722c:m<4?::k1b<<722c:9;4?::`2e`<72:0;6=u+2ga91f=O;8:0e<=?:188m4562900c?:k:188yg7f>3:187>50z&1bf<182B8==5f12294?=n9:;1<75f12094?=h:=n1<75rb0c4>5<4290;w)N4991b=>>50;9j567=831d>9j50;9~f4g?29086=4?{%0eg?3d3A9:<6g>3183>>o6;80;66a=4e83>>{e9hk1<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th:mn4?:583>5}#:oi1:=5G3028m4572900e<=>:188m4552900c?:k:188yg7fl3:187>50z&1bf<5=:1C?<>4i013>5<5<o6=44}r3b0?6=:rT:m9521`f961b52z\1bg=:9h21=>>4}r7a>5<4sW?i70?ne;304>;6i?0:?=5rs0`0>5<5sW;i?63>ad8274=z{8k96=4={_3b6>;6i?0:?<5rs2g1>5<5sW9n>63>a78277=z{;l36=4={_0e<>;6ih0:?=5rs0c2>5<4sW;j=63>a`8274=:9hi1=>?4}r0e=?6=:rT9j4521`a9564:7>52z\213=:9hi1=>>4}r3b1?6=:r7:mh4=4e9>5d1=9::0q~?n6;296~;6i?098i521`5956752z?2e2<5v3>a9810a=:9hn1=>>4}r3b=?6=:r7:ml4=4e9>5db=9:;0q~?nb;296~;6ij098i521`f95644?:`84>46|,;lh65;h7a>5<5<5<5<5<4290;w)1<7>t$3d`>37<@:;;7d?<0;29?l7493:17d?<2;29?j43l3:17pl>ec83>6<729q/>km52438L6773`;8<7>5;h305?6=3f8?h7>5;|`2af<72:0;6=u+2ga91f=O;8:0e<=?:188m4562900c?:k:188yg7bm3:1?7>50z&1bf<2k2B8==5f12294?=n9:;1<75`25f94?=zj8l;6=4;:183!4ak3<;7E=>0:k275<722c:?<4?::k277<722e98i4?::a5c7=83>1<7>t$3d`>7343A9:<6g>3183>>o6;80;66g>3383>>i5{t:oh1<76}Y=k16=k<5123894cf289:7p}>b283>7}Y9k9017}Y;l801e683>6}Y9l=01{t9<<1<7o70?jb;305>{t:==1<772c34;no7?<1:p61>=838p1o70?i1;306>{zj8396=46:e821~"5nj0:5?5`16d94?=n:oh1<75f5c83>>o61<0;66g>a383>>o41=0;66g>7d83>>o5n10;66g>9283>>d60<0;6>4?:1y'6ce==j1C?<>4i013>5<5<53;294~"5nj0>h6F<119j566=831b=>?50;9l61b=831vn<67:180>5<7s-8mo7;k;I124>o6;90;66g>3083>>i5t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd60k0;6>4?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3th:4n4?:283>5}#:oi19n5G3028m4572900e<=>:188k72c2900qo?7e;297?6=8r.9jn4:c:J055=n9::1<75f12394?=h:=n1<75rb0;3>5<4290;w)t$3d`>7363A9:<6g>3183>>o6;80;66a=4e83>>{e91;1<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th:4>4?:283>5}#:oi19i5G3028m4572900e<=>:188k72c2900qo?74;290?6=8r.9jn4=529K7465<5<52z\23c=:91>1>9j4}r0ef?6=:rT9jo5219a95668`8275=:91o1=>>4=0;3>4573ty:584?:2y]5<3<582>6<=>;<3;a?7492wx=l<50;1xZ4g534;3:7?<1:?2<=<6;91v>7;:180[5><27:4;4>319>5<6=9:;0q~?8e;297~X6?l16=565123894>4289:7p}=f983>7}Y:o201<6>:013?xu61:0;6?uQ181894>4289;7p}>8183>7}:91?1>9j4=0:a>4573ty:4?4?:3y>5=0=:=n01<66:013?xu60>0;6?u219:961b<58226<=>;|q102<72;q6=57525f894>f289:7p}=4983>7}:91k1>9j4=0:a>4563ty9844?:3y>5=d=:=n01<6l:012?xu58g83>7}:90:1>9j4=0;2>4563ty98o4?:3y>5<7=:=n01<6>:012?xu5;|q2<6<72;q6=5=525f894>328997psm1d094??=l3;>w)i6ko0;66g=fc83>>o2j3:17d?j3;29?l7f:3:17d=l0;29?l7dm3:17d;3:17o?k5;297?6=8r.9jn4:c:J055=n9::1<75f12394?=h:=n1<75rb0f5>5<4290;w)=8391<7>t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd6l00;6>4?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3th:hl4?:283>5}#:oi19i5G3028m4572900e<=>:188k72c2900qo?kb;297?6=8r.9jn4=509K7465<o6=44}c3gg?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f4bb29086=4?{%0eg?3d3A9:<6g>3183>>o6;80;66a=4e83>>{e9l:1<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th:i<4?:283>5}#:oi1>8?4H233?l7483:17d?<1;29?j43l3:17pl>d083>6<729q/>km55b9K7465<o6=44}c3g7?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f4b3290?6=4?{%0eg?42;2B8==5f12294?=n9:;1<75f12094?=h:=n1<75rs0ae>5<5sW;hj63>d5810a=z{;li6=4={_0ef>;6lj0:?=5rs4`94?3|Vdd8275=:9l:1=>>4}r3f7?6=;rT:i>521e79567<58nn6<=>;|q2e7<72:qU=l<4=0f5>45634;o47?<0:p7f6=839pR>m?;<3g2?74827:i=4>309~w4eb2908wS?le:?2`=<6;816=i=51238yv4a03:1>vP=f99>5a7=9::0q~?63;296~X61:16=i=51228yv7c83:1>v3>d4810a=:9mh1=>>4}r3g6?6=:r7:h;4=4e9>5a?=9::0q~?k7;296~;6l1098i521e;956752z?2`<<5v3>d`810a=:9mh1=>?4}r07=?6=:r7:ho4=4e9>5ae=9:;0q~<;a;296~;6lj098i521e6956652z?2``<5v3>e1810a=:9l;1=>?4}r07f?6=:r7:i<4=4e9>5a7=9:;0q~<;c;296~;6l8098i521e6956752z?2`6<5??;h31b?6=3f8?h7>5;|`1b7<72=0;6=u+2ga925=O;8:0e<=?:188m4562900e<==:188k72c2900qo?6b;290?6=8r.9jn490:J055=n9::1<75f12394?=n9:81<75`25f94?=zj8k;6=4<:183!4ak38>>6F<119j566=831b=>?50;9l61b=831vn>8m:180>5<7s-8mo7;l;I124>o6;90;66g>3083>>i55;h305?6=3`;8>7>5;h307?6=3f8?h7>5;|`2fd<72=0;6=u+2ga9605<@:;;7d?<0;29?l7493:17d?<2;29?j43l3:17pl>a283>1<729q/>km5619K7465<5<53;294~"5nj099<5G3028m4572900e<=>:188k72c2900qo5<o6=44}c00=?6=:3:1479K7465<52;294~"5nj0:8;5G3028m44a2900c?:k:188yvg6290:?vPn1:?1b24n8:?172d><583>6l64=0g0>d><58k:6l64=0g4>d><58=n6l64=0af>d><5<81m5522g29e==:9031m55218g9e==:;?31m55rs074>5<5sW;>;63<6c8274=z{83h6=4;{_3:g>;6j10:5n5218`9566<5;l?6<=?;|q202<72;qU=994=075>de52z\23d=:9>h1mi5rs0;f>5<5sW;2i63>9d812a=z{:?j6=4={_16e>;4010:?=5rs9d94?>|V1l01?h8:0d5?84a838ni63>9881a`=:90o1>hk4=24:>7`134;i476i;<3b7?74:2wx=l?50;1xZ4g634;j=7<9d:?2ec<6i81v>7;:180[5><27:5?4<959>5<1=9;l0q~?:c;292~X6=j16>k951g5894d?28?h70;4010:??521`195677>55z\0a7=:9k91mn521`d97`4<58l86>k=;<1;50;1xZ6e734;n>7=l0:?2a0<6:o1v<9j:180[70m27:;h4=6e9>5<4=9>o0q~?j7;297~X6m>16=h9527f894`428o<7p}=a783>7}Y:h<01>67:012?xu40?0;6?uQ394896>>2;>o7p}>9883>7}Y90301<76:34g?xu6kl0;6>uQ1bg894eb2;{t=;0;6?uQ539>17<5>m1v8l50;cxZ0d<5;l<670c34;i47;m;<3bb?3e34;m?7;m;<3:6?3e34;n>7;m;<0e6?74827:m=4>319~w60>2909wS=99:?02<<5>m1v?hn:18184a?38>j63>b`8277=z{8o36=4={<3f3?gd34;m?7?j8:p6cd=83=p1?h8:342?87fn38mn63>f281bg=:9081>kl4=0g1>7`e34;287?<0:?1b1<6;81v>68:18185?13;9j63<89810a=z{8im6=4={<3`a?gd34;n>7?lf:p5g2=839p1{t:o:1<78t=3d4>4`?348m<7<9d:?2f=<5n916=4l51208960e289;70?n3;304>{t:o;1<7d?<5;l96?:k;|q213<72=q6>k951g;894312;;6n:0:9;5rs0c7>5<5s4;j=7ol;<3bb?7f<2wx?9:50;0x97`02;<870;=:`a8yvg02908w0o8:34g?83e2h3015d6=:=n0q~?66;296~;61<0jo63>96810a=z{8h26=4={<3a;6io0:n>521g195g554z?172<5>m169o4=f79>5<3=i016=h=5a89~w4?22909w0?65;05`>;61;0:585rs05e>5<5s4;;61;0:m?521d095d4<58k86?:k;|q2=6<72:q6=4<5181894c5283870?64;07`>{t;?k1<7d?<5:5e4810a=z{8o86=4={<3f7?41l27:i?4>e29~w7`42909w0;5n=098i5rs3d;>55c5=:o201<7=:3d;?87b:38m463>b`8275=:90>1=>?4}r0e=?6=5d`=:o30170b34;2n7?<1:&1a<<6?m1e>h651:~f635290::7=k:40xH67528qC=ko4}M127?4|f:l>6:64$2d7>3=z,;lh6<;n;[0f3?7|:k0vc<;8:188m6be2900c>;i:188m61d2900c<:8:188ma>=831b?o850;9l521=831b554?::k2e4<722c297>5;n1:0?6=3`;247>5;h:6>5<5<5<5<5r$3d`>44e3`k36=44o37a>5<5<5<5<6=44i0d5>5<5<5km51c`8m4`22900e2900elo50;9l60d=831d>8j50;9l60`=831d>;?50;9l635=831d>;:50;9l633=831ijl4?:282>6}K;881=vF>f`9~ 7`d2o30elm50;9l63b=831bm54?::`4>5<4290;w){%30a?5a82wb594?::kbg?6=3f8=h7>5;|qbv38:34g?[41l2wx>9650;0xZde<5>0jo6srb023>5<42808wA=>2;3xL4`f3t.9jn4i9:kbg?6=3f8=h7>5;hc;>5<0;6>4?:1y'6ce=n<1C?<>4L231>4}#9:o1?k>4}h;7>5<<2wx>9950;0x92<5>m1U>;j4}r074>:2yO744=9rB:jl5r$3d`>c?5<7s-8mo7h:;I124>J49;0:w)?{n1=0;66gnc;29?j41l3:17p}n8;296~Xf027<64:4}r073?6=:r7<6?8k;_05`>{t:=21<74<4sE9:>7?tH0db?x"5nj0m56gnc;29?j41l3:17do7:188f2<72:0;6=u+2ga9b0=O;8:0@>?=:0y'56c=;o:0qd7;:188mde=831d>;j50;9~wd>=838pRl64=68:0>{t:==1<7uC30095~N6nh1v(?hl:g;8mde=831d>;j50;9je=<722h<6=4<:183!4ak3l>7E=>0:N057<6s-;8i7=i0:j=1<722cjo7>5;n05`?6=3tyj47>52z\b<>;020>0q~<;7;296~;02;=838pRlm4=68bg>{zj8;>6=4<:080I56:3;pD5;n05`?6=3`k36=44b683>6<729q/>km5f49K74652z\bg>;02hi0qpl>1983>6<62:qG?<<51zJ2bd=z,;lh6k74i`a94?=h:?n1<75fa983>>d029086=4?{%0eg?`23A9:<6B<1382!74m39m?6sf9583>>ofk3:17b<9d;29?xuf03:1>vPn8:?4><252z?4>70c3W8=h6s|25:94?4|Vhi01:4nc:~f46f290>6>48{%0eg?77i2c9:>4?::k2b3<722c:j54?::k2b<<722e:<<4?::`243<72=0;6=u+2ga925=O;8:0e<=?:188m4562900e<==:188k72c2900qo??3;290?6=8r.9jn490:J055=n9::1<75f12394?=n9:81<75`25f94?=zj8:>6=4<:183!4ak38>=6F<119j566=831b=>?50;9l61b=831v?8<:180[41;27:<;4>339>555=9:80q~?i6;297~X6n?16==8512289464289;7p}>f983>7}Y9o201<>9:012?xu6n00;6?uQ1g;89464289:7p}>0083>7}Y99;01<>::36g?xu68;0;6?u2114961b<58:>6<=?;|q246<72;q6===525f89462289:7psm10394?0=;32p(?hl:032?l41;3:17d?i8;29?l7a13:17d?i6;29?l4193:17b??c;29?g7683:187>50z&1bf<192B8==5f12294?=n9:;1<75f12094?=h:=n1<75rb02f>5<4290;w)1<7>t$3d`>7343A9:<6g>3183>>o6;80;66g>3383>>i50;306>;68l0:?=5rs0d;>5<5sW;m463>118274=z{8l26=4={_3e=>;6990:?=5rs0d5>5<5sW;m:63>0d8274=z{;<:6=4={_055>;68o0:??5rs02`>5<5sW;;o63>0g810a=z{8:o6=4={<324?43l27:319~w46b2909w0??e;07`>;68o0:?<5r}c314?6=>3>15v*=fb8265=n:??;h304?6=3`;8=7>5;n07`?6=3th:=o4?:283>5}#:oi19i5G3028m4572900e<=>:188k72c2900qo?>d;297?6=8r.9jn4:c:J055=n9::1<75f12394?=h:=n1<75rb03f>5<2290;w)N4991b=>>50;9j567=831b=><50;9j565=831d>9j50;9~w73e290?wS<:b:?25c<6;816=f683>7}Y9o=017}Y:??011883>7}Y983011b83>7}:98n1>9j4=03f>4543twi=<950;794?6|,;lh6;=4H233?l7483:17d?<1;29?l74:3:17d?<3;29?j43l3:17p}>f483>6}Y9o?01<6s|1g494?3|V8l=70??a;3e2>;6980:j;5213295c0<58;<6<=?;|q2b2<72:qU=k94=003>4`034;:;7?<3:p5c>=839pRf99~w4`>2908wS?i9:?24d<6n016=d><58:;6l64=02a>d><58;96l64=037>d><58;>6l64=03;>d>n7>54z\11g=:9821>;j4=003>73e34;:;7?<1:p60b=839pR?;k;<320?gd34;:97<9d:p60`=839pR?;i;<326?gd34;:87<9d:p637=839pR?8>;<326?41l27:=<4=609~w704290?wS<93:?24g<5>m16==o5271894762;<87p}=6583>6}Y:?>01ko5ab9>556=:?n0q~<95;297~X5><16jl4=6e9>576=:??0q~??1;296~;6890jo63>0`8244=z{8:h6=4={<33f?gd34;:=7??c:p540=838p1o7p}>1883>7}:9821mn52132954?52z\b<>;6:10jm6s|24`94?4|V;?i70?=8;06f>{t:h6s|24d94?4|V;?m70?=8;06b>{t:?;1<7{t:?>1<770?=8;051>{t9o?1<7{t9o=1<7{t9o31<7?=:0yK5cg6=z,;lh6?><;hc`>5<50z&1bf>ofk3:17b<9d;29?xuf03:1>vPn8:?4><252z?4>70c3W8=h6s|25:94?4|Vhi01:4nc:~f4d729086<4<{M126?7|@8lj7pB<1282!5a<390q)ofk3:17b<9d;29?lg?2900n:4?:283>5}#:oi1j85G3028m<2=831bmn4?::m12a<722wxm54?:3y]e==:?33?7p}=4683>7}:?38=h6P=6e9~w72?2909wSol;<59ef=zuk;m87>53;397~J49;0:wE?ia:O745=9r.8j94<;|&1bf<58:1bmn4?::m12a<722cj47>5;c594?5=83:p(?hl:g78L6773`3?6=44i`a94?=h:?n1<75rs`:94?4|Vh201:464:p611=838p1:4=6e9]63b52z\bg>;02hi0qpl<0c83>6<52=qG?<<51zJ2bd=zD:;86{#:oi1>>d029086=4?{%0eg?`23A9:<6g64;29?lgd2900c?8k:188ygge29096=4?{%0eg?73>2B8==5f13d94?=h:=n1<75rs`:94?4|Vh201ll513d8yv43?3:1>v38:8689dd=:=n0q~<;8;296~;02;{zjo:1<7=52;6xH67528qC=ko4}M127?7|,:l?6>5r$3d`>77a3`kh6=44o34g>5<479K7465<7}:?33?70om:36g?xu5<10;6?u27;05`>X5>m1v?:6:181[gd34=1mn5r}cfa>5<02;0iwA=>2;3xL4`f3tF8=>47{%1e0?5<,:l96>:k;%164?5a>2.8in4=6e9'7`>=i11e?h:50:&0a`<5ml1e?h851:'6ce=9ol0V4=51z0f>x\5m>09w>>5328~m7ca2900el650;9j6`c=831d>:950;&12g<5??1e>;o50:9l623=83.9:o4=779m63g=921d>::50;&12g<5??1e>;o52:9l63c=83.9:o4=779m63g=;21i;7>5b;79g~J49;0:wE?ia:O745=?r.8j?4<4g9'7`g=ij1/?hm527f8 6c?2h20b>k;:19'7`c=:lo0b>k9:09~ 7`d2;:o7W7<:3y1a?4d2tP9i:4={2296<k09;;5a27c94>=h:>?1<7*=6c8133=i:?k1=65`26694?"5>k09;;5a27c96>=h:?o1<7*=6c8133=i:?k1?65fb783>!41j3h>7c<9a;28?ld3290/>;l5b49m63g=921bn>4?:%05f?d23g8=m7<4;hcg>5<#:?h1n85a27c97>=e?3:197:58zN057<6sA;mm6sC3019=~"4n;088k5+3dc9ef=#;li1>;j4$2g;>d>76a3`k36=44i`a94?=h:?n1<75f2g494?=n:lo1<75m7;297?7=;rF8=?4>{I3ee>{#:oi1>=<4i`a94?=h:?n1<75fa983>>d029086=4?{%0eg?`23A9:<6g64;29?lgd2900c?8k:188yvg?2909wSo7;<59=1=z{;><6=4={<5963b7}Yij16;7ol;|a77`=8391<7>t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd44?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3th26=4=:183!4ak3;?:6F<119j57`=831d>9j50;9~wd>=838pRl64=8826c=z{hi1<77}Y:?n01:4=6e9~w7`12909wSk4>319~w=<72;q6;7o7;<;961b52z?06c<6;816?9l525f8yv55m3:1>v38:`a8964a2;>o7psma;290?5=>rF8=?4>{I3ee>{K;891;v*5=#;lo1>hk4n2g5>4=z,;lh6???;hc;>5<{I3ee>{#:oi1>=<4i`a94?=h:?n1<75fa983>>d029086=4?{%0eg?`23A9:<6g64;29?lgd2900c?8k:188yvg?2909wSo7;<59=1=z{;><6=4={<5963b7}Yij16;7ol;|a77`=8391<7>t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd>29096=4?{%0eg?73>2B8==5f13d94?=h:=n1<75rs`:94?4|Vh20144>2g9~wde=838pRlm4=20e>4563ty9:i4?:3y]63b<5>09:i5rs3gf>5<5sW8ni63<2g8275=z{10;6?u27;c;?8?=:=n0q~==e;296~;02hi01>2}#;o81?9h4$2gb>de<,:oh6?8k;%1f4$2gf>7cb3g9n:7?4}%0eg?4682cj47>5;hc`>5<5<0;6>4>:2yO744=9rB:jl5r$3d`>7653`kh6=44o34g>5<{t:=21<75<7s-8mo7;k;I124>o6;90;66g>3083>>i5479K7465<52z\1a`=:;;l1=>>4}r:94?4|5>0j4636:36g?xu4:l0;6?u27;c`?855n38?h6srb3494?2=;3?=:0yK5cg62a3-9nm7ol;%1fg?41l2.8i54n8:l0a1<73-9ni75;n05`?6=3`8ni7>5;c594?5=939p@>?=:0yK5cg5;hc;>5<0;6>4?:1y'6ce=n<1C?<>4i8694?=nij0;66a=6e83>>{ti10;6?uQa99>3??33ty98:4?:3y>3?41l2T9:i5rs36;>5<5sWkh7095ab9~yg55n3:1?7>50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj00;6?4?:1y'6ce=9=<0D>??;h31b?6=3f8?h7>5;|qbvPnc:?06c<6;81v?8k:181[41l27<6?8k;|q1a`<72;qU>hk4=20e>4573ty36=4={<59e==:138?h6s|33g94?4|5>0jo63<2g810a=zuk853;294~"5nj099<5G3028m4572900e<=>:188k72c2900q~<5>0j463n:`:894e=i116>;4n8:p6`c=839pR?kj;<596`c<5;=m6<=?;|q13`<72=q6m7h650:p623=838pR?9:;<3`>70c3-8n57<86:l1a=<63ty9;94?:3y]622<5h09:i5+2d;96205<5sW8=i638:34g?!4b138<:6`=e980?xue>3:1>vPm6:?12?gd3-8n57l:;o0fh5m1097p}nd;296~Xfl27<6lm4$3g:>g35r}c00e?6=03<1mvB<1382M7ai2wG?<=55z&0b7<4<01/?hl5bg9'7c>=i2.8ii4=d79'7c1=?2w/>km51ga8^<5=:r8m6?j5}[0f3?4|;809m7s`2ed94?"5>k09hh5a27c94>=h:mn1<7*=6c81``=i:?k1=65`2ea94?"5>k09hh5a27c96>=h:m=1<7*=6c81``=i:?k1?65fc983>!41j3i<7c<9a;28?le1290/>;l5c69m63g=921bo84?:%05f?e03g8=m7<4;ha3>5<#:?h1o:5a27c97>=e::o1<7=51;1xH67528qC=ko4}%0eg?4592cih7>5;h`f>5<5<42:0>w)oel3:17dlj:188k7b12900n>?6:180>5<7s-8mo7;k;I124>o6;90;66g>3083>>i55;h305?6=3f8?h7>5;|`05g<72:0;6=u+2ga9607<@:;;7d?<0;29?l7493:17b<;d;29?xuel3:1?vPmd:?05<<6;816?309~w7b12909wS?7:181856138?h63<1c8275=z{:;26=4={<12e?43l278=o4>309~yvdc2909wSlk;<12g?dc3tyii7>52z\aa>;49j0ii6s|2e494?4|V;n=70=>c;0g2>{zj;9m6=4<:080I56:3;pD5;c12g?6=;3919v*=fb805f=njm0;66gme;29?j4c>3:17o=>9;297?6=8r.9jn4:d:J055=n9::1<75f12394?=h:=n1<75rb23b>5<4290;w)t$3d`>7363A9:<6g>3183>>o6;80;66a=4e83>>{tjm0;6>uQbe9>74?=9:;01>?n:013?xuem3:1?vPme:?05<<6;916?3:1>vP=d79>74d=:=n0q~=>8;296~;490098i5230`956652z?05d<5vPmd:?05f5<5sW8o:63<1b81`3=zuk8?<7>53;397~J49;0:wE?ia:'6ce=:;;0eoj50;9jf`<722e9h;4?::`05f<72:0868u+2ga974e>i5l?0;66l<1883>6<729q/>km55e9K7465<o6=44}c12e?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f67e29086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=z{kn1<7=t^cf8967>289:70=>a;304>{tjl0;6>uQbd9>74?=9::01>?n:012?xu5l?0;6?uQ2e48967e2;>o7p}<1983>7}:;831>9j4=23a>4573ty8=44?:3y>74g=:=n01>?m:012?x{tjm0;6?uQbe9>74e=jm1vok50;0xZgc<5:;h6ok4}r0g2?6=:rT9h;5230a96a0k4?:283>5}#:oi19n5G3028m4572900e<=>:188k72c2900qo=<1;297?6=8r.9jn4:c:J055=n9::1<75f12394?=h:=n1<75rb3g5>5<5290;w)N4991b=?h50;9l61b=831v>;4;80:?<5rs213>5<5s48?<7lk;<105?43l2wx>ih50;0xZ7ba348?<7h650:p6ab=838pR?jk;<00b?4c>2.9i44=dd9m6`>=92wx>im50;0xZ7bd3488i7h652:p6a1=838pR?j8;<0f2?43l2.9i44=dd9m6`>=;2wxo54?:3y]g==::=:1nh5+2d;9g2=i:l21<6s|c783>6}Yk?16>>h5bd9>767=9::0(?k6:b58j7c?281vn;50;1xZf3<5;9n6ok4=20e>4573-8n57m8;o0f;5m?0:>k5+2d;9g2=i:l21?6srs3ge>5<5sW8nj638:3ge?xuf03:1>vPn8:?4>d>52z\1a`=:?38ni6s|26594?5|V;=<70952658975f2j20(?k6:355?k4b03:0q~<85;297~X5?<16;7<85:?17d2.9i44=779m6`>=92wx>::50;1xZ71334=1>::4=31b>f3<,;o26?99;o0f53z\12`=:?38=i63=3`8`4>"5m009;;5a2d:97>{t;;=1<7;5;h09hk5+2d;97705<5s4=1n95222c96ab<,;o26><9;o0f52z?4>g5<5;9j6?jl;%0f=?55>2d9i54=;|q05c<72;q6;7ok;<00e?4c?2.9i44<279m6`>=;2wvn>j>:186>6<1sE9:>7?tH0db?xJ49:0jw)=i4;18 6`52:>m7)=ja;c`?!5bk38=h6*h4m=0:7)=j9;c:?k5b=3:0(>kj:3gf?k5b>3;0q)of03:17do6:188mde=831d>;j50;9j6`c=831i;7>55;091~J49;0:wE?ia:'6ce=:980elm50;9l63b=831bm54?::kb=?6=3`k96=44b683>0<729q/>km5f69K746>o><3:17dol:188k70c2900qo=>1;295?6=8r.9jn4>2d9K7465<5sWk37095959~wd?=838pRl74=68b4>{t:==1<744c34=1m?5rs36;>5<5s4=1>;j4^34g?xu5<00;6?uQab9>3?gd3twi??h50;094?6|,;lh6<:9;I124>o6:o0;66a=4e83>>{e;=<1<7=50;2x 7`d2;?97E=>0:k275<722c:?<4?::m10a<722wxm54?:3y]e==:?3k37p}n9;296~Xf12788;4>319~w70c2909wS<9d:?4>70c3ty9ih4?:2y]6`c<5:8m6<k4=4e9~w6222909w095a89>710=:=n0qpl>7683>1<52{#:oi1><<4i`a94?=h:?n1<75fa983>>o?<3:17o950;694?6|,;lh6k84H233?lg52900e4:50;9jef<722e9:i4?::aeg<72;0;6=u+2ga9510<@:;;7d?=f;29?j43l3:17p}n8;296~Xf027jn7?=f:p611=838p1:464:?bf?43l2wx494?:3y]<1=:?3k97p}=4983>7}:?38=h6P=6e9~w72>2909wSol;<59ef=zuk;>;7>54;091~J49;0:wE?ia:O745=9r.8j94<;|&1bf<59;1bmn4?::m12a<722cj47>5;h:7>5<0;694?:1y'6ce=n?1C?<>4i`094?=n1=0;66gnc;29?j41l3:17plnb;296?6=8r.9jn4>479K7465<7}:?33?70om:36g?xu?<3:1>vP74:?4>d452z?4>70c3W8=h6s|25;94?4|Vhi01:4nc:~f4dd29086<4<{M126?7|@8lj7pB<1282!5a<390q)ofk3:17b<9d;29?lg?2900n:4?:283>5}#:oi1j85G3028m<2=831bmn4?::m12a<722wxm54?:3y]e==:?33?7p}=4683>7}:?38=h6P=6e9~w72?2909wSol;<59ef=zuk;?;7>53;397~J49;0:wE?ia:O745=9r.8j94<;|&1bf<58:1bmn4?::m12a<722cj47>5;c594?5=83:p(?hl:g78L6773`3?6=44i`a94?=h:?n1<75rs`:94?4|Vh201:464:p611=838p1:4=6e9]63b52z\bg>;02hi0qpl:2;297?7=;rF8=?4>{I3ee>{K;891=v*5fab83>>i5>m0;66gn8;29?g1=8391<7>t$3d`>c3<@:;;7d7;:188mde=831d>;j50;9~wd>=838pRl64=68:0>{t:==1<7?<:0y'7c2=;2w/>km520d8mde=831d>;j50;9je=<722h<6=4<:183!4ak3l>7E=>0:k:0?6=3`kh6=44o34g>5<9j50;9~wd>=838pRl64=``957`52z?4><2<5hh1>9j4}r07{t:=31<7h;:29~ 7`d2;;87dol:188k70c2900el650;9a3?6=;3:1N4991G?<<51z&27`<4n91ve4:50;9jef<722e9:i4?::pe=<72;qUm5527;;7?xu5<>0;6?u27;05`>X5>m1v?:7:181[gd34=1mn5r}c16b?6=;3818vB<1382M7ai2wG?<=51z&0b1<43t.9jn4=1g9jef<722e9:i4?::kb??;h;7>5<=7E=>0:k26c<722e98i4?::pe=<72;qUm552ac826c=z{;><6=4={<59=1=:ik098i5rs36;>5<5s4=1>;j4^34g?xu5<00;6?uQab9>3?gd3twi?4:50;196?2|D:;96h;:29~ 7`d2;;m7dol:188k70c2900el650;9a3?6=;3:1N4991b594?::kbg?6=3f8=h7>5;|`bf?6=:3:172c3ty9854?:3y>3?41l2T9:i5rs36:>5<5sWkh7095ab9~yg5d83:1?7<54zN057<6sA;mm6sC30195~"4n=087p*=fb815c=nij0;66a=6e83>>of03:17o950;194?6|,;lh6k;4H233?l?32900elm50;9l63b=831vnll50;094?6|,;lh6<:9;I124>o6:o0;66a=4e83>>{ti10;6?uQa99>eg<6:o1v?:8:18181=1=16mo4=4e9~w72?2909w09527f8Z70c3ty9844?:3y]ef=:?3kh7psm1b694?0=;32p(?hl:0a7?j7en3:17d?l7;29?l7el3:17d?ld;29?l7d=3:17d?mc;29?g7d;3:1?7>50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj8i:6=4<:183!4ak3?o7E=>0:k275<722c:?<4?::m10a<722wi=n<50;694?6|,;lh6?;<;I124>o6;90;66g>3083>>o6;;0;66a=4e83>>{t9kl1<7{t9kn1<7{t9j?1<7{t9j:1<772c34;h>7?<0:p5f7=838p1:36g?87d:3;8>6srb070>5i6c683>>o6<10;66g>c983>>o6k00;66g>c`83>>o6kk0;66g>4`83>>o6k<0;66g>4683>>d6=;0;6>4?:1y'6ce==j1C?<>4i013>5<5<53;294~"5nj0>h6F<119j566=831b=>?50;9l61b=831vn<;?:180>5<7s-8mo7;k;I124>o6;90;66g>3083>>i5>7?<0:p51>=838pR<:7;<366?7492wx=n650;0xZ4e?34;>=7?<1:p5f?=838pR=7?<3:p5fd=838pR<7?<1:p51b=838p1<;=:36g?87293;8<6s|15g94?4|58>n6?:k;<365?74<2wx=9h50;0x94372;>o70?:1;301>{zj=831b=n750;9j5fg=831b=nl50;9j5f3=831b9?4?::`6=?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f01=8391<7>t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd203:1;7>50z&1bf<5=>1C?<>4i013>5<5<5<6=44o36g>5<6=4={_76?83?2;>o7p}>c683>7}Y9j=018751228yv7303:1>vP>499>1<<6;81v47?<1:p5f?=838pR4553ty:ol4?:3y]5fg<5<21=>=4}r3`f?6=:rT:oo52598271=z{8i>6=4={_3`1>;2?3;8<6s|5383>7}Y=;169:4>309~w00=838p187525f890>=9::0q~;8:1818302;>o70;7:016?x{e;1l1<7<50;2x 7`d28>=7E=>0:k26c<722e98i4?::aa`<72:0;6=u+2ga91a=O;8:0e<=?:188m4562900c?:k:188yg7e:3:1?7>50z&1bf<5=81C?<>4i013>5<5<0:k275<722c:?<4?::m10a<722wii94?:283>5}#:oi19i5G3028m4572900e<=>:188k72c2900qo=k6;290?6=8r.9jn4:f:J055=n9::1<75f12394?=n9:81<75`25f94?=zj8=26=4;:183!4ak38>86F<119j566=831b=>?50;9j564=831d>9j50;9~f6>229086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=zjm?1<7=50;2x 7`d2;?:7E=>0:k275<722c:?<4?::m10a<722wiii4?:483>5}#:oi1:85G3028m4572900e<=>:188m4552900e<=<:188k72c2900qok9:186>5<7s-8mo789;I124>o6;90;66g>3083>>o6;;0;66g>3283>>i50<729q/>km5659K7465<5<o6=44}c1gN4991b=>>50;9j567=831b=><50;9j565=831d>9j50;9~f6>d29086=4?{%0eg?3c3A9:<6g>3183>>o6;80;66a=4e83>>{e;0;1<7=50;2x 7`d2;?:7E=>0:k275<722c:?<4?::m10a<722wi?5k50;194?6|,;lh68m4H233?l7483:17d?<1;29?j43l3:17plja;297?6=8r.9jn4=509K7465<o6=44}c3aa?6=<3:1N4991b=>>50;9j567=831b=><50;9l61b=831vn5<7s-8mo7<:8:J055=n9::1<75f12394?=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`25f94?=zj8>26=4<:183!4ak3?h7E=>0:k275<722c:?<4?::m10a<722wi=8;50;194?6|,;lh6?;>;I124>o6;90;66g>3083>>i55;h305?6=3f8?h7>5;|`g5?6=<3:1N4991b=>>50;9j567=831b=><50;9l61b=831vni950;794?6|,;lh6?;:;I124>o6;90;66g>3083>>o6;;0;66g>3283>>i56<729q/>km55b9K7465<o6=44}c155?6=<3:1N4991b=>>50;9j567=831b=><50;9l61b=831vn>8::185>5<7s-8mo7<:6:J055=n9::1<75f12394?=n9:81<75f12194?=n9:>1<75`25f94?=zj:3j6=4;:183!4ak3<;7E=>0:k275<722c:?<4?::k277<722e98i4?::a7t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd4i;0;6;4?:1y'6ce=:<<0D>??;h304?6=3`;8=7>5;h306?6=3`;8?7>5;h300?6=3f8?h7>5;|`0=`<72:0;6=u+2ga91f=O;8:0e<=?:188m4562900c?:k:188yg5d>3:1?7>50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj:ih6=49:183!4ak38>:6F<119j566=831b=>?50;9j564=831b=>=50;9j562=831d>9j50;9~f6e?29086=4?{%0eg?3d3A9:<6g>3183>>o6;80;66a=4e83>>{e;9n1<7<50;2x 7`d28>=7E=>0:k26c<722e98i4?::a7ag=8381<7>t$3d`>4213A9:<6g>2g83>>i5k4?::m10a<722wi?;=50;094?6|,;lh6<:9;I124>o6:o0;66a=4e83>>{e;h:1<7<50;2x 7`d28>=7E=>0:k26c<722e98i4?::a7fg=8381<7>t$3d`>4213A9:<6g>2g83>>i5;6=>09:i52147956752z\0`g=:;9n1=?h4}r16b?6=52z\03f=:9>31=>?4}r373?6={t;k<1<7;6?>09:i5214795662hi01>>m:`:89c6=i116ho4n8:?0`4bc8b<>;>13k370?m0;c;?87a<3k370?mc;c;?873?3k370;=:`:896>42h201nh5a99>70`=i116?4:5a99>7f6=i11v>7;:180[5><278594=6e9>72290>wS6:;<3af?7a?2784h4>319>5gc=9:;01<:6:012?xu4k90;6>uQ3b2896e72;{t9l<1<710;6?uQ37:8943>288m7p}<8283>7}Y;1901>6<:34g?xu40h0;6?uQ39c896>a2;>o7p}:2;297~X2:27>>7<9d:?6e?353ty8h<4?:2y]7a7<5:n:6?8k;4573tyno7>52z?fa?74927nh7<;d:p=<<72>q6=ol51g489;4>80:?=5238c956653z?2f5<5>m16=o<5122896?f289:7p}k4;296~;dn3kh70j::36g?xuc>3:1>v3k7;07`>;c;3;8=6s|16:94?4|58=<6lm4=05:>72c3ty85=4?:3y>7<7=:=n01>6j:012?xu48k0;6?u231`963b<5:n=6<==;|q025<72;q6?;?525f89602289;7p}7}:;ji1>9j4=2a;>4563tyhj7>53z?`b?41l2785<4>319>`6<6;91v>m::18185d>38?h632g9~wc6=838p1k>527f89`2=9:;0q~?:4;296~;6=<098i5215`95677cb34o96?:k;|qf7?6=;r7on7309>ad<58;:181851=38?h63<62826c=z{:n>6=4={<1g5?4bm278h;4=4e9~w43?2909w0?:7;c`?872138?h6s|38;94?5|5:3j6?:k;<1b6?748278on4>319~w6?e2909w0=6c;07`>;4i;0:?<5rs2;g>5<5s492i7<;d:?0e5<6:o1v>6;:18185?;3kh70=75;07`>{t9o>1<7=t=0d7>70c34;i>7?<1:?g5?7492wxio4?:3y>a`<5bc82b0=:9k81>9j4=2:`>45734;ii7?<2:?20<<6;916?;?51238yvb72909w0j::013?8b62;>o7p}k2;296~;c=3;8=63k3;07`>{t9kl1<7de<58i?67}:;1l1=?h4=2:f>72c3ty:o84?:5y>5f2=9j?01<;<:0a6?83f28i>70?l6;07`>{t;9i1<7de<5::o6?:k;|q20f<72;q6=995ab9>505=9=i0q~;::1818352hi018o5549~w`3=839p1h8525f89`g=9::01>jn:00e?xub?3:1>v3j8;07`>;bi3;8=6s|1b594?>|58hi6?;m;<3`0?7d?27:9>4>c69>1d<6k>16=n8512289a7=9:801>8>:011?85>i3;8>6s|1b:94?>|58hi6?;k;<367?7d027>m7?l8:?2g3<6;816h:4>319>733=9:;01>7l:012?85d>3;8=6s|1b;94?>|58hi6?;i;<367?7d127>m7?l9:?2g3<6;;16h:4>309>733=9:801>o=:011?85dk3;8>6s|1bc94??|58hi6?8>;<367?7di27>m7?la:?0<0<6;916=n8512189a1=9:801>8::010?85f:3;8?634>cc9>1d<6kk16?5;5123894e1289?70j8:010?851=3;8863:4}r3`g?6=:r7:no4=659>5f0=9:?0q~?ld;297~;6jk09:8521b695fb<58i=6<=9;|q027<72;q6?8h5ab9>735=:=n0q~=l9;296~;4k90jo63349om7<;d:p7d7=838p1>o=:36g?85>m3;8=6s|38d94?4|5:3?6lm4=2c3>72c3ty:ni4?:3y>5f2=9kn01u21ca963b<58i?6370;n:06;?873138?h6s|15c94?4|58?86<:n;<37f?43l2wx?i950;0x96b1289;70=k8;07`>{tm90;68u2dc8132=:mm0:?>52e78276=:m10:?>523e:9567<,;o26ih4n3g;>5=z{mo1<7;t=e`9623<5ln1=><4=d49564<5l21=>?4=2f;>4543-8n57ji;o0f71334oo6<=>;45634o36<=?;<1g55z?gf?41m27nh7?<0:?f2?74827n47?<2:?0`=<6;;1/>h75dg9m6`>=;2wvn>:l:18;>4?=:oqG?<<51zJ2bd=zD:;86?ua3g79<6=#;o>1:6s+2ga9e6=]:l=1?v==:33951>i4j00;66a75;29?g74n3:1;7?57zN057<6sA;mm6sC30195~"4n=0;7p*=fb826f=ni10;66a=5c83>>i5=m0;66a=5g83>>i5>80;66g>f483>>o6n?0;66l>2983>25;hcb>5<5<5<3`kh6=44o34g>5<3d80b5=za0>1<75fab83>>i5>m0;66s|a983>7}Yi116;77;;|q102<72;q6;7<9d:\12a=z{;>36=4={_c`?81=ij1vqo??0;297?7=;rF8=?4>{I3ee>{#:oi1j45fab83>>i5>m0;66gn8;29?g1=8391<7>t$3d`>c3<@:;;7A=>2;3x 45b2:l;7pg64;29?lgd2900c?8k:188yvg?2909wSo7;<59=1=z{;><6=4={<5963b7}Yij16;7ol;|abc<72<096;u+2ga9bc=nnh0;66g>f483>>o6n?0;66g>0183>>iaj3:17ohj:187>5<7s-8mo7;i;I124>o6;90;66g>3083>>o6;;0;66a=4e83>>{enm0;6>4?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3tymm7>52z\ee>;al3;8<6s|1g794?4|V8l>70hj:013?xu6n?0;6?uQ1g489cc=9:;0q~??0;296~X68916jh4>339~wcd=838pRkl4=gf961b72c34lo6<=>;|a55g=83>1?78t$3d`>46f3`;m97>5;h334?6=3`lj6=44o022>5<0:k275<722c:?<4?::m10a<722wi===50;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17pl>0483>6<729q/>km52438L6773`;8<7>5;h305?6=3f8?h7>5;|q2b0<72:qU=k;4=025>45734;;?7?<1:p556=838pR<>?;<332?7492wxjl4?:3y]bd=:9991=>>4}r335?6=:rT:<<52117961b7>52z?243<5v3>02810a=:99?1=>?4}|`11f<72:0;6=u+2ga91`=O;8:0e<=?:188m4562900c?:k:188yg42m3:1?7>50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj;<;6=4<:183!4ak3?h7E=>0:k275<722c:?<4?::m10a<722wi>;<50;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17p}ia;293~;ai38=h63if;db?877i3lj70<:c;305>;5=l0:?=522729567<5;<96<=>;|q245<72>q6==>527f89c`=99:01<>n:023?842k3;8<63=5d8274=::?:1=>>4=341>4573ty:j84?:2y]5c3<5ol1=k;4=02b>4`23ty:j;4?:3y]5c0<5ol1=k84}rcb>5<4sWkj70hn:`:894672h20q~<:b;296~X5=k16>8m525f8yv42l3:1>vP=5e9>60c=:=n0q~<:f;296~X5=o16>;>525f8yv4193:1>vP=609>634=:=n0q~hm:1818`f2hi01kh5fc9~w4662909w0??0;c`?877i3;;=6srs`:94?4|Vh201<<7:`c8yv42j3:1>vP=5c9>57>=:vP=5g9>57>=:816=?652738yv7a=3:1>vP>f49>57>=9o?0q~?i6;296~X6n?16=?651g48yxda83:197<55zN057<6sA;mm6sC30192~"4n=0;7)=i2;17b>"4mh0jo6*{#:oi1>=94Z8196~432;81qW;:ka7?6=,;5=h5>h0:76l8:180>4<4sE9:>7?tH0db?x"5nj09<>5fab83>>i5>m0;66gn8;29?g1=8391<7>t$3d`>c3<@:;;7d7;:188mde=831d>;j50;9~wd>=838pRl64=68:0>{t:==1<75<42808wA=>2;3xL4`f3t.9jn4=029jef<722e9:i4?::kb??;h;7>5<<2wx>9950;0x92<5>m1U>;j4}r07d><5h0j46s|26694?4|V;=?70o527f8 7c>2;=87cvP=6d9>3?41l2.9i44=729m6`>=92wxn>4?:3y]f6=:i3kh7)5<02;0iwA=>2;3xL4`f3tF8=>4n{%1e0?6<,:l96>:k;%164?5a>2.8in4=6e9'7`>=i11e?h:51:&0a<;%1fa?4bm2d8i;4>;|&1bf<5891Q5>4>{3g9y_4b?38p?=4<3;je=<722cj57>5;h0fa?6=3f8<;7>5$34a>7113g8=m7>4;n041?6=,;5$34a>7113g8=m7<4;n05a?6=,;:i;%1fe?gd3-9no7<9d:&0a=;%1f=?g>3g9n97?4$2gf>7cb3g9n:7?4}%0eg?46<2P2?7v=?:3;9ylg?2900el750;9j6`c=831d>:950;&12g<5??1e>;o50:9l623=83.9:o4=779m63g=921d>::50;&12g<5??1e>;o52:9l63c=83.9:o4=779m63g=;21bn;4?:%05f?d23g8=m7>4;h`7>5<#:?h1n85a27c95>=nj:0;6)<9b;`6?k41i3807dok:18'63d=j<1e>;o53:9a3?6==391;vB<1382M7ai2wG?<=59z&0b7<4k7:`:8j6c3281/?h75a89m7`3=92.8ih4=ed9m7`0=92w/>km52078md>=831bm44?::kbg?6=3f8=h7>5;h0fa?6=3k=1<7;52;7xH67528qC=ko4}%0eg?47:2cjo7>5;n05`?6=3`k36=44i`;94?=ni;0;66l8:186>5<7s-8mo7h8;I124>J49;0:w)?{ni90;66gn2;29?l?32900elm50;9l63b=831vn>?>:182>5<7s-8mo7?=e:J055=h9;n1<75rs`:94?4|Vh201:464:pe<<72;qUm4527;c3?xu5<>0;6?u2303957b<5>0j>6s|25:94?4|5>09:i5Q27f8yv4313:1>vPnc:?4>dek4?:283>5}#:oi19i5G3028m4572900e<=>:188k72c2900qo=;6;297?6=8r.9jn4=509K7465<o6=44}rc;>5<5sWk37095a99~wd?=838pRl74=265>4563tyjo7>52z\bg>;4:o0:?<5rs34g>5<5sW8=h638:34g?xu5ml0;6>uQ2dg8964a289;70=;6;304>{t;;o1<7;4:o098i5rs266>5<5s4=1m452354961bs-9m>7=;f:&0ad=i11e?h:51:&0a<;%1fa?4bm2d8i;4>;|&1bf<59?1bm54?::kb=?6=3`kh6=44o34g>5<0<52=;hc`>5<t$3d`>c1<@:;;7A=>2;3x 45b2:l;7pgn0;29?lg52900e4:50;9jef<722e9:i4?::a747=83;1<7>t$3d`>44b3A9:<6a>2e83>>{ti10;6?uQa99>3??33tyj57>52z\b=>;02h:0q~<;7;296~;4980:>i527;c1?xu5<10;6?u27;05`>X5>m1v?:6:181[gd34=1mn5r}c11b?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f62129086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=z{h21<7?4}rc`>5<5sWkh70==f;305>{t:?n1<77}:?3kh70==f;07`>{t;=?1<7;45<22:02;3xL4`f3tF8=>46{%1e6?53n2.8il4nc:&0af<5>m1/?h65a99m7`2=92.8i44n9:l0a0<63-9ni75;hc`>5<5<0;684=:4yO744=9rB:jl5r$3d`>7653`kh6=44o34g>5<>of:3:17o950;794?6|,;lh6k94H233?I56:3;p(<=j:2d3?xof83:17do=:188m<2=831bmn4?::m12a<722wi?i6:m0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:`28yv43?3:1>v3<10826a=:?3k97p}=4983>7}:?38=h6P=6e9~w72>2909wSol;<59ef=zuk99j7>53;294~"5nj0>h6F<119j566=831b=>?50;9l61b=831vn>:9:180>5<7s-8mo7<:1:J055=n9::1<75f12394?=h:=n1<75rs`:94?4|Vh201:4n8:pe<<72;qUm452354956777`=9::01>:9:013?xu4:l0;6?u27;c`?855n38?h6s|35794?4|5>0j563<47810a=zuk8=6=4::284I56:3;pDs-9m>7=;f:&0ad=i11e?h:51:&0a<;%1fa?4bm2d8i;4>;|&1bf<5911bm54?::kb=?6=3`kh6=44o34g>5<0<52=;hc`>5<t$3d`>c1<@:;;7A=>2;3x 45b2:l;7pgn0;29?lg52900e4:50;9jef<722e9:i4?::a747=83;1<7>t$3d`>44b3A9:<6a>2e83>>{ti10;6?uQa99>3??33tyj57>52z\b=>;02h:0q~<;7;296~;4980:>i527;c1?xu5<10;6?u27;05`>X5>m1v?:6:181[gd34=1mn5r}c11b?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f62129086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=z{h21<7?4}rc`>5<5sWkh70==f;305>{t:?n1<77}:?3kh70==f;07`>{t;=?1<7;45<2sWk37095a99>e?g?34;h6l64=349e==z{h31<7;t^`;89263<5ml1v?98:181[40?279:7<9d:&1a<<5??1e>h650:p623=838pR?9:;<3`>70c3-8n57<86:l1a=<63ty9;94?:3y]622<5h09:i5+2d;96205<5sW8=i638:34g?!4b138<:6`=e980?xue>3:1>vPm6:?12?gd3-8n57l:;o0fh5m1097p}nd;296~Xfl27<6lm4$3g:>g35r}c00e?6=03<1mvB<1382M7ai2wG?<=55z&0b7<4<01/?hl5bg9'7c>=i2.8ii4=d79'7c1=?2w/>km51ga8^<5=:r8m6?j5}[0f3?4|;809m7s`2ed94?"5>k09hh5a27c94>=h:mn1<7*=6c81``=i:?k1=65`2ea94?"5>k09hh5a27c96>=h:m=1<7*=6c81``=i:?k1?65fc983>!41j3i<7c<9a;28?le1290/>;l5c69m63g=921bo84?:%05f?e03g8=m7<4;ha3>5<#:?h1o:5a27c97>=e::o1<7=51;1xH67528qC=ko4}%0eg?4592cih7>5;h`f>5<5<42:0>w)oel3:17dlj:188k7b12900n>?6:180>5<7s-8mo7;k;I124>o6;90;66g>3083>>i55;h305?6=3f8?h7>5;|`05g<72:0;6=u+2ga9607<@:;;7d?<0;29?l7493:17b<;d;29?xuel3:1?vPmd:?05<<6;816?309~w7b12909wS?7:181856138?h63<1c8275=z{:;26=4={<12e?43l278=o4>309~yvdc2909wSlk;<12g?dc3tyii7>52z\aa>;49j0ii6s|2e494?4|V;n=70=>c;0g2>{zj;9m6=4<:080I56:3;pD5;c12g?6=;3919v*=fb805f=njm0;66gme;29?j4c>3:17o=>9;297?6=8r.9jn4:d:J055=n9::1<75f12394?=h:=n1<75rb23b>5<4290;w)t$3d`>7363A9:<6g>3183>>o6;80;66a=4e83>>{tjm0;6>uQbe9>74?=9:;01>?n:013?xuem3:1?vPme:?05<<6;916?3:1>vP=d79>74d=:=n0q~=>8;296~;490098i5230`956652z?05d<5vPmd:?05f5<5sW8o:63<1b81`3=zuk8?<7>53;397~J49;0:wE?ia:'6ce=:;;0eoj50;9jf`<722e9h;4?::`05f<72:0868u+2ga974e>i5l?0;66l<1883>6<729q/>km55e9K7465<o6=44}c12e?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f67e29086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=z{kn1<7=t^cf8967>289:70=>a;304>{tjl0;6>uQbd9>74?=9::01>?n:012?xu5l?0;6?uQ2e48967e2;>o7p}<1983>7}:;831>9j4=23a>4573ty8=44?:3y>74g=:=n01>?m:012?x{tjm0;6?uQbe9>74e=jm1vok50;0xZgc<5:;h6ok4}r0g2?6=:rT9h;5230a96a0k4?:283>5}#:oi19n5G3028m4572900e<=>:188k72c2900qo=<1;297?6=8r.9jn4:c:J055=n9::1<75f12394?=h:=n1<75rb3g5>5<5290;w)N4991b=?h50;9l61b=831v>;4;80:?<5rs213>5<5s48?<7lk;<105?43l2wx>ih50;0xZ7ba348?<7h650:p6ab=838pR?jk;<00b?4c>2.9i44=dd9m6`>=92wx>im50;0xZ7bd3488i7h652:p6a1=838pR?j8;<0f2?43l2.9i44=dd9m6`>=;2wxo54?:3y]g==::=:1nh5+2d;9g2=i:l21<6s|c783>6}Yk?16>>h5bd9>767=9::0(?k6:b58j7c?281vn;50;1xZf3<5;9n6ok4=20e>4573-8n57m8;o0f;5m?0:>k5+2d;9g2=i:l21?6srs`:94?4|Vh201:4n8:pe<<72;qUm4527;c:?xu5ml0;6?uQ2dg892<5ml1v?98:180[40?27<6?98;<00e?e?3-8n57<86:l1a=<73ty9;84?:2y]623<5>09;85222c9g3=#:l31>:84n3g;>4=z{;=?6=4<{_040>;02;=?70<l0;6>uQ27g892<5>l16>>o5c19'6`?=:><0b?k7:29~w6402909w095b79>66g=:ml0(?k6:205?k4b03:0q~==5;296~;02k>01?=n:3fg?!4b1399:6`=e982?xu4:=0;6?u27;`0?844i38oo6*=e88063=i:l21>6s|30d94?4|5>0jh63=3`81`2=#:l31??84n3g;>6=zuk8h47>55;091~J49;0:wE?ia:O745=9r.8j94?;|&1bf<5811bmn4?::m12a<722cj47>5;hc:>5<?>:182>5<7s-8mo7?=e:J055=h9;n1<75rs`:94?4|Vh201:464:pe<<72;qUm4527;c3?xu5<>0;6?u2303957b<5>0j>6s|25:94?4|5>09:i5Q27f8yv4313:1>vPnc:?4>de4?:481>0}K;881=vF>f`9~H67428q/?k:50:'6ce=:920elm50;9l63b=831bm54?::kb=?6=3`k96=44b683>0<729q/>km5f69K746>o><3:17dol:188k70c2900qo=>1;295?6=8r.9jn4>2d9K7465<5sWk37095959~wd?=838pRl74=68b4>{t:==1<744c34=1m?5rs36;>5<5s4=1>;j4^34g?xu5<00;6?uQab9>3?gd3twi>?<50;d96?7dsE9:>7?tH0db?xJ49:03w)=i4;28 6`52:>o7)=:0;1e2>"4mj09:i5+3d:9e==i;l>1=6*h4m<0:7p*=fb8144=]1:0:w<;5}[0f3?4|9>0:57sfa983>>of13:17b<82;29 70e2;=:7c<9a;28?j4083:1(?8m:352?k41i3;07b<9f;29 70e2;=:7c<9a;08?j40l3:1(?8m:352?k41i3907b<8c;29 70e2;=:7c<9a;68?j40j3:1(?8m:352?k41i3?07b<8a;29 70e2;=:7c<9a;48?j4013:1(?8m:352?k41i3=07b<88;29 70e2;=:7c<9a;:8?j40?3:1(?8m:352?k41i3307b<85;29 70e2;=:7c<9a;c8?j40<3:1(?8m:352?k41i3h07b<9e;29 70e2;=:7c<9a;a8?g1=83;h6i4>czN057<6sA;mm6sC30193~"4n;088k5+3dc9ef=#;li1>;j4$2g;>d>v?::009y_4b?38p=:4>0;je=<722cj57>5;n046?6=,;;o05e?6<3f8<<7>5$34a>7163g8=m7?4;n05b?6=,;;o05e?4<3f85$34a>7163g8=m7=4;n04g?6=,;;o05e?2<3f85$34a>7163g8=m7;4;n04e?6=,;;o05e?0<3f8<57>5$34a>7163g8=m794;n04;o05e?><3f8<;7>5$34a>7163g8=m774;n041?6=,;;o05e?g<3f8<87>5$34a>7163g8=m7l4;n05a?6=,;;o05e?e<3`h:6=4+27`9f5=i:?k1<65fag83>!41j3h;7c<9a;38?lgb290/>;l5b19m63g=:21bnn4?:%05f?d73g8=m7=4;h`a>5<#:?h1n=5a27c90>=njh0;6)<9b;`3?k41i3?07dl6:18'63d=j91e>;o56:9jf=<72-8=n7l?;o05e?1<3`h<6=4+27`9f5=i:?k1465fb783>!41j3h;7c<9a;;8?ld3290/>;l5b19m63g=i21bn>4?:%05f?d73g8=m7l4;hcg>5<#:?h1n=5a27c9g>=e?3:197<55zN057<6sA;mm6s+2ga965>5}#:oi1j:5G3028md6=831bm?4?::k:0?6=3`kh6=44o34g>5<51;294~"5nj0:>h5G3028k44c2900q~o7:181[g?34=1595rs`;94?4|Vh301:4n0:p611=838p1>?>:00g?81=i;1v?:7:18181=:?n0R?8k;|q10<<72;qUmn527;c`?x{ei3:197<55zN057<6sA;mm6s+2ga965>5}#:oi1j:5G3028md6=831bm?4?::k:0?6=3`kh6=44o34g>5<51;294~"5nj0:>h5G3028k44c2900q~o7:181[g?34=1595rs`;94?4|Vh301:4n0:p611=838p1>?>:00g?81=i;1v?:7:18181=:?n0R?8k;|q10<<72;qUmn527;c`?x{e9j0;684=:4yO744=9rB:jl5r$3d`>76?3`kh6=44o34g>5<>of:3:17o950;794?6|,;lh6k94H233?lg72900el<50;9j=1<722cjo7>5;n05`?6=3th8=<4?:083>5}#:oi1=?k4H233?j75l3:17p}n8;296~Xf027<64:4}rc:>5<5sWk27095a19~w7202909w0=>1;31`>;02h80q~<;8;296~;02;{zj;<1<7;52;7xH67528qC=ko4}%0eg?4702cjo7>5;n05`?6=3`k36=44i`;94?=ni;0;66l8:186>5<7s-8mo7h8;I124>of83:17do=:188m<2=831bmn4?::m12a<722wi?i6:m0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:`28yv43?3:1>v3<10826a=:?3k97p}=4983>7}:?38=h6P=6e9~w72>2909wSol;<59ef=zuk836=4::386I56:3;pD5;hc:>5<?>:182>5<7s-8mo7?=e:J055=h9;n1<75rs`:94?4|Vh201:464:pe<<72;qUm4527;c3?xu5<>0;6?u2303957b<5>0j>6s|25:94?4|5>09:i5Q27f8yv4313:1>vPnc:?4>de55;091~J49;0:wE?ia:'6ce=:920elm50;9l63b=831bm54?::kb=?6=3`k96=44b683>0<729q/>km5f69K746>o><3:17dol:188k70c2900qo=>1;295?6=8r.9jn4>2d9K7465<5sWk37095959~wd?=838pRl74=68b4>{t:==1<744c34=1m?5rs36;>5<5s4=1>;j4^34g?xu5<00;6?uQab9>3?gd3twi?84?:481>0}K;881=vF>f`9~ 7`d2;:37dol:188k70c2900el650;9je<<722cj>7>5;c594?3=83:p(?hl:g58L6773`k;6=44i`094?=n1=0;66gnc;29?j41l3:17pl<1083>4<729q/>km513g8L6773f;9h7>5;|qb2909wSo6;<59e5=z{;><6=4={<125?75l27<6l<4}r07{t:=31<7850;796?3|D:;965<2290;w)5;hc1>5<1<75fab83>>i5>m0;66sm30394?7=83:p(?hl:00f?M5682e:>i4?::pe=<72;qUm5527;;7?xuf13:1>vPn9:?4>d652z?054<6:m16;7o=;|q10=<72;q6;7<9d:\12a=z{;>26=4={_c`?81=ij1vqo=8:186>7<2sE9:>7?tH0db?x"5nj09<55fab83>>i5>m0;66gn8;29?lg>2900el<50;9a3?6==3:1N4991bm=4?::kb6?6=3`3?6=44i`a94?=h:?n1<75rb232>5<6290;w)N4991d=?j50;9~wd>=838pRl64=68:0>{ti00;6?uQa89>3?g73ty98:4?:3y>747=9;n01:4n2:p61>=838p1:4=6e9]63b52z\bg>;02hi0qpl<8;291?4==rF8=?4>{I3ee>{#:oi1>=64i`a94?=h:?n1<75fa983>>of13:17do=:188f2<72<0;6=u+2ga9b2=O;8:0el>50;9je7<722c287>5;hc`>5<9950;0x9676288o7095a39~w72?2909w09527f8Z70c3ty9844?:3y]ef=:?3kh7psmb;291?4==rF8=?4>{I3ee>{#:oi1>=64i`a94?=h:?n1<75fa983>>of13:17do=:188f2<72<0;6=u+2ga9b2=O;8:0el>50;9je7<722c287>5;hc`>5<9950;0x9676288o7095a39~w72?2909w09527f8Z70c3ty9844?:3y]ef=:?3kh7psmc;291?4==rF8=?4>{I3ee>{#:oi1>=64i`a94?=h:?n1<75fa983>>of13:17do=:188f2<72<0;6=u+2ga9b2=O;8:0el>50;9je7<722c287>5;hc`>5<9950;0x9676288o7095a39~w72?2909w09527f8Z70c3ty9844?:3y]ef=:?3kh7psmd;291?4==rF8=?4>{I3ee>{#:oi1>=64i`a94?=h:?n1<75fa983>>of13:17do=:188f2<72<0;6=u+2ga9b2=O;8:0el>50;9je7<722c287>5;hc`>5<9950;0x9676288o7095a39~w72?2909w09527f8Z70c3ty9844?:3y]ef=:?3kh7ps|a983>`}Yi116;7o7;:5a99>70d><5:21m552b;c;?8e=i116h7o7;|qb=?6=mrTj5638:`;89dd?<5;21m452358b=>;4=3k270=9:`;8961=i016?54n9:?a>d?<5j0j563k:`;8yv40:3:1>vP=739>`?41l2.9i44=709m6`>=82wx>:>50;0xZ71734i1>;j4$3g:>7163g8n47?4}r05b?6=:rT9:k52b;05`>"5m009;<5a2d:96>{t:>n1<7h5m1087p}=7b83>7}Y:>i01>9527f8 7c>2;=:7cvP=7c9>73<5>m1/>h752638j7c?2<1v?9n:181[40i27897<9d:&1a<<5?81e>h656:p62?=838pR?96;<17>70c3-8n57<81:l1a=<03ty9;54?:3y]62><5;21>;j4$3g:>7163g8n4764}r043?6=:rT9;:5227812a=#:l31>:?4n3g;><=z{;=>6=4={_041>;6k38=h6*=e88134=i:l21m6s|26694?4|V;=?70o527f8 7c>2;=:7cvP=6d9>3?41l2.9i44=709m6`>=k2wxn<4?:3y]f4=:l3kh7)7}Yil16n7ol;%0f=?d73g8n47<4}r``>5<5sWhh70=7:`a8 7c>2k:0b?k7:29~wgd=838pRol4=259ef=#:l31n=5a2d:90>{tjh0;6?uQb`9>7352z\a=>;4=3kh7)g6h658:pf3<72;qUn;52278bg>"5m00i<6`=e98:?xue<3:1>vPm4:?2g?gd3-8n57l?;o0fh75b19m6`>=j2wxmi4?:3y]ea=:?3kh7)4g=91099vB<1382M7ai2wG?<=55z&0b7<4<01/?hl5bg9'7c>=i2.8ii4=d79'7c1=?2w/>km51gf8^<5=:r;=6<=5}[0f3?4|910:=7s`2e`94?"5>k09hl5a27c94>=h:m31<7*=6c81`d=i:?k1=65`2e:94?"5>k09hl5a27c96>=h:l?1<7*=6c81`d=i:?k1?65`2d694?"5>k09hl5a27c90>=h:l91<7*=6c81`d=i:?k1965`2d094?"5>k09hl5a27c92>=h:l;1<7*=6c81`d=i:?k1;65`2d294?"5>k09hl5a27c9<>=h:ml1<7*=6c81`d=i:?k1565`2ef94?"5>k09hl5a27c9e>=h:mi1<7*=6c81`d=i:?k1n65`2e594?"5>k09hl5a27c9g>=nk=0;6)<9b;a0?k41i3:07dm=:18'63d=k:1e>;o51:9jg4<72-8=n7m<;o05e?4<3`in6=4+27`9g6=i:?k1?65fce83>!41j3i87c<9a;68?led290/>;l5c29m63g==21boo4?:%05f?e43g8=m784;hab>5<#:?h1o>5a27c93>=nk00;6)<9b;a0?k41i3207dm7:18'63d=k:1e>;o59:9jg3<72-8=n7m<;o05e?g<3`i>6=4+27`9g6=i:?k1n65fc183>!41j3i87c<9a;a8?g44m3:1?7?53zN057<6sA;mm6s+2ga9677>i5l?0;66l<1b83>6<42km530a8mgb=831bnh4?::m1`3<722h8=44?:283>5}#:oi19i5G3028m4572900e<=>:188k72c2900qo=>a;297?6=8r.9jn4:d:J055=n9::1<75f12394?=h:=n1<75rb23a>5<4290;w)N4991b=>>50;9j567=831d>9j50;9~wgb=839pRoj4=23:>456349:m7?<0:pf`<72:qUnh5230;9566<5:;j6<=>;|q1`3<72;qU>i84=23a>72c3ty8=54?:3y>74?=:=n01>?m:013?xu4900;6?u230c961b<5:;i6<=>;|pfa<72;qUni5230a9fa=z{ko1<74>:2yO744=9rB:jl5r$3d`>7463`ho6=44icg94?=h:m<1<75m30a94?5=;3?p(?hl:23`?ldc2900eok50;9l6a0=831i?<750;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17pl<1`83>6<729q/>km55e9K7465<o6=44}c12f?6=;3:1319~wgc=839pRok4=23:>457349:m7?<1:p6a0=838pR?j9;<12f?43l2wx?<650;0x967>2;>o70=>b;304>{t;831<772c349:n7?<1:~wgb=838pRoj4=23`>gb7}Y:m<01>?l:3f5?x{e:=:1<7=51;1xH67528qC=ko4}%0eg?4592cih7>5;h`f>5<5<42:0>w)oel3:17dlj:188k7b12900n>?6:180>5<7s-8mo7;k;I124>o6;90;66g>3083>>i55;h305?6=3f8?h7>5;|`05g<72:0;6=u+2ga9607<@:;;7d?<0;29?l7493:17b<;d;29?xuel3:1?vPmd:?05<<6;816?309~w7b12909wS?7:181856138?h63<1c8275=z{:;26=4={<12e?43l278=o4>309~yvdc2909wSlk;<12g?dc3tyii7>52z\aa>;49j0ii6s|2e494?4|V;n=70=>c;0g2>{zj;>:6=4<:080I56:3;pD5;c12g?6=;3919v*=fb805f=njm0;66gme;29?j4c>3:17o=>9;297?6=8r.9jn4:d:J055=n9::1<75f12394?=h:=n1<75rb23b>5<4290;w)t$3d`>7363A9:<6g>3183>>o6;80;66a=4e83>>{tjm0;6>uQbe9>74?=9:;01>?n:013?xuem3:1?vPme:?05<<6;916?3:1>vP=d79>74d=:=n0q~=>8;296~;490098i5230`956652z?05d<5vPmd:?05f5<5sW8o:63<1b81`3=zuk8?>7>53;397~J49;0:wE?ia:'6ce=:;;0eoj50;9jf`<722e9h;4?::`05f<72:0868u+2ga974e>i5l?0;66l<1883>6<729q/>km55e9K7465<o6=44}c12e?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f67e29086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=z{kn1<7=t^cf8967>289:70=>a;304>{tjl0;6>uQbd9>74?=9::01>?n:012?xu5l?0;6?uQ2e48967e2;>o7p}<1983>7}:;831>9j4=23a>4573ty8=44?:3y>74g=:=n01>?m:012?x{tjm0;6?uQbe9>74e=jm1vok50;0xZgc<5:;h6ok4}r0g2?6=:rT9h;5230a96a04?:282>6}K;881=vF>f`9~ 7`d2;8:7dlk:188mgc=831d>i850;9a74e=8391?7;t$3d`>67d3`ho6=44icg94?=h:m<1<75m30;94?5=83:p(?hl:4f8L6773`;8<7>5;h305?6=3f8?h7>5;|`05d<72:0;6=u+2ga91a=O;8:0e<=?:188m4562900c?:k:188yg56j3:1?7>50z&1bf<5=81C?<>4i013>5<5<289;70=>a;305>{t:m<1<7o70=>b;305>{z{kn1<7i84=23`>7b13twi>9:50;195?5|D:;964:{%0eg?56k2cih7>5;h`f>5<5<4290;w)t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd49k0;6>4?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3tyih7>53z\a`>;4900:?<5230c9566;49k098i5rs23;>5<5s49:57<;d:?05g<6;91v>?6:181856i38?h63<1c8274=zuzho6=4={_`g?856k3ho7p}me;296~Xem278=n4me:p6a0=838pR?j9;<12g?4c>2wvn?:::180>4<4sE9:>7?tH0db?x"5nj09><5fbe83>>oem3:17b5;c12=?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f67f29086=4?{%0eg?3c3A9:<6g>3183>>o6;80;66a=4e83>>{e;8h1<7=50;2x 7`d2;?:7E=>0:k275<722c:?<4?::m10a<722wxni4?:2y]fa=:;831=>?4=23b>4573tyii7>53z\aa>;4900:?=5230c956752z\1`3=:;8h1>9j4}r1274d=9::0q~=>9;296~;49h098i5230`956752z\a`>;49j0ih6s|bd83>7}Yjl16?{I3ee>{#:oi1>??4icf94?=njl0;66a=d783>>d49j0;6>4<:4y'6ce=;8i0eoj50;9jf`<722e9h;4?::`05<<72:0;6=u+2ga91a=O;8:0e<=?:188m4562900c?:k:188yg56i3:1?7>50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj:;i6=4<:183!4ak38>=6F<119j566=831b=>?50;9l61b=831voj50;1xZgb<5:;26<=>;<12e?7482wxnh4?:2y]f`=:;831=>>4=23b>4563ty9h;4?:3y]6a0<5:;i6?:k;|q05=<72;q6?<7525f8967e289;7p}<1883>7}:;8k1>9j4=23a>4563twxni4?:3y]fa=:;8i1ni5rscg94?4|Vko01>?l:cg8yv4c>3:1>vP=d79>74e=:m<0qpl=3c83>6<62:qG?<<51zJ2bd=z,;lh6?<>;h`g>5<i850;9a74?=8391<7>t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd49h0;6>4?:1y'6ce==m1C?<>4i013>5<5<53;294~"5nj099<5G3028m4572900e<=>:188k72c2900q~lk:180[dc349:57?<1:?05d<6;91vok50;1xZgc<5:;26<=?;<12e?7492wx>i850;0xZ7b1349:n7<;d:p74>=838p1>?6:36g?856j3;8<6s|30;94?4|5:;j6?:k;<12f?7492wvoj50;0xZgb<5:;h6oj4}r`f>5<5sWhn70=>c;`f?xu5l?0;6?uQ2e48967d2;n=7psm22a94?5=939p@>?=:0yK5cg5<29086=4?{%0eg?3c3A9:<6g>3183>>o6;80;66a=4e83>>{e;8k1<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th8=o4?:283>5}#:oi1>8?4H233?l7483:17d?<1;29?j43l3:17p}md;297~Xel278=44>309>74g=9::0q~lj:180[db349:57?<0:?05d<6;81v?j9:181[4c>278=o4=4e9~w67?2909w0=>9;07`>;49k0:?=5rs23:>5<5s49:m7<;d:?05g<6;81vq~lk:181[dc349:o7lk;|qaa?6=:rTii63<1b8aa>{t:m<1<75<42808wA=>2;3xL4`f3t.9jn4=209jfa<722cii7>5;n0g2?6=3k9:o7>53;191~"5nj08=n5fbe83>>oem3:17b50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj:;j6=4<:183!4ak3?o7E=>0:k275<722c:?<4?::m10a<722wi?;I124>o6;90;66g>3083>>i56}Yjm16?<751238967f289;7p}me;297~Xem278=44>319>74g=9:;0q~v3<18810a=:;8h1=>>4}r12=?6=:r78=l4=4e9>74d=9:;0qp}md;296~Xel278=n4md:pf`<72;qUnh5230a9f`=z{;n=6=4={_0g2>;49j09h;5r}c11b?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f65629086=4?{%0eg?3d3A9:<6g>3183>>o6;80;66a=4e83>>{e;:91<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th8?84?:283>5}#:oi19n5G3028m4572900e<=>:188k72c2900qo=<7;297?6=8r.9jn4:c:J055=n9::1<75f12394?=h:=n1<75rb21:>5<4290;w)t$3d`>0e<@:;;7d?<0;29?l7493:17b<;d;29?xd4;m0;6>4?:1y'6ce==j1C?<>4i013>5<5<53;294~"5nj0>o6F<119j566=831b=>?50;9l61b=831vn>:>:180>5<7s-8mo7;l;I124>o6;90;66g>3083>>i55;h305?6=3f8?h7>5;|`1a3<72;0;6=u+2ga9510<@:;;7d?=f;29?j43l3:17p}<2d83>6}:::l1ni5233d961b<5:9:6<=>;|q075<72:q6>9>5be9>767=:=n01>=<:012?xu4;;0;6>u22539fa=:;:91>9j4=216>4563ty8?94?:2y>614=jm16?>;525f89650289:7p}<3783>6}::=91ni52325961b<5:926<=>;|q07=<72:q6>9:5be9>76?=:=n01>=m:012?xu4<;0;6?u222f9fa=:;=91>9j4}r10e?6=;r79884md:?07g<5j51238yv54k3:1?v3=478a`>;4;m098i5232d956753z?17g717=9:;0q~=;0;297~;5;j0ih63<40810a=:;=91=>?4}r0gf?6=:rT9ho5222f96a0<,;o26?jn;o0f52z\1`<=:::i1>i84$3g:>7bf3g8n47?4}r0g52z\1a0=::=<1>i84$3g:>7bf3g8n47=4}r0f0?6=:rT9i95225796a0<,;o26?jn;o0f52z\1a6=::=>1>i84$3g:>7bf3g8n47;4}r0f6?6=:rT9i?5225196a0<,;o26?jn;o0f52z\1a4=::=81>i84$3g:>7bf3g8n4794}r0f4?6=:rT9i=5225396a0<,;o26?jn;o0f52z\1`c=::=:1>i84$3g:>7bf3g8n4774}r0g`?6=:rT9hi5222d96a0<,;o26?jn;o0f52z\1`f=:::o1>i84$3g:>7bf3g8n47l4}r0g3?6=:rT9h:522d4961b<,;o26?jn;o0f53z\`5>;5;k0ii63<408275=#:l31o>5a2d:96>{tkl0;6>uQcd9>610=jl16?>h51228 7c>2j90b?k7:29~wfb=839pRnj4=366>gc<5:9o6<=?;%0f=?e43g8n47:4}ra`>5<4sWih70<;4;`f?854j3;8<6*=e88`7>h5m10>7p}lb;297~Xdj2798>4me:?07<<6;91/>h75c29m6`>=>2wxol4?:2y]gd=::=81nh523259566<,;o26n=4n3g;>2=z{j31<7=t^b;897262ko01>=::013?!4b13i87c;4;80:?=5+2d;9g6=i:l21m6s|c483>6}Yk<16>>k5bd9>77`=9::0(?k6:b18j7c?2k1vn>50;6xZf6<5;9n6oj4=20e>456348n:7?=f:&1a<vPn9:?4>d?7>53z\137=:?38<>63=3`8`0>"5m009;<5a2d:94>{t:>:1<7=t^353?81=:>:01?=n:b08 7c>2;=:7c3?41n279?l4l1:&1a<<5?81e>h652:p62b=839pR?9k;<5962b<5;9j6nk4$3g:>7163g8n47=4}r04g?6=;rT9;n527;04g>;5;h0hh6*=e88134=i:l2186s|26`94?5|V;=i709526`8975f2ji0(?k6:352?k4b03?0q~<8a;297~X5?h16;7<8a:?17d=>2wx>:750;1xZ71>34=1>:74=31b>fg<,;o26?9>;o0f53z\13==:?38<463=3`8`=>"5m009;<5a2d:9<>{t:>=1<7=t^354?81=:>=01?=n:b:8 7c>2;=:7c3?40=279?l4l6:&1a<<5?81e>h65a:p622=839pR?9;;<59622<5;9j6n;4$3g:>7163g8n47l4}r05a?6=;rT9:h527;05a>;5;h0h<6*=e88134=i:l21o6s|33194?4|5>0i=63=3`81`g=#:l31??<4n3g;>5=z{:8:6=4={<59ec=:::k1>i74$3g:>6453g8n47?4}r114?6=:r7<6lk4=31b>7b?3-8n57==2:l1a=<53ty8>i4?:3y>3?dd3488m7h653:p77e=838p1:4mb:?17d<5m=1/>h753308j7c?2=1v>>o52d18 7c>2:897cv38:c;8975f2;o97)h5m10=7p}<2883>7}:?3h370<"5m008>?5a2d:93>{t;;21<7;5;h09i=5+2d;97745<5s4=1n;5222c96a`<,;o26><=;o0f52z?4>g2<5;9j6?jk;%0f=?55:2d9i54n;|q061<72;q6;7l<;<00e?4ck2.9i44<239m6`>=j2wx?>of03:17do6:188md4=831i;7>55;294~"5nj0m;6F<119je5<722cj>7>5;h;7>5<:183!4ak3;9i6F<119l57b=831vl650;0xZd><5>0286s|a883>7}Yi016;7o?;|q102<72;q6?9650;0x92<5>m1U>;j4}r07=?6=:rTjo638:`a8yxd41:0;6>4>:2yO744=9rB:jl5rL230>4}#;o>1<6s+2ga96555<7s-8mo7h:;I124>o><3:17dol:188k70c2900q~o7:181[g?34=1595rs364>5<5s4=1>;j4^34g?xu5<10;6?uQab9>3?gd3twi484?:282>6}K;881=vF>f`9~H67428q/?k:50:'6ce=:990elm50;9l63b=831bm54?::`4>5<4290;w)5;hc`>5<5<5sWk37095959~w7202909w09527f8Z70c3ty9854?:3y]ef=:?3kh7psm3c;94?5=939p@>?=:0yK5cg5=z,;lh6?><;hc`>5<50z&1bf>ofk3:17b<9d;29?xuf03:1>vPn8:?4><252z?4>70c3W8=h6s|25:94?4|Vhi01:4nc:~f60b29086<4<{M126?7|@8lj7pB<1282!5a<3:0q)ofk3:17b<9d;29?lg?2900n:4?:283>5}#:oi1j85G3028m<2=831bmn4?::m12a<722wxm54?:3y]e==:?33?7p}=4683>7}:?38=h6P=6e9~w72?2909wSol;<59ef=zuk88:7>5e;1955}#:oi1>>84o311>5<5<5<5<5<5<5<5<>290;w)t$3d`>31<@:;;7d?<0;29?l7493:17d?<2;29?l74;3:17d?<4;29?j43l3:17pl=3483>6<729q/>km55b9K7465<o6=44}r006?6=:rT9??52227961b52z\166=:::>1=>>4}r01552221956652z\16<=:::91=>?4}r01e?6=:rT9>l52221956452z\16g=:::91=>:4}r01g?6=:rT9>n52221956552z\16a=:::91=>;4}r01a?6=:rT9>h52221956152z\16c=:::91=>84}r004?6=:rT9?=52226956752z\161=:::>1=>=4}r011?6=:rT9>852226956452z\162=:::>1=>:4}r007?6=:r79?>4=4e9>663=9::0q~<<4;296~;5;=098i52227956755;193~"5nj03n6a76;29?l73<3:17d=9e;29?l>d2900e5;50;9a?29086=4?{%0eg?3c3A9:<6g>3183>>o6;80;66a=4e83>>{e000;6>4?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3ty3:7>52z\;2>;?138?h6s|15694?4|V8>?706n:012?xu4>l0;6?uQ37g89=g=9::0q~6l:181[>d34236<=?;|q;1?6=:rT396378;305>{t0>0;6?u28`810a=:000:?=5rs9:94?4|5121>9j4=9;95672}#:oi1?:84o252>5<86=44i24e>5<5<6=4<:183!4ak3?o7E=>0:k275<722c:?<4?::m10a<722wi?:=50;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17pl<7583>6<729q/>km52438L6773`;8<7>5;h305?6=3f8?h7>5;|q034<72;qU?:?4=257>72c3ty:8>4?:3y]515<5:=>6<=>;|q02c<72;qU?;h4=256>4573ty8;:4?:3y]721<5:=86<=?;|q02`<72;qU?;k4=250>4563ty8;?4?:3y>723=:=n01>9;:013?xu4?:0;6?u2361961b<5:=?6<=>;|a517=83>1<7>t$3d`>0`<@:;;7d?<0;29?l7493:17d?<2;29?j43l3:17plka;297?6=8r.9jn4:d:J055=n9::1<75f12394?=h:=n1<75rb224>5<4290;w)t$3d`>32<@:;;7d?<0;29?l7493:17d?<2;29?l74;3:17b<;d;29?xd5kk0;684?:1y'6ce=>?1C?<>4i013>5<5<5<55;294~"5nj0=96F<119j566=831b=>?50;9j564=831b=>=50;9l61b=831vn?j=:180>5<7s-8mo7<:1:J055=n9::1<75f12394?=h:=n1<75rb9f94?2=83:p(?hl:370?M5682c:?=4?::k274<722c:??4?::m10a<722wi?:>50;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17pl<7983>6<729q/>km52438L6773`;8<7>5;h305?6=3f8?h7>5;|`1`5<72;0;6=u+2ga9510<@:;;7d?=f;29?j43l3:17p}n1;29g~Xf927:?k4n8:?e4?g?348mh7o7;<0`6l64=2`:>d><5:55z\02`=:;?o1>;j4=9`973c<5:==6>8j;<144?7482wxh54?:3y]`==:n90jh6s|38094?4|V:3970=63;c`?xu4j00;6?uQ3c;896d>2;23ty:8=4?:3y>56`=9o?01<:>:36g?xu5kh0;6?u22b`961b<5;n96<=?;|q1gf<72;q6>nj525f897b5289:7p}>4383>6}:9:l1>8l4=9f9566<5:=36<=?;|q206<72=q6=>h524f8961128>8706k:012?85003;8=6s|15694?5|589m6?;i;<:a>423342o6<==;|q1gc<72;q6>n65ab9>6a6=:=n0q~?;5;296~;6;o09:<523c;9ef=z{:=<6=4={<142?50?278;54=4e9~w6162909w0=9e;c`?850>39<=6s|d883>3}::on1m4522b:9e<=:;991m4521539566<5mk1>9j4=224>4563ty8<;4?:5y>6cb=:lo01?<=:`;897562h301>>8:36g?xu?>3:1>v375;c`?8>e21<0q~6l:1818>e21i015j525f8yv44:3:1>v3=308bg>;5;?09??5rs220>5<4s49;?7<9d:?204<6;816?=951228yv5>;3:1?v3>3g82b3=:;091>;j4=253>4563ty8<94?:3y>755=ij16?=;525f8yv51n3:1>v3<77802c=:;>:1>9j4}r0g5?6=:r79h?4=4e9>6a6=9;l0q~<<1;296~;5;809:i5215395647>55z\1`6=::on1>:94=226>454348hn7?<3:?1ga<6;;1/>h753138j7c?291v>>?:18784al38<963<048274=::jh1=><4=3ag>4563-8n57=?1:l1a=<63ty9jk4?:5y>6cb=:>>01>>::013?84dj3;8=63=ce8275=#:l31?=?4n3g;>7=z{;ln6=4;{<0e`?41m278<84>339>6fd=9::01?mk:010?!4b139;=6`=e980?xua;3:1>v3i0;040>;ci3;8=6*=e88e6>h5m10;7p}i1;297~;a83h870h?:34f?8bf289;7)52z?167<5?916>>852378 7c>2;8=7cv3=23812c=:::<1>?:4$3g:>7413g8n47<4}r004?6=:r79>?4=7e9>660=:::0(?k6:305?k4b0390q~<=f;296~;5:;09;n52224967`<,;o26?<9;o0f52z?167<5?k16>>8523g8 7c>2;8=7cv3=23813d=:::<1>?j4$3g:>7413g8n4784}r01g?6=:r79>?4=789>660=:;i0(?k6:305?k4b03=0q~<=b;296~;5:;09;552224967d<,;o26?<9;o0f52z?167<5?>16>>8523c8 7c>2;8=7cv3=238130=:::<1>?74$3g:>7413g8n47o4}r01?4=759>660=:;20(?k6:305?k4b03h0q~<=3;296~;5:;09:h522249675<,;o26?<9;o0f44|D:;96h::9f8 6`32?1v(?hl:004?j5cj3:17d?6c;29?j50k3:17d?6e;29?l52i3:17b6i:188mc2=831b>5>50;9j6=7=831b>4>50;9j6d0=831b=>650;9j6d4=831b=4750;9a2<<72:0969uC30095~N6nh1v@>?<:0y'7c2=:2w/>km520`8mde=831d>;j50;9je=<722h<6=4<:183!4ak3l>7E=>0:N057<6s-;8i7=i0:j=1<722cjo7>5;n05`?6=3thjn7>52;294~"5nj0:8;5G3028m44a2900c?:k:188yvg?2909wSo7;44a3ty98:4?:3y>3??334ki6?:k;|q10=<72;q6;7<9d:\12a=z{;>26=4={_c`?81=ij1vqo=8c;297?7=;rF8=?4>{I3ee>{K;891=v*5fab83>>i5>m0;66gn8;29?g1=8391<7>t$3d`>c3<@:;;7d7;:188mde=831d>;j50;9~wd>=838pRl64=68:0>{t:==1<7?<:0y'7c2=:2w/>km520a8mde=831d>;j50;9je=<722c3i7>5;c594?2=83:p(?hl:g:8L6773`3?6=44i`a94?=n:?i1<75`27f94?=z{h21<7<2wx4h4?:3y]<`=:?38=o6s|25594?4|5>09:i5Q27f8yv4303:1>vPnc:?4>de53;294~"5nj099?5G3028m4572900e<=>:188k72c2900qo5<5<53;294~"5nj0>o6F<119j566=831b=>?50;9l61b=831vn>6?:187>5<7s-8mo78?;I124>o6;90;66g>3083>>o6;;0;66a=4e83>>{e;ml1<7:50;2x 7`d2??;h304?6=3`;8=7>5;h306?6=3f8?h7>5;|`5f?6=:3:1479K7465<52;294~"5nj0:8;5G3028m44a2900c?:k:188yv5cj3:1>vP7ad=:?n0q~?6c;296~X61j16>o951228yv50k3:1>vP<7b9>72e=:?n0q~?6e;296~X61l16>o951238yv52i3:18vP<5`9>7ad=0l165=4>319>2g<6:o1v5h50;6xZ=`<50:1>9j4=2:3>456349oj7?<1:pb1<72=qUj952688b<>;4?j0j463{t:h<1<7;4lo0:??5rs0;:>5<5sW;2563=b98277=z{:o;6=4={<1gf?gd349n=7<;d:p2<<72;q6:44=6e9>=5<6;81v>6>:181850k3kh70=72;07`>{t;mo1<772c349n=7?=f:p6g3=839p1?l7:36g?85?83;8>632g<59i:18185?838?h63<83826c=zuk9i:7>53;397~J49;0:wE?ia:O745=9r.8j949;|&1bf<6nl1bik4?::k04=<722e9h;4?::`4>5<4290;w)k4?::kg5;|qfb?6=:rTnj638:e:8yv43?3:1>v38:36g?[4c>2wx>9650;0xZ66?34=1=?h4}|`01a<72:0:6>uC30095~N6nh1v@>?<:0y'7c2=>2w/>km51gg8m``=831b?=650;9l6a0=831i;7>53;294~"5nj02>6F<119j57`=831bh54?::m10a<722wxik4?:3y]ac=:?3n37p}=4683>7}:?38?h6P=d79~w72?2909wS=?8:?4>44a3twi?4950;195?5|D:;96h;:79~ 7`d28ln7dki:188m66?2900c?j9:188f2<72:0;6=u+2ga9=7=O;8:0e<=831d>9j50;9~w``=838pRhh4=68g<>{t:==1<7obn3:17d=?8;29?j4c>3:17o950;194?6|,;lh64<4H233?l75n3:17dj7:188k72c2900q~ki:181[ca34=1h55rs364>5<5s4=1>9j4^3f5?xu5<10;6?uQ31:892<6:o1vqo=k3;297?7=;rF8=?4>{I3ee>{K;891=v*>o4810;66a=d783>>d029086=4?{%0eg??53A9:<6g>2g83>>oc03:17b<;d;29?xubn3:1>vPjf:?4>a>52z?4>72c3W8o:6s|25:94?4|V::3709513d8yxd4=<0;6?4?:1y'6ce=9=<0D>??;h31b?6=3f8?h7>5;|`01<<72;0;6=u+2ga9510<@:;;7d?=f;29?j43l3:17pl<6683>7<729q/>km51548L6773`;9j7>5;n07`?6=3th89:4?:283>5}#:oi1>8?4H233?l7483:17d?<1;29?j43l3:17pl7<729q/>km51548L6773`;9j7>5;n07`?6=3ty8n;4?:5y]7g0<5:>n6>l9;<166?5e>278n;4=d79~w<0=839pR484=232>44c349i:7=?8:p72b=838pR>9k;<165?50k2wx?8l50;6xZ63e349m=7=:a:?016<4=h16?8?534c8yv5ck3:1>vP707=;mh0q~=l1;297~X4k816?k?53b2896342:i;7p}7}Y;ki01>h>:2``?xu>?3:1?vP67:?051<5>>16?8?52938yv5e<3:1>vP7c7=;k>0q~=9c;296~X4>j16?8<537:8yv5e:3:1>vP7c7=;h90q~=89;296~X4?016?k?536;8yv51m3:1>vP<6d9>71e=;?o0q~j7:180[b?349>>7j7;<17g?b?3tym87>56z\e0>;4;4=:0j=63<4b8b5>;4=80m86s|36g94?4|V:=n70=:2;14g>{t;{t;081<76s|3c194?4|V:h870=i1;1``>{t;mn1<7{t;h=1<77}Y1116?8<5999~w<3=838pR4;4=271><387>52z\011=:;9j4}r1653z\0=0=:;o;1?4:4=270>6?33ty8nl4?:3y]7gg<5:l:6>ln;|q0<6<72;qU?5=4=271>6>43ty85;4?:3y]7<0<5:3<6?j9;|q0`7<72;qU?i<4=2f0>7b13ty84;4?:3y]7=0<5:?86>69;|q06>f3ty8i>4?:5y]7`5<5:>n6>k=;<1e5?5b:2789>42909wS=99:?016<4>01v?j<:18185a938o863<4b81`6=z{;k<6=4={<17a?4f?2789<4=a79~w4302909w0=:3;363>;4=;0:9:5rs0;`>5<4s49?i7?6c:?016<61j16?8?518a8yv73?3:1>v3<528202=:;<81=994}r1a3?6=:r78n;4jf:?0f=<592789<4=919~w7`22909w0=;e;0e1>;4=8094=5rs4194?4|5:?968<4=274>4563ty>87>52z?016<2:2789:4>319~w6??2909w0=:2;1:0>;41>08<55rs3a;>5<5s49m=7h>:9d8963421l01>;>:9d8yv70?3:1>v3<52823d=:;<81=:94}r3b5?6=:r789>4>a09>704=9h;0q~=m9;296~;46=48{<166?>2349?o76:;<16`?ca3492;7ki;<1`7?ca349o?7ki;<153?75n2wx=8m50;0x962b28?h70=:3;36g>{t;j>1<76e7349h?7=?8:p700=838p1>;::00e?852?38?h6s|16g94?4|5:?86<9j;<166?7>02wx>4>50;0x962b2;3;70=i1;0:4>{t9l=1<74c0349>>7?j7:p70c=838p1>;=:27e?852l39;46s|2`494?5|5:>n6?o9;<1e5?4f>2789>4=a79~w45?2909w0=;e;30<>;4=80:?55rs2f7>5<5s49>>7=k1:?0`6<4811v?o=:18185a938j>63<5081e7=z{8326=4={<167?7>12789<4>989~w4eb2909w0=:3;3`a>;4=;0:i;5rs4`94?4|5:?868l4=27:>44a3ty9484?:3y]6=3<5:l:6?6:;%0f=?4?<2d9i54?;|q1<6<72;qU>5=4=2d2>7>43-8n57<74:l1a=<63ty94h4?:3y]6=c<5:l:6?6j;%0f=?4?<2d9i54=;|q15j4=2d2>7>c3-8n57<74:l1a=<43ty94n4?:3y]6=e<5:l:6?6l;%0f=?4?<2d9i54;;|q15l4=2d2>7>e3-8n57<74:l1a=<23ty94l4?:3y]6=g<5:l:6?6n;%0f=?4?<2d9i549;|q1<<<72;qU>574=2d2>7>>3-8n57<74:l1a=<03ty9454?:3y]6=><5:l:6?67;%0f=?4?<2d9i547;|q1<2<72;qU>594=2d2>7>03-8n57<74:l1a=<>3ty94;4?:3y]6=0<5:l:6?69;%0f=?4?<2d9i54n;|q1<7<72;qU>5<4=2d2>7>53-8n57<74:l1a=52z\4f>;4h5m10;7p}89;296~X012788h4;7:&1a<<0i2d9i54>;|q4;4n80?:6*=e884e>h5m1097p}87;297~X0?2788h4;4:?0b4<3<2.9i448a:l1a=<43ty<97>53z\41>;463"5m00;4n80?<6*=e884e>h5m10=7p}82;297~X0:2788h453z\45>;4"5m00;4n808o6*=e884e>h5m10j7p}9e;297~X1m2788h453z\5`>;4"5m00;4n80>=6*=e884e>h5m10n7p}72;297~X?:2788h4:0:?0b4<282.9i448a:l1a=52z\;5>;4n80?j6*=e884e>h5m10:<6s|8183>7}Y0916?k?54d9'6`?=?h1e>h65109~w2`=838pR:h4=2d2>1b<,;o26:o4n3g;>44h7)4:p3f<72;qU;n523g390d=#:l31;l5a2d:950=z{><1<7|I|I/O/GTS4|INPUT||||||||| -P3|A_FSB<6>|I|I/O/GTS1|INPUT||||||||| -P4|A_FSB<7>|I|I/O/GTS2|INPUT||||||||| +P2|TIE||I/O/GTS4|||||||||| +P3|TIE||I/O/GTS1|||||||||| +P4|TIE||I/O/GTS2|||||||||| P5|VCC||VCCINT|||||||||| -P6|A_FSB<8>|I|I/O|INPUT||||||||| +P6|TIE||I/O|||||||||| P7|A_FSB<9>|I|I/O|INPUT||||||||| P8|A_FSB<10>|I|I/O|INPUT||||||||| P9|A_FSB<11>|I|I/O|INPUT||||||||| @@ -111,10 +111,10 @@ P90|nDinOE|O|I/O|OUTPUT||||||||| P91|nRES|I/O|I/O|BIDIR||||||||| P92|nIPL2|I|I/O|INPUT||||||||| P93|nVPA_FSB|O|I/O|OUTPUT||||||||| -P94|A_FSB<1>|I|I/O|INPUT||||||||| -P95|A_FSB<2>|I|I/O|INPUT||||||||| -P96|A_FSB<3>|I|I/O|INPUT||||||||| -P97|A_FSB<4>|I|I/O|INPUT||||||||| +P94|TIE||I/O|||||||||| +P95|TIE||I/O|||||||||| +P96|TIE||I/O|||||||||| +P97|TIE||I/O|||||||||| P98|VCC||VCCINT|||||||||| P99|TIE||I/O/GSR|||||||||| P100|GND||GND|||||||||| diff --git a/cpld/XC95144XL/WarpSE.pnx b/cpld/XC95144XL/WarpSE.pnx index fdb0b80..5591abf 100644 --- a/cpld/XC95144XL/WarpSE.pnx +++ b/cpld/XC95144XL/WarpSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt index b35a739..10b0e26 100644 --- a/cpld/XC95144XL/WarpSE.rpt +++ b/cpld/XC95144XL/WarpSE.rpt @@ -1,7 +1,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 4- 1-2023, 8:21AM +Design Name: WarpSE Date: 4- 7-2023, 0:29AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -9,22 +9,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -117/144 ( 81%) 371 /720 ( 52%) 217/432 ( 50%) 90 /144 ( 62%) 71 /81 ( 88%) +115/144 ( 80%) 286 /720 ( 40%) 209/432 ( 48%) 92 /144 ( 64%) 63 /81 ( 78%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 23/54 25/90 11/11* -FB2 2/18 2/54 2/90 8/10 -FB3 14/18 33/54 78/90 10/10* -FB4 16/18 33/54 35/90 10/10* -FB5 14/18 32/54 77/90 8/10 -FB6 18/18* 28/54 55/90 10/10* -FB7 18/18* 33/54 33/90 8/10 -FB8 17/18 33/54 66/90 6/10 +FB1 9/18 28/54 37/90 11/11* +FB2 4/18 9/54 8/90 4/10 +FB3 15/18 29/54 35/90 10/10* +FB4 18/18* 29/54 63/90 6/10 +FB5 18/18* 29/54 29/90 8/10 +FB6 15/18 28/54 38/90 10/10* +FB7 18/18* 28/54 34/90 8/10 +FB8 18/18* 29/54 42/90 6/10 ----- ----- ----- ----- - 117/144 217/432 371/720 71/81 + 115/144 209/432 286/720 63/81 * - Resource is exhausted @@ -40,18 +40,18 @@ Global set/reset net(s) unused. Signal Type Required Mapped | Pin Type Used Total ------------------------------------|------------------------------------ -Input : 32 32 | I/O : 65 73 +Input : 24 24 | I/O : 60 73 Output : 35 35 | GCK/IO : 3 3 -Bidirectional : 1 1 | GTS/IO : 3 4 +Bidirectional : 1 1 | GTS/IO : 0 4 GCK : 3 3 | GSR/IO : 0 1 GTS : 0 0 | GSR : 0 0 | ---- ---- - Total 71 71 + Total 63 63 ** Power Data ** -There are 117 macrocells in high performance mode (MCHP). +There are 115 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -73,6 +73,22 @@ INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. +WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<1>'. The input(s) are + unused after optimization. Please verify functionality via simulation. +WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<2>'. The input(s) are + unused after optimization. Please verify functionality via simulation. +WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<3>'. The input(s) are + unused after optimization. Please verify functionality via simulation. +WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<4>'. The input(s) are + unused after optimization. Please verify functionality via simulation. +WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<5>'. The input(s) are + unused after optimization. Please verify functionality via simulation. +WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<6>'. The input(s) are + unused after optimization. Please verify functionality via simulation. +WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<7>'. The input(s) are + unused after optimization. Please verify functionality via simulation. +WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<8>'. The input(s) are + unused after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused @@ -85,176 +101,163 @@ WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused ** 36 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 17 28 FB3_9 28 I/O O STD FAST RESET -nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 1 2 FB4_5 89 I/O O STD FAST -nDinOE 3 6 FB4_6 90 I/O O STD FAST -nRES 1 1 FB4_8 91 I/O I/O STD FAST -nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST -nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 1 1 FB5_5 36 I/O O STD FAST RESET -nOE 1 2 FB5_6 37 I/O O STD FAST -RA<4> 2 3 FB5_9 40 I/O O STD FAST -RA<3> 2 3 FB5_11 41 I/O O STD FAST -RA<5> 2 3 FB5_12 42 I/O O STD FAST -RA<2> 2 3 FB5_14 43 I/O O STD FAST -RA<6> 2 3 FB5_15 46 I/O O STD FAST -nVMA_IOB 3 9 FB6_2 74 I/O O STD FAST RESET -nLDS_IOB 4 6 FB6_9 79 I/O O STD FAST RESET -nUDS_IOB 4 6 FB6_11 80 I/O O STD FAST RESET -nAS_IOB 3 4 FB6_12 81 I/O O STD FAST RESET -nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET -nADoutLE0 1 2 FB6_15 85 I/O O STD FAST -nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET -RA<1> 2 3 FB7_2 50 I/O O STD FAST -RA<7> 2 3 FB7_5 52 I/O O STD FAST -RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 5 6 FB7_8 54 I/O O STD FAST -RA<10> 1 1 FB7_9 55 I/O O STD FAST -RA<9> 2 3 FB7_11 56 I/O O STD FAST -C25MEN 0 0 FB7_12 58 I/O O STD FAST -C20MEN 0 0 FB7_14 59 I/O O STD FAST -RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 2 6 FB8_5 64 I/O O STD FAST -nRAMLWE 1 4 FB8_6 65 I/O O STD FAST -nRAMUWE 1 4 FB8_8 66 I/O O STD FAST -nBERR_FSB 1 2 FB8_12 70 I/O O STD FAST -nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 7 11 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET +nDoutOE 2 5 FB4_5 89 I/O O STD FAST +nDinOE 4 7 FB4_6 90 I/O O STD FAST +nRES 1 1 FB4_8 91 I/O I/O STD FAST +nVPA_FSB 3 9 FB4_11 93 I/O O STD FAST RESET +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 1 1 FB5_5 36 I/O O STD FAST RESET +nOE 1 2 FB5_6 37 I/O O STD FAST +RA<4> 1 1 FB5_9 40 I/O O STD FAST +RA<3> 1 1 FB5_11 41 I/O O STD FAST +RA<5> 1 1 FB5_12 42 I/O O STD FAST +RA<2> 1 1 FB5_14 43 I/O O STD FAST +RA<6> 1 1 FB5_15 46 I/O O STD FAST +nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET +RA<1> 1 1 FB7_2 50 I/O O STD FAST +RA<7> 1 1 FB7_5 52 I/O O STD FAST +RA<0> 1 1 FB7_6 53 I/O O STD FAST +RA<8> 1 1 FB7_8 54 I/O O STD FAST +RA<10> 1 1 FB7_9 55 I/O O STD FAST +RA<9> 1 1 FB7_11 56 I/O O STD FAST +C25MEN 0 0 FB7_12 58 I/O O STD FAST +C20MEN 0 0 FB7_14 59 I/O O STD FAST +RA<11> 1 1 FB8_2 63 I/O O STD FAST +nRAS 1 2 FB8_5 64 I/O O STD FAST SET +nRAMLWE 1 4 FB8_6 65 I/O O STD FAST +nRAMUWE 1 4 FB8_8 66 I/O O STD FAST +nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET +nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 81 Buried Nodes ** +** 79 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/RefUrg 1 2 FB1_1 STD RESET -ram/RefReqSync 1 1 FB1_2 STD RESET -ram/RefReq 1 2 FB1_3 STD RESET -ram/RefRAS 1 2 FB1_4 STD RESET -iobs/IODTACKr 1 1 FB1_5 STD RESET -iobm/RESrf 1 1 FB1_6 STD RESET -iobm/Er2 1 1 FB1_7 STD RESET -iobm/Er 1 1 FB1_8 STD RESET -iobm/DTACKrf 1 1 FB1_9 STD RESET -iobm/BERRrf 1 1 FB1_10 STD RESET -cnt/nIPL2r 1 1 FB1_11 STD RESET -cnt/Er<0> 1 1 FB1_12 STD RESET -ram/RefDone 2 4 FB1_13 STD RESET -iobs/TS_FSM_FFd1 2 3 FB1_14 STD RESET -iobs/IOU1 2 2 FB1_15 STD RESET -iobs/IOL1 2 2 FB1_16 STD RESET -iobm/IOS_FSM_FFd1 2 3 FB1_17 STD RESET -IOU0 3 5 FB1_18 STD RESET -iobm/VPAr 1 1 FB2_17 STD RESET -iobm/IOREQr 1 1 FB2_18 STD RESET -fsb/VPA 16 27 FB3_1 STD RESET -iobs/IOACTr 1 1 FB3_3 STD RESET -iobs/Clear1 1 2 FB3_4 STD RESET -fsb/ASrf 1 1 FB3_5 STD RESET -ALE0S 1 1 FB3_6 STD RESET -iobs/Load1 8 18 FB3_7 STD RESET -$OpTx$$OpTx$FX_DC$47_INV$153 1 2 FB3_8 STD -fsb/Ready0r 2 7 FB3_11 STD RESET -cs/ODCSr 2 6 FB3_12 STD RESET -iobs/Sent 13 18 FB3_13 STD RESET -fsb/Ready1r 13 19 FB3_16 STD RESET -ram/BACTr 1 2 FB3_18 STD RESET -ram/RegUrgSync 1 1 FB4_4 STD RESET -nRESout 1 2 FB4_7 STD RESET -cnt/Er<1> 1 1 FB4_9 STD RESET -cnt/TimerTC 2 6 FB4_10 STD RESET -cnt/Timer<0> 2 4 FB4_12 STD RESET -cnt/LTimerTC 2 16 FB4_13 STD RESET -cnt/LTimer<12> 2 15 FB4_14 STD RESET -RefReq 2 5 FB4_15 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobm/Er 1 1 FB1_1 STD RESET +cs/nOverlay 2 5 FB1_11 STD RESET +iobm/ES<2> 3 5 FB1_12 STD RESET +iobm/ES<0> 3 6 FB1_13 STD RESET +iobm/ES<3> 4 6 FB1_14 STD RESET +iobm/ES<1> 4 6 FB1_15 STD RESET +IODONE 4 8 FB1_16 STD RESET +IOU0 8 14 FB1_17 STD RESET +IOL0 8 14 FB1_18 STD RESET +iobm/VPAr 1 1 FB2_15 STD RESET +cnt/nIPL2r 1 1 FB2_16 STD RESET +IOBERR 2 2 FB2_17 STD RESET +cnt/Timer<1> 4 5 FB2_18 STD RESET +ram/RS_FSM_FFd4 1 1 FB3_4 STD RESET +ram/RS_FSM_FFd1 1 1 FB3_5 STD RESET +iobs/IODONEr<0> 1 1 FB3_6 STD RESET +cnt/INITS_FSM_FFd1 1 7 FB3_7 STD RESET +cnt/Er<0> 1 1 FB3_8 STD RESET +cnt/TimerTC 2 6 FB3_10 STD RESET +cnt/LTimer<3> 2 6 FB3_11 STD RESET +cnt/LTimer<2> 2 5 FB3_12 STD RESET +cnt/LTimer<1> 2 4 FB3_13 STD RESET +cnt/INITS_FSM_FFd2 2 6 FB3_14 STD RESET +RefReq 2 5 FB3_15 STD RESET +cnt/Timer<2> 5 6 FB3_16 STD RESET +RefUrg 5 7 FB3_18 STD RESET +ram/BACTr 1 2 FB4_1 STD RESET +nRESout 1 2 FB4_3 STD RESET +iobs/Clear1 1 2 FB4_4 STD RESET +ram/Once 2 2 FB4_7 STD RESET +iobs/TS_FSM_FFd1 2 3 FB4_9 STD RESET +cs/ODCSr 2 6 FB4_10 STD RESET +IOWRREQ 8 15 FB4_12 STD RESET +iobs/Load1 4 9 FB4_13 STD RESET +iobs/IORW1 4 10 FB4_14 STD RESET +IONPReady 4 8 FB4_15 STD RESET +iobs/TS_FSM_FFd2 5 12 FB4_16 STD RESET +IORDREQ 8 15 FB4_17 STD RESET +iobs/Sent 9 12 FB4_18 STD RESET +cnt/LTimerTC 2 16 FB5_1 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/Timer<1> 4 5 FB4_16 STD RESET -cnt/Timer<2> 5 6 FB4_17 STD RESET -RefUrg 5 7 FB4_18 STD RESET -IOL0 3 5 FB5_1 STD RESET -iobs/IORW1 8 19 FB5_3 STD RESET -iobs/TS_FSM_FFd2 14 19 FB5_7 STD RESET -iobs/DTACKEN 6 11 FB5_10 STD RESET -IOREQ 14 19 FB5_13 STD RESET -IORW0 18 20 FB5_17 STD RESET -iobm/ETACK 1 6 FB6_1 STD RESET -ALE0M 2 4 FB6_3 STD RESET -iobm/IOS_FSM_FFd3 3 6 FB6_4 STD RESET -iobm/ES<3> 3 6 FB6_5 STD RESET -iobm/ES<1> 3 4 FB6_6 STD RESET -iobm/ES<0> 3 7 FB6_7 STD RESET -iobm/DoutOE 3 6 FB6_8 STD RESET -iobm/IOS_FSM_FFd2 4 8 FB6_10 STD RESET -iobm/ES<4> 4 7 FB6_13 STD RESET -iobm/ES<2> 5 7 FB6_16 STD RESET -IOACT 6 9 FB6_18 STD RESET -cnt/LTimer<0> 1 3 FB7_1 STD RESET -cs/nOverlay 2 5 FB7_3 STD RESET -cnt/LTimer<9> 2 12 FB7_4 STD RESET -cnt/LTimer<8> 2 11 FB7_7 STD RESET -cnt/LTimer<7> 2 10 FB7_10 STD RESET -cnt/LTimer<3> 2 6 FB7_13 STD RESET -cnt/LTimer<2> 2 5 FB7_15 STD RESET -cnt/LTimer<1> 2 4 FB7_16 STD RESET -cnt/LTimer<11> 2 14 FB7_17 STD RESET -cnt/LTimer<10> 2 13 FB7_18 STD RESET -ram/RAMEN 9 12 FB8_3 STD RESET -ram/RAMReady 9 12 FB8_4 STD RESET -ram/RS_FSM_FFd2 7 11 FB8_7 STD RESET -ram/RS_FSM_FFd3 7 10 FB8_9 STD RESET -ram/RS_FSM_FFd1 3 9 FB8_10 STD RESET -cnt/INITS_FSM_FFd2 2 6 FB8_11 STD RESET -cnt/LTimer<4> 2 7 FB8_13 STD RESET -cnt/LTimer<5> 2 8 FB8_14 STD RESET -cnt/LTimer<6> 2 9 FB8_16 STD RESET -cnt/INITS_FSM_FFd1 1 7 FB8_17 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +cnt/LTimer<9> 2 12 FB5_3 STD RESET +cnt/LTimer<8> 2 11 FB5_4 STD RESET +cnt/LTimer<7> 2 10 FB5_7 STD RESET +cnt/LTimer<6> 2 9 FB5_8 STD RESET +cnt/LTimer<5> 2 8 FB5_10 STD RESET +cnt/LTimer<4> 2 7 FB5_13 STD RESET +cnt/LTimer<12> 2 15 FB5_16 STD RESET +cnt/LTimer<11> 2 14 FB5_17 STD RESET +cnt/LTimer<10> 2 13 FB5_18 STD RESET +iobs/IOACTr 1 1 FB6_5 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB6_6 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB6_7 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB6_8 STD RESET +iobm/C8Mr 1 1 FB6_10 STD RESET +iobm/IOS_FSM_FFd6 2 5 FB6_13 STD RESET +iobm/IOS_FSM_FFd7 3 6 FB6_16 STD SET +ALE0M 5 11 FB6_18 STD RESET +iobm/IOWRREQr 1 1 FB7_1 STD RESET +iobm/IORDREQr 1 1 FB7_3 STD RESET +cnt/LTimer<0> 1 3 FB7_4 STD RESET +cnt/Er<1> 1 1 FB7_7 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB7_10 STD RESET +cnt/Timer<0> 2 4 FB7_13 STD RESET +iobm/IOS_FSM_FFd3 3 5 FB7_15 STD RESET +iobm/DoutOE 4 8 FB7_16 STD RESET +iobm/IOS0 5 12 FB7_17 STD RESET +IOACT 8 14 FB7_18 STD RESET +ram/RS_FSM_FFd3 1 1 FB8_1 STD RESET +ram/RS_FSM_FFd2 1 1 FB8_3 STD RESET +fsb/ASrf 1 1 FB8_4 STD RESET +ALE0S 1 1 FB8_7 STD RESET +ram/RefDone 2 5 FB8_9 STD RESET +iobs/IOU1 2 2 FB8_10 STD RESET +iobs/IOL1 2 2 FB8_11 STD RESET +IOPWReady 2 5 FB8_13 STD RESET +ram/RS_FSM_FFd6 4 8 FB8_14 STD RESET +ram/RS_FSM_FFd8 5 9 FB8_16 STD SET +ram/CAS 5 9 FB8_17 STD RESET +ram/RAMEN 7 10 FB8_18 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/RASEL 14 12 FB8_18 STD RESET +** 27 Inputs ** -** 35 Inputs ** - -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<13> FB1_2 11 I/O I -A_FSB<14> FB1_3 12 I/O I -A_FSB<15> FB1_5 13 I/O I -A_FSB<16> FB1_6 14 I/O I -A_FSB<17> FB1_8 15 I/O I -A_FSB<18> FB1_9 16 I/O I -A_FSB<19> FB1_11 17 I/O I -A_FSB<20> FB1_12 18 I/O I -A_FSB<21> FB1_14 19 I/O I -A_FSB<22> FB1_15 20 I/O I -C16M FB1_17 22 GCK/I/O GCK -A_FSB<5> FB2_6 2 GTS/I/O I -A_FSB<6> FB2_8 3 GTS/I/O I -A_FSB<7> FB2_9 4 GTS/I/O I -A_FSB<8> FB2_11 6 I/O I -A_FSB<9> FB2_12 7 I/O I -A_FSB<10> FB2_14 8 I/O I -A_FSB<11> FB2_15 9 I/O I -A_FSB<12> FB2_17 10 I/O I -C8M FB3_2 23 GCK/I/O GCK/I -A_FSB<23> FB3_5 24 I/O I -E FB3_6 25 I/O I -FCLK FB3_8 27 GCK/I/O GCK -nWE_FSB FB3_11 29 I/O I -nLDS_FSB FB3_12 30 I/O I -nAS_FSB FB3_14 32 I/O I -nUDS_FSB FB3_15 33 I/O I -nIPL2 FB4_9 92 I/O I -A_FSB<1> FB4_12 94 I/O I -A_FSB<2> FB4_14 95 I/O I -A_FSB<3> FB4_15 96 I/O I -A_FSB<4> FB4_17 97 I/O I -nBERR_IOB FB6_5 76 I/O I -nVPA_IOB FB6_6 77 I/O I -nDTACK_IOB FB6_8 78 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +C16M FB1_17 22 GCK/I/O GCK +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +C8M FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E FB3_6 25 I/O I +FCLK FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nIPL2 FB4_9 92 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I Legend: Pin No. - ~ - User Assigned @@ -272,64 +275,57 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 23/31 -Number of signals used by logic mapping into function block: 23 +Number of function block inputs used/remaining: 28/26 +Number of signals used by logic mapping into function block: 28 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RefUrg 1 0 0 4 FB1_1 (b) (b) -ram/RefReqSync 1 0 0 4 FB1_2 11 I/O I -ram/RefReq 1 0 0 4 FB1_3 12 I/O I -ram/RefRAS 1 0 0 4 FB1_4 (b) (b) -iobs/IODTACKr 1 0 0 4 FB1_5 13 I/O I -iobm/RESrf 1 0 0 4 FB1_6 14 I/O I -iobm/Er2 1 0 0 4 FB1_7 (b) (b) -iobm/Er 1 0 0 4 FB1_8 15 I/O I -iobm/DTACKrf 1 0 0 4 FB1_9 16 I/O I -iobm/BERRrf 1 0 0 4 FB1_10 (b) (b) -cnt/nIPL2r 1 0 0 4 FB1_11 17 I/O I -cnt/Er<0> 1 0 0 4 FB1_12 18 I/O I -ram/RefDone 2 0 0 3 FB1_13 (b) (b) -iobs/TS_FSM_FFd1 2 0 0 3 FB1_14 19 I/O I -iobs/IOU1 2 0 0 3 FB1_15 20 I/O I -iobs/IOL1 2 0 0 3 FB1_16 (b) (b) -iobm/IOS_FSM_FFd1 2 0 0 3 FB1_17 22 GCK/I/O GCK -IOU0 3 0 0 2 FB1_18 (b) (b) +iobm/Er 1 0 /\4 0 FB1_1 (b) (b) +(unused) 0 0 0 5 FB1_2 11 I/O I +(unused) 0 0 0 5 FB1_3 12 I/O I +(unused) 0 0 0 5 FB1_4 (b) +(unused) 0 0 0 5 FB1_5 13 I/O I +(unused) 0 0 0 5 FB1_6 14 I/O I +(unused) 0 0 0 5 FB1_7 (b) +(unused) 0 0 0 5 FB1_8 15 I/O I +(unused) 0 0 0 5 FB1_9 16 I/O I +(unused) 0 0 0 5 FB1_10 (b) +cs/nOverlay 2 0 0 3 FB1_11 17 I/O I +iobm/ES<2> 3 0 0 2 FB1_12 18 I/O I +iobm/ES<0> 3 0 0 2 FB1_13 (b) (b) +iobm/ES<3> 4 0 0 1 FB1_14 19 I/O I +iobm/ES<1> 4 0 \/1 0 FB1_15 20 I/O I +IODONE 4 1<- \/2 0 FB1_16 (b) (b) +IOU0 8 3<- 0 0 FB1_17 22 GCK/I/O GCK +IOL0 8 4<- /\1 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: E 9: iobs/IOU1 17: nLDS_FSB - 2: nRES.PIN 10: iobs/Load1 18: nUDS_FSB - 3: RefReq 11: iobs/TS_FSM_FFd1 19: ram/RS_FSM_FFd1 - 4: iobm/Er 12: iobs/TS_FSM_FFd2 20: ram/RS_FSM_FFd2 - 5: iobm/IOS_FSM_FFd1 13: nADoutLE1 21: ram/RefDone - 6: iobm/IOS_FSM_FFd2 14: nBERR_IOB 22: ram/RefReqSync - 7: iobm/IOS_FSM_FFd3 15: nDTACK_IOB 23: ram/RegUrgSync - 8: iobs/IOACTr 16: nIPL2 + 1: A_FSB<20> 11: fsb/ASrf 20: iobs/TS_FSM_FFd1 + 2: A_FSB<21> 12: iobm/ES<0> 21: iobs/TS_FSM_FFd2 + 3: A_FSB<22> 13: iobm/ES<1> 22: nADoutLE1 + 4: A_FSB<23> 14: iobm/ES<2> 23: nAS_FSB + 5: E 15: iobm/ES<3> 24: nAS_IOB + 6: IOL0 16: iobm/Er 25: nDTACK_IOB + 7: IOU0 17: iobs/IOL1 26: nLDS_FSB + 8: nRES.PIN 18: iobs/IOU1 27: nUDS_FSB + 9: cs/ODCSr 19: iobs/Sent 28: nVMA_IOB + 10: cs/nOverlay Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RefUrg ....................X.X................. 2 -ram/RefReqSync ..X..................................... 1 -ram/RefReq ....................XX.................. 2 -ram/RefRAS ..................XX.................... 2 -iobs/IODTACKr ..............X......................... 1 -iobm/RESrf .X...................................... 1 -iobm/Er2 ...X.................................... 1 -iobm/Er X....................................... 1 -iobm/DTACKrf ..............X......................... 1 -iobm/BERRrf .............X.......................... 1 -cnt/nIPL2r ...............X........................ 1 -cnt/Er<0> X....................................... 1 -ram/RefDone ..................XXXX.................. 4 -iobs/TS_FSM_FFd1 .......X..XX............................ 3 -iobs/IOU1 .........X.......X...................... 2 -iobs/IOL1 .........X......X....................... 2 -iobm/IOS_FSM_FFd1 ....XXX................................. 3 -IOU0 ........X.XXX....X...................... 5 +iobm/Er ....X................................... 1 +cs/nOverlay .......XXXX...........X................. 5 +iobm/ES<2> ....X......XXX.X........................ 5 +iobm/ES<0> ....X......XXXXX........................ 6 +iobm/ES<3> ....X......XXXXX........................ 6 +iobm/ES<1> ....X......XXXXX........................ 6 +IODONE .......X...XXXX........XX..X............ 8 +IOU0 XXXX..X..XX......XXXXXX...X............. 14 +IOL0 XXXX.X...XX.....X.XXXXX..X.............. 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 2/52 -Number of signals used by logic mapping into function block: 2 +Number of function block inputs used/remaining: 9/45 +Number of signals used by logic mapping into function block: 9 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -337,196 +333,202 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_3 (b) (unused) 0 0 0 5 FB2_4 (b) (unused) 0 0 0 5 FB2_5 1 GTS/I/O -(unused) 0 0 0 5 FB2_6 2 GTS/I/O I +(unused) 0 0 0 5 FB2_6 2 GTS/I/O (unused) 0 0 0 5 FB2_7 (b) -(unused) 0 0 0 5 FB2_8 3 GTS/I/O I -(unused) 0 0 0 5 FB2_9 4 GTS/I/O I +(unused) 0 0 0 5 FB2_8 3 GTS/I/O +(unused) 0 0 0 5 FB2_9 4 GTS/I/O (unused) 0 0 0 5 FB2_10 (b) -(unused) 0 0 0 5 FB2_11 6 I/O I +(unused) 0 0 0 5 FB2_11 6 I/O (unused) 0 0 0 5 FB2_12 7 I/O I (unused) 0 0 0 5 FB2_13 (b) (unused) 0 0 0 5 FB2_14 8 I/O I -(unused) 0 0 0 5 FB2_15 9 I/O I -(unused) 0 0 0 5 FB2_16 (b) -iobm/VPAr 1 0 0 4 FB2_17 10 I/O I -iobm/IOREQr 1 0 0 4 FB2_18 (b) (b) +iobm/VPAr 1 0 0 4 FB2_15 9 I/O I +cnt/nIPL2r 1 0 0 4 FB2_16 (b) (b) +IOBERR 2 0 0 3 FB2_17 10 I/O I +cnt/Timer<1> 4 0 0 1 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: IOREQ 2: nVPA_IOB + 1: cnt/Er<0> 4: cnt/Timer<1> 7: nBERR_IOB + 2: cnt/Er<1> 5: cnt/TimerTC 8: nIPL2 + 3: cnt/Timer<0> 6: nAS_IOB 9: nVPA_IOB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPAr .X...................................... 1 -iobm/IOREQr X....................................... 1 +iobm/VPAr ........X............................... 1 +cnt/nIPL2r .......X................................ 1 +IOBERR .....XX................................. 2 +cnt/Timer<1> XXXXX................................... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 +Number of function block inputs used/remaining: 29/25 +Number of signals used by logic mapping into function block: 29 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -fsb/VPA 16 11<- 0 0 FB3_1 (b) (b) -(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I -iobs/IOACTr 1 0 /\2 2 FB3_3 (b) (b) -iobs/Clear1 1 0 0 4 FB3_4 (b) (b) -fsb/ASrf 1 0 0 4 FB3_5 24 I/O I -ALE0S 1 0 \/3 1 FB3_6 25 I/O I -iobs/Load1 8 3<- 0 0 FB3_7 (b) (b) -$OpTx$$OpTx$FX_DC$47_INV$153 - 1 0 \/4 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 17 12<- 0 0 FB3_9 28 I/O O -(unused) 0 0 /\5 0 FB3_10 (b) (b) -fsb/Ready0r 2 0 /\3 0 FB3_11 29 I/O I -cs/ODCSr 2 0 \/3 0 FB3_12 30 I/O I -iobs/Sent 13 8<- 0 0 FB3_13 (b) (b) -(unused) 0 0 /\5 0 FB3_14 32 I/O I -(unused) 0 0 \/5 0 FB3_15 33 I/O I -fsb/Ready1r 13 8<- 0 0 FB3_16 (b) (b) -nROMWE 1 0 /\3 1 FB3_17 34 I/O O -ram/BACTr 1 0 \/4 0 FB3_18 (b) (b) +(unused) 0 0 0 5 FB3_1 (b) +(unused) 0 0 0 5 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 0 5 FB3_3 (b) +ram/RS_FSM_FFd4 1 0 0 4 FB3_4 (b) (b) +ram/RS_FSM_FFd1 1 0 0 4 FB3_5 24 I/O I +iobs/IODONEr<0> 1 0 0 4 FB3_6 25 I/O I +cnt/INITS_FSM_FFd1 1 0 0 4 FB3_7 (b) (b) +cnt/Er<0> 1 0 \/1 3 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 7 2<- 0 0 FB3_9 28 I/O O +cnt/TimerTC 2 0 /\1 2 FB3_10 (b) (b) +cnt/LTimer<3> 2 0 0 3 FB3_11 29 I/O I +cnt/LTimer<2> 2 0 0 3 FB3_12 30 I/O I +cnt/LTimer<1> 2 0 0 3 FB3_13 (b) (b) +cnt/INITS_FSM_FFd2 2 0 0 3 FB3_14 32 I/O I +RefReq 2 0 0 3 FB3_15 33 I/O I +cnt/Timer<2> 5 0 0 0 FB3_16 (b) (b) +nROMWE 1 0 0 4 FB3_17 34 I/O O +RefUrg 5 0 0 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$$OpTx$FX_DC$47_INV$153 12: A_FSB<20> 23: fsb/VPA - 2: A_FSB<10> 13: A_FSB<21> 24: iobs/DTACKEN - 3: A_FSB<11> 14: A_FSB<22> 25: iobs/IODTACKr - 4: A_FSB<12> 15: A_FSB<23> 26: iobs/Sent - 5: A_FSB<13> 16: A_FSB<8> 27: iobs/TS_FSM_FFd1 - 6: A_FSB<14> 17: A_FSB<9> 28: iobs/TS_FSM_FFd2 - 7: A_FSB<15> 18: IOACT 29: nADoutLE1 - 8: A_FSB<16> 19: cs/nOverlay 30: nAS_FSB - 9: A_FSB<17> 20: fsb/ASrf 31: nDTACK_FSB - 10: A_FSB<18> 21: fsb/Ready0r 32: nWE_FSB - 11: A_FSB<19> 22: fsb/Ready1r 33: ram/RAMReady + 1: A_FSB<18> 11: RefUrg 21: cnt/Timer<1> + 2: A_FSB<19> 12: cnt/Er<0> 22: cnt/Timer<2> + 3: A_FSB<20> 13: cnt/Er<1> 23: cnt/TimerTC + 4: A_FSB<21> 14: cnt/INITS_FSM_FFd1 24: cnt/nIPL2r + 5: A_FSB<22> 15: cnt/INITS_FSM_FFd2 25: fsb/ASrf + 6: A_FSB<23> 16: cnt/LTimer<0> 26: nAS_FSB + 7: E 17: cnt/LTimer<1> 27: nWE_FSB + 8: IODONE 18: cnt/LTimer<2> 28: ram/RS_FSM_FFd1 + 9: IONPReady 19: cnt/LTimerTC 29: ram/RS_FSM_FFd2 + 10: IOPWReady 20: cnt/Timer<0> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -fsb/VPA XXXXXXXXXXXXXXXXXXX.XXXXX...X..XX....... 27 -iobs/IOACTr .................X...................... 1 -iobs/Clear1 ..........................XX............ 2 -fsb/ASrf .............................X.......... 1 -ALE0S ...........................X............ 1 -iobs/Load1 ....XX.XXXXXXXX...XX.....XXXXX.X........ 18 -$OpTx$$OpTx$FX_DC$47_INV$153 - ...................X.........X.......... 2 -nDTACK_FSB .XXXXXXXXXXXXXXXXXXXXX.XX...XXXXX....... 28 -fsb/Ready0r .............XX...XXX........X..X....... 7 -cs/ODCSr ...........XXXX....X.........X.......... 6 -iobs/Sent ....XX.XXXXXXXX...XX.....XXXXX.X........ 18 -fsb/Ready1r ....XX.XXXXXXXX..XXX.X.XX...XX.X........ 19 -nROMWE .............................X.X........ 2 -ram/BACTr ...................X.........X.......... 2 +ram/RS_FSM_FFd4 ...........................X............ 1 +ram/RS_FSM_FFd1 ............................X........... 1 +iobs/IODONEr<0> .......X................................ 1 +cnt/INITS_FSM_FFd1 ...........XXXX...X...XX................ 7 +cnt/Er<0> ......X................................. 1 +nDTACK_FSB XXXXXX..XX..............XXX............. 11 +cnt/TimerTC ..........XXX......XXX.................. 6 +cnt/LTimer<3> ...........XX..XXX....X................. 6 +cnt/LTimer<2> ...........XX..XX.....X................. 5 +cnt/LTimer<1> ...........XX..X......X................. 4 +cnt/INITS_FSM_FFd2 ...........XXXX...X...X................. 6 +RefReq ..........XXX.......XX.................. 5 +cnt/Timer<2> ...........XX......XXXX................. 6 +nROMWE .........................XX............. 2 +RefUrg ..........XXX......XXXX................. 7 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 +Number of function block inputs used/remaining: 29/25 +Number of signals used by logic mapping into function block: 29 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB4_1 (b) -nAoutOE 2 0 0 3 FB4_2 87 I/O O -(unused) 0 0 0 5 FB4_3 (b) -ram/RegUrgSync 1 0 0 4 FB4_4 (b) (b) -nDoutOE 1 0 0 4 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -nRESout 1 0 0 4 FB4_7 (b) (b) +ram/BACTr 1 1<- /\5 0 FB4_1 (b) (b) +nAoutOE 2 0 /\1 2 FB4_2 87 I/O O +nRESout 1 0 0 4 FB4_3 (b) (b) +iobs/Clear1 1 0 0 4 FB4_4 (b) (b) +nDoutOE 2 0 0 3 FB4_5 89 I/O O +nDinOE 4 0 0 1 FB4_6 90 I/O O +ram/Once 2 0 0 3 FB4_7 (b) (b) nRES 1 0 0 4 FB4_8 91 I/O I/O -cnt/Er<1> 1 0 0 4 FB4_9 92 I/O I -cnt/TimerTC 2 0 0 3 FB4_10 (b) (b) -nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/Timer<0> 2 0 0 3 FB4_12 94 I/O I -cnt/LTimerTC 2 0 0 3 FB4_13 (b) (b) -cnt/LTimer<12> 2 0 0 3 FB4_14 95 I/O I -RefReq 2 0 0 3 FB4_15 96 I/O I -cnt/Timer<1> 4 0 0 1 FB4_16 (b) (b) -cnt/Timer<2> 5 0 0 0 FB4_17 97 I/O I -RefUrg 5 0 0 0 FB4_18 (b) (b) +iobs/TS_FSM_FFd1 2 0 0 3 FB4_9 92 I/O I +cs/ODCSr 2 0 0 3 FB4_10 (b) (b) +nVPA_FSB 3 0 \/2 0 FB4_11 93 I/O O +IOWRREQ 8 3<- 0 0 FB4_12 94 I/O (b) +iobs/Load1 4 0 /\1 0 FB4_13 (b) (b) +iobs/IORW1 4 0 \/1 0 FB4_14 95 I/O (b) +IONPReady 4 1<- \/2 0 FB4_15 96 I/O (b) +iobs/TS_FSM_FFd2 5 2<- \/2 0 FB4_16 (b) (b) +IORDREQ 8 3<- 0 0 FB4_17 97 I/O (b) +iobs/Sent 9 5<- /\1 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 12: cnt/LTimer<11> 23: cnt/Timer<0> - 2: A_FSB<21> 13: cnt/LTimer<12> 24: cnt/Timer<1> - 3: A_FSB<22> 14: cnt/LTimer<1> 25: cnt/Timer<2> - 4: A_FSB<23> 15: cnt/LTimer<2> 26: cnt/TimerTC - 5: RefUrg 16: cnt/LTimer<3> 27: fsb/VPA - 6: cnt/Er<0> 17: cnt/LTimer<4> 28: iobm/DoutOE - 7: cnt/Er<1> 18: cnt/LTimer<5> 29: nAS_FSB - 8: cnt/INITS_FSM_FFd1 19: cnt/LTimer<6> 30: nAoutOE - 9: cnt/INITS_FSM_FFd2 20: cnt/LTimer<7> 31: nBR_IOB - 10: cnt/LTimer<0> 21: cnt/LTimer<8> 32: nRESout - 11: cnt/LTimer<10> 22: cnt/LTimer<9> 33: nWE_FSB + 1: A_FSB<18> 11: cnt/INITS_FSM_FFd2 21: iobs/Sent + 2: A_FSB<19> 12: cs/nOverlay 22: iobs/TS_FSM_FFd1 + 3: A_FSB<20> 13: fsb/ASrf 23: iobs/TS_FSM_FFd2 + 4: A_FSB<21> 14: iobm/DoutOE 24: nADoutLE1 + 5: A_FSB<22> 15: iobm/IORDREQr 25: nAS_FSB + 6: A_FSB<23> 16: iobm/IOS0 26: nAoutOE + 7: IONPReady 17: iobm/IOWRREQr 27: nBR_IOB + 8: IORDREQ 18: iobs/IOACTr 28: nRESout + 9: IOWRREQ 19: iobs/IODONEr<0> 29: nWE_FSB + 10: cnt/INITS_FSM_FFd1 20: iobs/IORW1 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nAoutOE .......XX....................XX......... 4 -ram/RegUrgSync ....X................................... 1 -nDoutOE ...........................X.X.......... 2 -nDinOE XXXX........................X...X....... 6 -nRESout .......XX............................... 2 -nRES ...............................X........ 1 -cnt/Er<1> .....X.................................. 1 -cnt/TimerTC ....XXX...............XXX............... 6 -nVPA_FSB ..........................X.X........... 2 -cnt/Timer<0> .....XX...............X..X.............. 4 -cnt/LTimerTC .....XX..XXXXXXXXXXXXX...X.............. 16 -cnt/LTimer<12> .....XX..XXX.XXXXXXXXX...X.............. 15 -RefReq ....XXX................XX............... 5 -cnt/Timer<1> .....XX...............XX.X.............. 5 -cnt/Timer<2> .....XX...............XXXX.............. 6 -RefUrg ....XXX...............XXXX.............. 7 +ram/BACTr ............X...........X............... 2 +nAoutOE .........XX..............XX............. 4 +nRESout .........XX............................. 2 +iobs/Clear1 .....................XX................. 2 +nDoutOE .............XXXX........X.............. 5 +nDinOE ..XXXX.....X............X...X........... 7 +ram/Once ............X...........X............... 2 +nRES ...........................X............ 1 +iobs/TS_FSM_FFd1 .................X...XX................. 3 +cs/ODCSr ..XXXX......X...........X............... 6 +nVPA_FSB XXXXXXX.....X...........X............... 9 +IOWRREQ ..XXXX..X..XX....X.XXXXXX...X........... 15 +iobs/Load1 ....XX......X.......XXXXX...X........... 9 +iobs/IORW1 ....XX......X......XXXXXX...X........... 10 +IONPReady ....XXX.....X.....X.X...X...X........... 8 +iobs/TS_FSM_FFd2 ..XXXX.....XX....X..XXXXX............... 12 +IORDREQ ..XXXX.X...XX....X.XXXXXX...X........... 15 +iobs/Sent ..XXXX.....XX.......XXXXX...X........... 12 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 32/22 -Number of signals used by logic mapping into function block: 32 +Number of function block inputs used/remaining: 29/25 +Number of signals used by logic mapping into function block: 29 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -IOL0 3 1<- /\3 0 FB5_1 (b) (b) -nROMCS 2 0 /\1 2 FB5_2 35 I/O O -iobs/IORW1 8 3<- 0 0 FB5_3 (b) (b) -(unused) 0 0 /\3 2 FB5_4 (b) (b) +cnt/LTimerTC 2 0 0 3 FB5_1 (b) (b) +nROMCS 2 0 0 3 FB5_2 35 I/O O +cnt/LTimer<9> 2 0 0 3 FB5_3 (b) (b) +cnt/LTimer<8> 2 0 0 3 FB5_4 (b) (b) nCAS 1 0 0 4 FB5_5 36 I/O O -nOE 1 0 \/4 0 FB5_6 37 I/O O -iobs/TS_FSM_FFd2 14 9<- 0 0 FB5_7 (b) (b) -(unused) 0 0 /\5 0 FB5_8 39 I/O (b) -RA<4> 2 0 \/1 2 FB5_9 40 I/O O -iobs/DTACKEN 6 1<- 0 0 FB5_10 (b) (b) -RA<3> 2 0 \/2 1 FB5_11 41 I/O O -RA<5> 2 2<- \/5 0 FB5_12 42 I/O O -IOREQ 14 9<- 0 0 FB5_13 (b) (b) -RA<2> 2 1<- /\4 0 FB5_14 43 I/O O -RA<6> 2 0 /\1 2 FB5_15 46 I/O O -(unused) 0 0 \/5 0 FB5_16 (b) (b) -IORW0 18 13<- 0 0 FB5_17 49 I/O (b) -(unused) 0 0 /\5 0 FB5_18 (b) (b) +nOE 1 0 0 4 FB5_6 37 I/O O +cnt/LTimer<7> 2 0 0 3 FB5_7 (b) (b) +cnt/LTimer<6> 2 0 0 3 FB5_8 39 I/O (b) +RA<4> 1 0 0 4 FB5_9 40 I/O O +cnt/LTimer<5> 2 0 0 3 FB5_10 (b) (b) +RA<3> 1 0 0 4 FB5_11 41 I/O O +RA<5> 1 0 0 4 FB5_12 42 I/O O +cnt/LTimer<4> 2 0 0 3 FB5_13 (b) (b) +RA<2> 1 0 0 4 FB5_14 43 I/O O +RA<6> 1 0 0 4 FB5_15 46 I/O O +cnt/LTimer<12> 2 0 0 3 FB5_16 (b) (b) +cnt/LTimer<11> 2 0 0 3 FB5_17 49 I/O (b) +cnt/LTimer<10> 2 0 0 3 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<12> 12: A_FSB<23> 23: iobs/IOL1 - 2: A_FSB<13> 13: A_FSB<3> 24: iobs/IORW1 - 3: A_FSB<14> 14: A_FSB<4> 25: iobs/Sent - 4: A_FSB<15> 15: A_FSB<5> 26: iobs/TS_FSM_FFd1 - 5: A_FSB<16> 16: A_FSB<6> 27: iobs/TS_FSM_FFd2 - 6: A_FSB<17> 17: A_FSB<7> 28: nADoutLE1 - 7: A_FSB<18> 18: IORW0 29: nAS_FSB - 8: A_FSB<19> 19: cs/nOverlay 30: nLDS_FSB - 9: A_FSB<20> 20: fsb/ASrf 31: nWE_FSB - 10: A_FSB<21> 21: iobs/DTACKEN 32: ram/RASEL - 11: A_FSB<22> 22: iobs/IOACTr + 1: A_FSB<11> 11: cnt/Er<1> 21: cnt/LTimer<6> + 2: A_FSB<12> 12: cnt/LTimer<0> 22: cnt/LTimer<7> + 3: A_FSB<13> 13: cnt/LTimer<10> 23: cnt/LTimer<8> + 4: A_FSB<16> 14: cnt/LTimer<11> 24: cnt/LTimer<9> + 5: A_FSB<19> 15: cnt/LTimer<12> 25: cnt/TimerTC + 6: A_FSB<20> 16: cnt/LTimer<1> 26: cs/nOverlay + 7: A_FSB<21> 17: cnt/LTimer<2> 27: nAS_FSB + 8: A_FSB<22> 18: cnt/LTimer<3> 28: nWE_FSB + 9: A_FSB<23> 19: cnt/LTimer<4> 29: ram/CAS + 10: cnt/Er<0> 20: cnt/LTimer<5> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -IOL0 ......................X..XXX.X.......... 5 -nROMCS ........XXXX......X..................... 5 -iobs/IORW1 .XX.XXXXXXXX......XX...XXXXXX.X......... 19 -nCAS ...............................X........ 1 -nOE ............................X.X......... 2 -iobs/TS_FSM_FFd2 .XX.XXXXXXXX......XX.X..XXXXX.X......... 19 -RA<4> ..X...........X................X........ 3 -iobs/DTACKEN ........XXXX......XXXX..X..XX........... 11 -RA<3> .X...........X.................X........ 3 -RA<5> ...X...........X...............X........ 3 -IOREQ .XX.XXXXXXXX......XX.X..XXXXX.X......... 19 -RA<2> X...........X..................X........ 3 -RA<6> ....X...........X..............X........ 3 -IORW0 .XX.XXXXXXXX.....XXX...XXXXXX.X......... 20 +cnt/LTimerTC .........XXXXXXXXXXXXXXXX............... 16 +nROMCS .....XXXX................X.............. 5 +cnt/LTimer<9> .........XXX...XXXXXXXX.X............... 12 +cnt/LTimer<8> .........XXX...XXXXXXX..X............... 11 +nCAS ............................X........... 1 +nOE ..........................XX............ 2 +cnt/LTimer<7> .........XXX...XXXXXX...X............... 10 +cnt/LTimer<6> .........XXX...XXXXX....X............... 9 +RA<4> X....................................... 1 +cnt/LTimer<5> .........XXX...XXXX.....X............... 8 +RA<3> ....X................................... 1 +RA<5> .X...................................... 1 +cnt/LTimer<4> .........XXX...XXX......X............... 7 +RA<2> ...X.................................... 1 +RA<6> ..X..................................... 1 +cnt/LTimer<12> .........XXXXX.XXXXXXXXXX............... 15 +cnt/LTimer<11> .........XXXX..XXXXXXXXXX............... 14 +cnt/LTimer<10> .........XXX...XXXXXXXXXX............... 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** @@ -534,327 +536,308 @@ Number of function block inputs used/remaining: 28/26 Number of signals used by logic mapping into function block: 28 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/ETACK 1 0 0 4 FB6_1 (b) (b) +(unused) 0 0 0 5 FB6_1 (b) nVMA_IOB 3 0 0 2 FB6_2 74 I/O O -ALE0M 2 0 0 3 FB6_3 (b) (b) -iobm/IOS_FSM_FFd3 3 0 0 2 FB6_4 (b) (b) -iobm/ES<3> 3 0 0 2 FB6_5 76 I/O I -iobm/ES<1> 3 0 0 2 FB6_6 77 I/O I -iobm/ES<0> 3 0 0 2 FB6_7 (b) (b) -iobm/DoutOE 3 0 0 2 FB6_8 78 I/O I -nLDS_IOB 4 0 0 1 FB6_9 79 I/O O -iobm/IOS_FSM_FFd2 4 0 0 1 FB6_10 (b) (b) -nUDS_IOB 4 0 0 1 FB6_11 80 I/O O -nAS_IOB 3 0 0 2 FB6_12 81 I/O O -iobm/ES<4> 4 0 0 1 FB6_13 (b) (b) +(unused) 0 0 0 5 FB6_3 (b) +(unused) 0 0 0 5 FB6_4 (b) +iobs/IOACTr 1 0 0 4 FB6_5 76 I/O I +iobm/IOS_FSM_FFd5 1 0 0 4 FB6_6 77 I/O I +iobm/IOS_FSM_FFd4 1 0 0 4 FB6_7 (b) (b) +iobm/IOS_FSM_FFd1 1 0 \/1 3 FB6_8 78 I/O I +nLDS_IOB 6 1<- 0 0 FB6_9 79 I/O O +iobm/C8Mr 1 0 \/1 3 FB6_10 (b) (b) +nUDS_IOB 6 1<- 0 0 FB6_11 80 I/O O +nAS_IOB 4 0 0 1 FB6_12 81 I/O O +iobm/IOS_FSM_FFd6 2 0 0 3 FB6_13 (b) (b) nADoutLE1 2 0 0 3 FB6_14 82 I/O O nADoutLE0 1 0 0 4 FB6_15 85 I/O O -iobm/ES<2> 5 0 0 0 FB6_16 (b) (b) -nDinLE 1 0 \/1 3 FB6_17 86 I/O O -IOACT 6 1<- 0 0 FB6_18 (b) (b) +iobm/IOS_FSM_FFd7 3 0 0 2 FB6_16 (b) (b) +nDinLE 1 0 0 4 FB6_17 86 I/O O +ALE0M 5 0 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: ALE0M 11: iobm/ES<1> 20: iobm/IOS_FSM_FFd2 - 2: ALE0S 12: iobm/ES<2> 21: iobm/IOS_FSM_FFd3 - 3: C8M 13: iobm/ES<3> 22: iobm/RESrf - 4: IOACT 14: iobm/ES<4> 23: iobm/VPAr - 5: IOL0 15: iobm/ETACK 24: iobs/Clear1 - 6: IORW0 16: iobm/Er 25: iobs/Load1 - 7: IOU0 17: iobm/Er2 26: nADoutLE1 - 8: iobm/BERRrf 18: iobm/IOREQr 27: nAoutOE - 9: iobm/DTACKrf 19: iobm/IOS_FSM_FFd1 28: nVMA_IOB - 10: iobm/ES<0> + 1: ALE0M 11: iobm/ES<3> 20: iobm/IOWRREQr + 2: ALE0S 12: iobm/IORDREQr 21: iobm/VPAr + 3: C8M 13: iobm/IOS_FSM_FFd1 22: iobs/Clear1 + 4: IOACT 14: iobm/IOS_FSM_FFd2 23: iobs/Load1 + 5: IOL0 15: iobm/IOS_FSM_FFd3 24: nADoutLE1 + 6: IOU0 16: iobm/IOS_FSM_FFd4 25: nAoutOE + 7: iobm/C8Mr 17: iobm/IOS_FSM_FFd5 26: nLDS_IOB + 8: iobm/ES<0> 18: iobm/IOS_FSM_FFd6 27: nUDS_IOB + 9: iobm/ES<1> 19: iobm/IOS_FSM_FFd7 28: nVMA_IOB + 10: iobm/ES<2> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/ETACK .........XXXXX.............X............ 6 -nVMA_IOB ...X.....XXXXX........X...XX............ 9 -ALE0M .................XXXX................... 4 -iobm/IOS_FSM_FFd3 ..X..............XXXX.....X............. 6 -iobm/ES<3> .........XXXX..XX....................... 6 -iobm/ES<1> .........XX....XX....................... 4 -iobm/ES<0> .........XXXXX.XX....................... 7 -iobm/DoutOE .....X...........XXXX.....X............. 6 -nLDS_IOB ....XX............XXX.....X............. 6 -iobm/IOS_FSM_FFd2 ..X....XX.....X...XXXX.................. 8 -nUDS_IOB .....XX...........XXX.....X............. 6 -nAS_IOB ..................XXX.....X............. 4 -iobm/ES<4> .........XXXXX.XX....................... 7 -nADoutLE1 .......................XXX.............. 3 +nVMA_IOB ...X...XXXX.........X...X..X............ 8 +iobs/IOACTr ...X.................................... 1 +iobm/IOS_FSM_FFd5 .................X...................... 1 +iobm/IOS_FSM_FFd4 ................X....................... 1 +iobm/IOS_FSM_FFd1 .............X.......................... 1 +nLDS_IOB ....X.X....X..XXXXX.....XX.............. 10 +iobm/C8Mr ..X..................................... 1 +nUDS_IOB .....XX....X..XXXXX.....X.X............. 10 +nAS_IOB ......X....X..XXXXXX....X............... 9 +iobm/IOS_FSM_FFd6 ......X....X......XX....X............... 5 +nADoutLE1 .....................XXX................ 3 nADoutLE0 XX...................................... 2 -iobm/ES<2> .........XXXXX.XX....................... 7 -nDinLE ..................XX.................... 2 -IOACT ..X....XX.....X..XXXXX.................. 9 +iobm/IOS_FSM_FFd7 ......X....XX.....XX....X............... 6 +nDinLE ..............XX........................ 2 +ALE0M X..........XXXXXXXXX....X............... 11 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 +Number of function block inputs used/remaining: 28/26 +Number of signals used by logic mapping into function block: 28 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimer<0> 1 0 0 4 FB7_1 (b) (b) -RA<1> 2 0 0 3 FB7_2 50 I/O O -cs/nOverlay 2 0 0 3 FB7_3 (b) (b) -cnt/LTimer<9> 2 0 0 3 FB7_4 (b) (b) -RA<7> 2 0 0 3 FB7_5 52 I/O O -RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/LTimer<8> 2 0 0 3 FB7_7 (b) (b) -RA<8> 5 0 0 0 FB7_8 54 I/O O +iobm/IOWRREQr 1 0 /\3 1 FB7_1 (b) (b) +RA<1> 1 0 0 4 FB7_2 50 I/O O +iobm/IORDREQr 1 0 0 4 FB7_3 (b) (b) +cnt/LTimer<0> 1 0 0 4 FB7_4 (b) (b) +RA<7> 1 0 0 4 FB7_5 52 I/O O +RA<0> 1 0 0 4 FB7_6 53 I/O O +cnt/Er<1> 1 0 0 4 FB7_7 (b) (b) +RA<8> 1 0 0 4 FB7_8 54 I/O O RA<10> 1 0 0 4 FB7_9 55 I/O O -cnt/LTimer<7> 2 0 0 3 FB7_10 (b) (b) -RA<9> 2 0 0 3 FB7_11 56 I/O O +iobm/IOS_FSM_FFd2 2 0 0 3 FB7_10 (b) (b) +RA<9> 1 0 0 4 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/LTimer<3> 2 0 0 3 FB7_13 (b) (b) +cnt/Timer<0> 2 0 0 3 FB7_13 (b) (b) C20MEN 0 0 0 5 FB7_14 59 I/O O -cnt/LTimer<2> 2 0 0 3 FB7_15 60 I/O (b) -cnt/LTimer<1> 2 0 0 3 FB7_16 (b) (b) -cnt/LTimer<11> 2 0 0 3 FB7_17 61 I/O (b) -cnt/LTimer<10> 2 0 0 3 FB7_18 (b) (b) +iobm/IOS_FSM_FFd3 3 0 0 2 FB7_15 60 I/O (b) +iobm/DoutOE 4 0 0 1 FB7_16 (b) (b) +iobm/IOS0 5 0 0 0 FB7_17 61 I/O (b) +IOACT 8 3<- 0 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 12: A_FSB<8> 23: cnt/LTimer<5> - 2: A_FSB<11> 13: A_FSB<9> 24: cnt/LTimer<6> - 3: A_FSB<17> 14: nRES.PIN 25: cnt/LTimer<7> - 4: A_FSB<18> 15: cnt/Er<0> 26: cnt/LTimer<8> - 5: A_FSB<19> 16: cnt/Er<1> 27: cnt/LTimer<9> - 6: A_FSB<1> 17: cnt/LTimer<0> 28: cnt/TimerTC - 7: A_FSB<20> 18: cnt/LTimer<10> 29: cs/ODCSr - 8: A_FSB<21> 19: cnt/LTimer<1> 30: cs/nOverlay - 9: A_FSB<22> 20: cnt/LTimer<2> 31: fsb/ASrf - 10: A_FSB<23> 21: cnt/LTimer<3> 32: nAS_FSB - 11: A_FSB<2> 22: cnt/LTimer<4> 33: ram/RASEL + 1: A_FSB<10> 11: IOWRREQ 20: iobm/IOS_FSM_FFd1 + 2: A_FSB<14> 12: cnt/Er<0> 21: iobm/IOS_FSM_FFd2 + 3: A_FSB<15> 13: cnt/Er<1> 22: iobm/IOS_FSM_FFd3 + 4: A_FSB<17> 14: cnt/Timer<0> 23: iobm/IOS_FSM_FFd4 + 5: A_FSB<18> 15: cnt/TimerTC 24: iobm/IOS_FSM_FFd5 + 6: A_FSB<9> 16: iobm/C8Mr 25: iobm/IOS_FSM_FFd6 + 7: IOACT 17: iobm/DoutOE 26: iobm/IOS_FSM_FFd7 + 8: IOBERR 18: iobm/IORDREQr 27: iobm/IOWRREQr + 9: IODONE 19: iobm/IOS0 28: nAoutOE + 10: IORDREQ Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<0> ..............XX...........X............ 3 -RA<1> .X........X.....................X....... 3 -cs/nOverlay .............X..............XXXX........ 5 -cnt/LTimer<9> ..............XXX.XXXXXXXX.X............ 12 -RA<7> ..X........X....................X....... 3 -RA<0> X....X..........................X....... 3 -cnt/LTimer<8> ..............XXX.XXXXXXX..X............ 11 -RA<8> ...X....XX..X................X..X....... 6 -RA<10> .......X................................ 1 -cnt/LTimer<7> ..............XXX.XXXXXX...X............ 10 -RA<9> ....X.X.........................X....... 3 +iobm/IOWRREQr ..........X............................. 1 +RA<1> X....................................... 1 +iobm/IORDREQr .........X.............................. 1 +cnt/LTimer<0> ...........XX.X......................... 3 +RA<7> .X...................................... 1 +RA<0> .....X.................................. 1 +cnt/Er<1> ...........X............................ 1 +RA<8> ....X................................... 1 +RA<10> ...X.................................... 1 +iobm/IOS_FSM_FFd2 .......XX......X.....X.................. 4 +RA<9> ..X..................................... 1 C25MEN ........................................ 0 -cnt/LTimer<3> ..............XXX.XX.......X............ 6 +cnt/Timer<0> ...........XXXX......................... 4 C20MEN ........................................ 0 -cnt/LTimer<2> ..............XXX.X........X............ 5 -cnt/LTimer<1> ..............XXX..........X............ 4 -cnt/LTimer<11> ..............XXXXXXXXXXXXXX............ 14 -cnt/LTimer<10> ..............XXX.XXXXXXXXXX............ 13 +iobm/IOS_FSM_FFd3 .......XX......X.....XX................. 5 +iobm/DoutOE ...............XX....XXXXXX............. 8 +iobm/IOS0 ...............X.XXXXXXXXXXX............ 12 +IOACT ......XXX......X.X.XXXXXXXXX............ 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 +Number of function block inputs used/remaining: 29/25 +Number of signals used by logic mapping into function block: 29 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB8_1 (b) (b) -RA<11> 1 0 \/4 0 FB8_2 63 I/O O -ram/RAMEN 9 4<- 0 0 FB8_3 (b) (b) -ram/RAMReady 9 4<- 0 0 FB8_4 (b) (b) -nRAS 2 1<- /\4 0 FB8_5 64 I/O O -nRAMLWE 1 0 /\1 3 FB8_6 65 I/O O -ram/RS_FSM_FFd2 7 2<- 0 0 FB8_7 (b) (b) -nRAMUWE 1 0 /\2 2 FB8_8 66 I/O O -ram/RS_FSM_FFd3 7 2<- 0 0 FB8_9 67 I/O (b) -ram/RS_FSM_FFd1 3 0 /\2 0 FB8_10 (b) (b) -cnt/INITS_FSM_FFd2 2 0 0 3 FB8_11 68 I/O (b) -nBERR_FSB 1 0 0 4 FB8_12 70 I/O O -cnt/LTimer<4> 2 0 0 3 FB8_13 (b) (b) -cnt/LTimer<5> 2 0 0 3 FB8_14 71 I/O (b) +ram/RS_FSM_FFd3 1 0 /\2 2 FB8_1 (b) (b) +RA<11> 1 0 0 4 FB8_2 63 I/O O +ram/RS_FSM_FFd2 1 0 0 4 FB8_3 (b) (b) +fsb/ASrf 1 0 0 4 FB8_4 (b) (b) +nRAS 1 0 0 4 FB8_5 64 I/O O +nRAMLWE 1 0 0 4 FB8_6 65 I/O O +ALE0S 1 0 0 4 FB8_7 (b) (b) +nRAMUWE 1 0 0 4 FB8_8 66 I/O O +ram/RefDone 2 0 0 3 FB8_9 67 I/O (b) +iobs/IOU1 2 0 0 3 FB8_10 (b) (b) +iobs/IOL1 2 0 0 3 FB8_11 68 I/O (b) +nBERR_FSB 3 0 0 2 FB8_12 70 I/O O +IOPWReady 2 0 0 3 FB8_13 (b) (b) +ram/RS_FSM_FFd6 4 0 0 1 FB8_14 71 I/O (b) nBR_IOB 2 0 0 3 FB8_15 72 I/O O -cnt/LTimer<6> 2 0 0 3 FB8_16 (b) (b) -cnt/INITS_FSM_FFd1 1 0 \/4 0 FB8_17 73 I/O (b) -ram/RASEL 14 9<- 0 0 FB8_18 (b) (b) +ram/RS_FSM_FFd8 5 0 0 0 FB8_16 (b) (b) +ram/CAS 5 0 0 0 FB8_17 73 I/O (b) +ram/RAMEN 7 2<- 0 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<19> 12: cnt/LTimer<4> 23: nLDS_FSB - 2: A_FSB<22> 13: cnt/LTimer<5> 24: nUDS_FSB - 3: A_FSB<23> 14: cnt/LTimerTC 25: nWE_FSB - 4: cnt/Er<0> 15: cnt/TimerTC 26: ram/BACTr - 5: cnt/Er<1> 16: cnt/nIPL2r 27: ram/RAMEN - 6: cnt/INITS_FSM_FFd1 17: cs/nOverlay 28: ram/RS_FSM_FFd1 - 7: cnt/INITS_FSM_FFd2 18: fsb/ASrf 29: ram/RS_FSM_FFd2 - 8: cnt/LTimer<0> 19: iobs/DTACKEN 30: ram/RS_FSM_FFd3 - 9: cnt/LTimer<1> 20: nAS_FSB 31: ram/RefRAS - 10: cnt/LTimer<2> 21: nBERR_IOB 32: ram/RefReq - 11: cnt/LTimer<3> 22: nBR_IOB 33: ram/RefUrg + 1: A_FSB<19> 11: iobs/Load1 21: ram/BACTr + 2: IOBERR 12: iobs/Sent 22: ram/Once + 3: IOPWReady 13: iobs/TS_FSM_FFd2 23: ram/RAMEN + 4: RefReq 14: nADoutLE1 24: ram/RS_FSM_FFd2 + 5: RefUrg 15: nAS_FSB 25: ram/RS_FSM_FFd3 + 6: cnt/INITS_FSM_FFd1 16: nBERR_FSB 26: ram/RS_FSM_FFd4 + 7: cnt/INITS_FSM_FFd2 17: nBR_IOB 27: ram/RS_FSM_FFd6 + 8: cnt/nIPL2r 18: nLDS_FSB 28: ram/RS_FSM_FFd8 + 9: fsb/ASrf 19: nUDS_FSB 29: ram/RefDone + 10: iobs/Clear1 20: nWE_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RS_FSM_FFd3 ..........................X............. 1 RA<11> X....................................... 1 -ram/RAMEN .XX.............XX.X.....XXXXX.XX....... 12 -ram/RAMReady .XX.............XX.X.....XXXXX.XX....... 12 -nRAS .XX.............X..X......X...X......... 6 -nRAMLWE ...................X..X.X.X............. 4 -ram/RS_FSM_FFd2 .XX.............XX.X.....X.XXX.XX....... 11 -nRAMUWE ...................X...XX.X............. 4 -ram/RS_FSM_FFd3 .XX.............XX.X......XXXX..X....... 10 -ram/RS_FSM_FFd1 .XX.............XX.X......XXXX.......... 9 -cnt/INITS_FSM_FFd2 ...XXXX......XX......................... 6 -nBERR_FSB ..................X.X................... 2 -cnt/LTimer<4> ...XX..XXXX...X......................... 7 -cnt/LTimer<5> ...XX..XXXXX..X......................... 8 -nBR_IOB .....XX........X.....X.................. 4 -cnt/LTimer<6> ...XX..XXXXXX.X......................... 9 -cnt/INITS_FSM_FFd1 ...XXXX......XXX........................ 7 -ram/RASEL .XX.............XX.X.....XXXXX.XX....... 12 +ram/RS_FSM_FFd2 ........................X............... 1 +fsb/ASrf ..............X......................... 1 +nRAS ........................X.X............. 2 +nRAMLWE ..............X..X.X..X................. 4 +ALE0S ............X........................... 1 +nRAMUWE ..............X...XX..X................. 4 +ram/RefDone ...XX..................XX...X........... 5 +iobs/IOU1 ..........X.......X..................... 2 +iobs/IOL1 ..........X......X...................... 2 +nBERR_FSB .X......X..X..XX........................ 5 +IOPWReady ..X.....XX...XX......................... 5 +ram/RS_FSM_FFd6 ...XX...X.....X.....X.X....XX........... 8 +nBR_IOB .....XXX........X....................... 4 +ram/RS_FSM_FFd8 ...XX...X.....X.....X.X..X.XX........... 9 +ram/CAS ...XX...X.....X.....X.X...XXX........... 9 +ram/RAMEN ...XX...X.....X.....XXX..X.XX........... 10 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** - -$OpTx$$OpTx$FX_DC$47_INV$153 <= (nAS_FSB AND NOT fsb/ASrf); - FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); -ALE0M_D <= ((iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND - NOT iobm/IOREQr)); +ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND nAoutOE) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT ALE0M) + OR (iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + NOT iobm/IORDREQr AND NOT iobm/IOWRREQr)); FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); -C20MEN <= '1'; +C20MEN <= '0'; C25MEN <= '1'; - - - - - - - - - - - - - - - - - - FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0'); -IOACT_D <= ((iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND - NOT iobm/ETACK AND NOT iobm/RESrf) - OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) - OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOREQr) - OR (NOT C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) - OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND - NOT iobm/DTACKrf)); +IOACT_D <= ((iobm/IOS_FSM_FFd4) + OR (iobm/IOS_FSM_FFd5) + OR (iobm/IOS_FSM_FFd6) + OR (NOT IOBERR AND NOT IODONE AND iobm/IOS_FSM_FFd3) + OR (iobm/IOS_FSM_FFd7 AND iobm/IOWRREQr AND NOT nAoutOE) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND IOACT AND + NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) + OR (iobm/IOS_FSM_FFd3 AND iobm/C8Mr) + OR (iobm/IOS_FSM_FFd7 AND iobm/IORDREQr AND NOT nAoutOE)); -FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,FCLK,'0','0',IOL0_CE); -IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) - OR (iobs/IOL1 AND NOT nADoutLE1)); -IOL0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); +FDCPE_IOBERR: FDCPE port map (IOBERR,NOT nBERR_IOB,NOT C8M,nAS_IOB,'0'); -FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,FCLK,'0','0'); -IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1) - OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +FDCPE_IODONE: FDCPE port map (IODONE,IODONE_D,NOT C8M,nAS_IOB,'0'); +IODONE_D <= ((NOT nRES.PIN) + OR (NOT nDTACK_IOB) + OR (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + iobm/ES(3))); + +FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0'); +IOL0_T <= ((iobs/TS_FSM_FFd1) + OR (iobs/IOL1 AND IOL0 AND NOT nADoutLE1) + OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1) + OR (NOT nLDS_FSB AND IOL0 AND nADoutLE1)); -FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,FCLK,'0','0'); -IORW0_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND +FDCPE_IONPReady: FDCPE port map (IONPReady,IONPReady_D,FCLK,'0','0'); +IONPReady_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/Sent AND NOT IONPReady) + OR (NOT IONPReady AND NOT iobs/IODONEr(0)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT IONPReady)); + +FDCPE_IOPWReady: FDCPE port map (IOPWReady,IOPWReady_D,FCLK,'0','0'); +IOPWReady_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT IOPWReady AND NOT iobs/Clear1 AND NOT nADoutLE1)); + +FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); +IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) - OR (IOL0.EXP) - OR (iobs/Sent AND nADoutLE1) - OR (IORW0 AND iobs/IORW1 AND NOT nADoutLE1) - OR (NOT IORW0 AND NOT iobs/IORW1 AND NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT IORW0 AND nADoutLE1) - OR (NOT nWE_FSB AND NOT IORW0 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - nADoutLE1)); + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); -FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,FCLK,'0','0',IOU0_CE); -IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) - OR (iobs/IOU1 AND NOT nADoutLE1)); -IOU0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); +FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0'); +IOU0_T <= ((iobs/TS_FSM_FFd1) + OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1) + OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) + OR (iobs/IOU1 AND IOU0 AND NOT nADoutLE1)); + +FDCPE_IOWRREQ: FDCPE port map (IOWRREQ,IOWRREQ_D,FCLK,'0','0'); +IOWRREQ_D <= ((iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/TS_FSM_FFd2 AND NOT IOWRREQ) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); -RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(1))); +RA(0) <= A_FSB(9); -RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(2))); +RA(1) <= A_FSB(10); -RA(2) <= ((ram/RASEL AND A_FSB(3)) - OR (A_FSB(12) AND NOT ram/RASEL)); +RA(2) <= A_FSB(16); -RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(4))); +RA(3) <= A_FSB(19); -RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(5))); +RA(4) <= A_FSB(11); -RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(6))); +RA(5) <= A_FSB(12); -RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(7))); +RA(6) <= A_FSB(13); -RA(7) <= ((A_FSB(8) AND ram/RASEL) - OR (A_FSB(17) AND NOT ram/RASEL)); +RA(7) <= A_FSB(14); -RA(8) <= ((A_FSB(23) AND A_FSB(18)) - OR (A_FSB(22) AND A_FSB(18)) - OR (A_FSB(18) AND NOT cs/nOverlay) - OR (A_FSB(18) AND NOT ram/RASEL) - OR (A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RASEL)); +RA(8) <= A_FSB(18); -RA(9) <= ((A_FSB(20) AND ram/RASEL) - OR (A_FSB(19) AND NOT ram/RASEL)); +RA(9) <= A_FSB(15); -RA(10) <= A_FSB(21); +RA(10) <= A_FSB(17); RA(11) <= A_FSB(19); @@ -997,307 +980,146 @@ cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); -FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,FCLK,'0','0'); -fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND NOT ram/RAMReady)); +FDCPE_iobm/C8Mr: FDCPE port map (iobm/C8Mr,C8M,C16M,'0','0'); -FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,FCLK,'0','0'); -fsb/Ready1r_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r) - OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND IOACT AND - NOT iobs/IODTACKr) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND IOACT AND - NOT iobs/IODTACKr) - OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND IOACT AND - NOT iobs/IODTACKr) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nADoutLE1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT fsb/Ready1r AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT fsb/Ready1r AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r) - OR (A_FSB(23) AND NOT fsb/Ready1r AND IOACT AND NOT iobs/IODTACKr) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r) - OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r)); +FTCPE_iobm/DoutOE: FTCPE port map (iobm/DoutOE,iobm/DoutOE_T,C16M,'0','0'); +iobm/DoutOE_T <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND NOT iobm/DoutOE AND + iobm/IOWRREQr) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + iobm/DoutOE) + OR (NOT iobm/IOS_FSM_FFd3 AND iobm/C8Mr AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + iobm/DoutOE) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND iobm/DoutOE AND NOT iobm/IOWRREQr)); -FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,FCLK,'0','0'); -fsb/VPA_D <= ((iobs/IOACTr.EXP) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND NOT nADoutLE1 AND - NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND NOT nADoutLE1 AND - NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT fsb/Ready1r AND fsb/VPA AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1 AND - NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT fsb/Ready1r AND fsb/VPA AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1 AND - NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND - A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND - NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND - NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND - IOACT AND NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND - IOACT AND NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND fsb/VPA AND - IOACT AND NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND - fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND IOACT AND - NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r AND fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r AND fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r AND fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153)); +FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),NOT C8M,'0','0'); +iobm/ES_T(0) <= ((iobm/ES(0) AND NOT E AND iobm/Er) + OR (NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + NOT iobm/ES(3) AND E) + OR (NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + NOT iobm/ES(3) AND NOT iobm/Er)); -FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C8M,'0','0'); - -FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT C8M,'0','0'); - -FDCPE_iobm/DoutOE: FDCPE port map (iobm/DoutOE,iobm/DoutOE_D,C16M,'0','0'); -iobm/DoutOE_D <= ((NOT IORW0 AND iobm/IOS_FSM_FFd3) - OR (NOT IORW0 AND iobm/IOS_FSM_FFd2) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND - NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr AND NOT nAoutOE)); - -FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),C16M,'0','0'); -iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); - -FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),C16M,'0','0'); +FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),NOT C8M,'0','0'); iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) - OR (NOT iobm/Er AND iobm/Er2)); + OR (NOT E AND iobm/Er) + OR (iobm/ES(0) AND NOT iobm/ES(2) AND iobm/ES(3))); -FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),C16M,'0','0'); -iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) - OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) - OR (NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) - OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); +FTCPE_iobm/ES2: FTCPE port map (iobm/ES(2),iobm/ES_T(2),NOT C8M,'0','0'); +iobm/ES_T(2) <= ((iobm/ES(0) AND iobm/ES(1) AND E) + OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/Er) + OR (iobm/ES(2) AND NOT E AND iobm/Er)); -FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),C16M,'0','0'); -iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); - -FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),C16M,'0','0'); -iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - iobm/ES(3) AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - iobm/ES(3) AND NOT iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND iobm/ES(4))); - -FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,C16M,'0','0'); -iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND iobm/ES(4)); +FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),NOT C8M,'0','0'); +iobm/ES_T(3) <= ((iobm/ES(3) AND NOT E AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(2) AND iobm/ES(1) AND E) + OR (iobm/ES(0) AND iobm/ES(2) AND iobm/ES(1) AND NOT iobm/Er) + OR (iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + iobm/ES(3))); FDCPE_iobm/Er: FDCPE port map (iobm/Er,E,NOT C8M,'0','0'); -FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,C16M,'0','0'); +FDCPE_iobm/IORDREQr: FDCPE port map (iobm/IORDREQr,IORDREQ,C16M,'0','0'); -FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT C16M,'0','0'); +FDCPE_iobm/IOS0: FDCPE port map (iobm/IOS0,iobm/IOS0_D,C16M,'0','0'); +iobm/IOS0_D <= ((iobm/IOS_FSM_FFd1) + OR (iobm/IOS_FSM_FFd7 AND iobm/C8Mr) + OR (iobm/IOS_FSM_FFd7 AND nAoutOE) + OR (iobm/IOS_FSM_FFd7 AND NOT iobm/IORDREQr AND + NOT iobm/IOWRREQr) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + NOT iobm/IOS_FSM_FFd2 AND iobm/IOS0)); -FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C16M,'0','0'); -iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)); +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,C16M,'0','0'); FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,C16M,'0','0'); -iobm/IOS_FSM_FFd2_D <= ((NOT C8M AND iobm/IOS_FSM_FFd2) - OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) - OR (iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND - NOT iobm/ETACK AND NOT iobm/RESrf)); +iobm/IOS_FSM_FFd2_D <= ((IOBERR AND iobm/IOS_FSM_FFd3 AND NOT iobm/C8Mr) + OR (IODONE AND iobm/IOS_FSM_FFd3 AND NOT iobm/C8Mr)); FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,'0','0'); -iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) - OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND - NOT iobm/IOS_FSM_FFd2) - OR (NOT C8M AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND - iobm/IOREQr AND NOT nAoutOE)); +iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd4) + OR (iobm/IOS_FSM_FFd3 AND iobm/C8Mr) + OR (NOT IOBERR AND NOT IODONE AND iobm/IOS_FSM_FFd3)); -FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES.PIN,NOT C8M,'0','0'); +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,C16M,'0','0'); -FDCPE_iobm/VPAr: FDCPE port map (iobm/VPAr,NOT nVPA_IOB,NOT C16M,'0','0'); +FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,C16M,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd6_D,C16M,'0','0'); +iobm/IOS_FSM_FFd6_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND iobm/IORDREQr AND + NOT nAoutOE) + OR (iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND iobm/IOWRREQr AND + NOT nAoutOE)); + +FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,C16M,'0','0'); +iobm/IOS_FSM_FFd7_D <= ((NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd1) + OR (NOT iobm/C8Mr AND NOT iobm/IOS_FSM_FFd1 AND iobm/IORDREQr AND + NOT nAoutOE) + OR (NOT iobm/C8Mr AND NOT iobm/IOS_FSM_FFd1 AND iobm/IOWRREQr AND + NOT nAoutOE)); + +FDCPE_iobm/IOWRREQr: FDCPE port map (iobm/IOWRREQr,IOWRREQ,C16M,'0','0'); + +FDCPE_iobm/VPAr: FDCPE port map (iobm/VPAr,NOT nVPA_IOB,NOT C8M,'0','0'); FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,FCLK,'0','0'); -iobs/Clear1_D <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); - -FDCPE_iobs/DTACKEN: FDCPE port map (iobs/DTACKEN,iobs/DTACKEN_D,FCLK,'0','0'); -iobs/DTACKEN_D <= ((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND - NOT iobs/DTACKEN) - OR (NOT iobs/Sent AND NOT iobs/DTACKEN) - OR (NOT iobs/DTACKEN AND NOT iobs/IOACTr) - OR (NOT iobs/DTACKEN AND NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/DTACKEN)); +iobs/Clear1_D <= (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2); FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0'); -FDCPE_iobs/IODTACKr: FDCPE port map (iobs/IODTACKr,NOT nDTACK_IOB,FCLK,'0','0'); +FDCPE_iobs/IODONEr0: FDCPE port map (iobs/IODONEr(0),IODONE,FCLK,'0','0'); FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); -iobs/IORW1_T <= ((A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND - iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND - iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND - iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND - iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1)); +iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/IORW1 AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/IORW1 AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); -iobs/Load1_D <= ((A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd2 AND - fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd2 AND - fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1)); +iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); -FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); -iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND fsb/ASrf AND nADoutLE1) - OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND - NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1)); +FDCPE_iobs/Sent: FDCPE port map (iobs/Sent,iobs/Sent_D,FCLK,'0','0'); +iobs/Sent_D <= ((A_FSB(23) AND NOT iobs/Sent AND iobs/TS_FSM_FFd2) + OR (A_FSB(22) AND NOT iobs/Sent AND iobs/TS_FSM_FFd2) + OR (NOT iobs/Sent AND nWE_FSB AND iobs/TS_FSM_FFd1) + OR (NOT iobs/Sent AND nWE_FSB AND iobs/TS_FSM_FFd2) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT iobs/Sent AND cs/nOverlay) + OR (NOT iobs/Sent AND NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Sent AND iobs/TS_FSM_FFd1) + OR (A_FSB(22) AND NOT iobs/Sent AND iobs/TS_FSM_FFd1)); FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0'); iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); -iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1) - OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +iobs/TS_FSM_FFd2_D <= ((nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -1307,8 +1129,13 @@ nADoutLE1_D <= ((iobs/Load1) OR (NOT iobs/Clear1 AND NOT nADoutLE1)); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT C16M,'0','0'); -nAS_IOB <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2) - OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2)); +nAS_IOB <= ((NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6) + OR (NOT iobm/IOS_FSM_FFd3 AND iobm/C8Mr AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IORDREQr AND + NOT iobm/IOWRREQr)); nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z'; nAS_IOB_OE <= NOT nAoutOE; @@ -1318,8 +1145,10 @@ nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND OR (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2 AND NOT nAoutOE)); - -nBERR_FSB <= NOT ((iobs/DTACKEN AND NOT nBERR_IOB)); +FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); +nBERR_FSB_D <= ((NOT iobs/Sent AND nBERR_FSB) + OR (NOT IOBERR AND nBERR_FSB) + OR (nAS_FSB AND NOT fsb/ASrf)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND @@ -1327,58 +1156,39 @@ nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND OR (NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r)); -FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0'); +FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r AND nDTACK_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) - OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND - nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) - OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND - nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) - OR (fsb/Ready0r.EXP) +nDTACK_FSB_D <= ((NOT A_FSB(22) AND NOT IONPReady AND NOT IOPWReady) + OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18)) + OR (A_FSB(23) AND NOT IONPReady) OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND - nDTACK_FSB) - OR (A_FSB(23) AND NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND - NOT iobs/IODTACKr) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r AND nDTACK_FSB) - OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r AND nDTACK_FSB) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND - nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT iobs/DTACKEN AND NOT fsb/Ready1r AND nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT iobs/DTACKEN AND NOT fsb/Ready1r AND nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1)); + OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) + OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady) + OR (NOT A_FSB(22) AND nWE_FSB AND NOT IONPReady)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); -nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); +nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); + OR (A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(20) AND nWE_FSB AND NOT nAS_FSB) + OR (NOT A_FSB(22) AND cs/nOverlay AND nWE_FSB AND NOT nAS_FSB))); -nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE)); +nDoutOE <= NOT (((iobm/DoutOE AND NOT nAoutOE) + OR (NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND + NOT nAoutOE))); FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); -nLDS_IOB <= ((IOL0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) - OR (IOL0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) - OR (IORW0 AND IOL0 AND iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd1)); +nLDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOL0 AND + iobm/IORDREQr) + OR (iobm/IOS_FSM_FFd3 AND IOL0) + OR (iobm/IOS_FSM_FFd4 AND IOL0) + OR (iobm/IOS_FSM_FFd5 AND IOL0) + OR (NOT nLDS_IOB AND iobm/IOS_FSM_FFd6 AND IOL0)); nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z'; nLDS_IOB_OE <= NOT nAoutOE; @@ -1386,15 +1196,13 @@ nLDS_IOB_OE <= NOT nAoutOE; nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); -nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); +nRAMLWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB)); -nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); +nRAMUWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB)); - -nRAS <= NOT (((ram/RefRAS) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RAMEN))); +FDCPE_nRAS: FDCPE port map (nRAS,nRAS_D,FCLK,'0','0'); +nRAS_D <= (NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd6); nRES_I <= '0'; @@ -1412,145 +1220,92 @@ nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT C16M,'0','0'); -nUDS_IOB <= ((IOU0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) - OR (IOU0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) - OR (IORW0 AND IOU0 AND iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd1)); +nUDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOU0 AND + iobm/IORDREQr) + OR (iobm/IOS_FSM_FFd3 AND IOU0) + OR (iobm/IOS_FSM_FFd4 AND IOU0) + OR (iobm/IOS_FSM_FFd5 AND IOU0) + OR (NOT nUDS_IOB AND iobm/IOS_FSM_FFd6 AND IOU0)); nUDS_IOB <= nUDS_IOB_I when nUDS_IOB_OE = '1' else 'Z'; nUDS_IOB_OE <= NOT nAoutOE; -FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C16M,'0','0'); -nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4)) - OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPAr)); +FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C8M,'0','0'); +nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + NOT iobm/ES(3)) + OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(2) AND NOT iobm/ES(1) AND + NOT iobm/ES(3) AND IOACT AND iobm/VPAr)); nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z'; nVMA_IOB_OE <= NOT nAoutOE; - -nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); +FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); +nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND IONPReady AND NOT nAS_FSB) + OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND IONPReady AND fsb/ASrf)); FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); +FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0'); +ram/CAS_D <= ((ram/RS_FSM_FFd6) + OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) + OR (RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (RefReq AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND + fsb/ASrf AND NOT ram/BACTr)); + +FDCPE_ram/Once: FDCPE port map (ram/Once,ram/Once_D,FCLK,'0','0',ram/Once_CE); +ram/Once_D <= (nAS_FSB AND NOT fsb/ASrf); +ram/Once_CE <= (nAS_FSB AND NOT fsb/ASrf); + FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN) - OR (NOT ram/RefUrg AND ram/RAMEN AND ram/BACTr) - OR (NOT ram/RefUrg AND ram/RAMEN AND NOT ram/RefReq) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf)); +ram/RAMEN_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) + OR (RefReq AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND + fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RAMEN AND NOT nAS_FSB AND ram/Once) + OR (NOT ram/RAMEN AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) + OR (NOT ram/RAMEN AND ram/Once AND fsb/ASrf) + OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr)); -FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0'); -ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND - NOT ram/RAMEN)); +FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); -FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND fsb/ASrf) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND ram/BACTr) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND ram/BACTr) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT ram/RefReq) - OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf)); +FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0','0'); -FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0'); -ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND - fsb/ASrf)); +FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd6,FCLK,'0','0'); -FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0'); -ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) - OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RefUrg AND NOT fsb/ASrf) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND - ram/BACTr) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND - NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); +FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd1,FCLK,'0','0'); -FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0'); -ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) - OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND ram/RefUrg) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND ram/RefUrg AND fsb/ASrf)); +FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); +ram/RS_FSM_FFd6_D <= ((RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) + OR (RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (RefReq AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND + fsb/ASrf AND NOT ram/BACTr)); + +FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); +ram/RS_FSM_FFd8_D <= ((NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) + OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) + OR (RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) + OR (RefReq AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd4 AND + fsb/ASrf AND NOT ram/BACTr)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); -ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - ram/RefReqSync)); - -FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0'); -ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); - -FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); -ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync); - -FDCPE_ram/RefReqSync: FDCPE port map (ram/RefReqSync,RefReq,FCLK,'0','0'); - -FDCPE_ram/RefUrg: FDCPE port map (ram/RefUrg,ram/RefUrg_D,FCLK,'0','0'); -ram/RefUrg_D <= (NOT ram/RefDone AND ram/RegUrgSync); - -FDCPE_ram/RegUrgSync: FDCPE port map (ram/RegUrgSync,RefUrg,FCLK,'0','0'); +ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) + OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd2)); Register Legend: FDCPE (Q,D,C,CLR,PRE,CE); @@ -1598,11 +1353,11 @@ Device : XC95144XL-10-TQ100 Pin Signal Pin Signal No. Name No. Name 1 KPR 51 VCC - 2 A_FSB<5> 52 RA<7> - 3 A_FSB<6> 53 RA<0> - 4 A_FSB<7> 54 RA<8> + 2 KPR 52 RA<7> + 3 KPR 53 RA<0> + 4 KPR 54 RA<8> 5 VCC 55 RA<10> - 6 A_FSB<8> 56 RA<9> + 6 KPR 56 RA<9> 7 A_FSB<9> 57 VCC 8 A_FSB<10> 58 C25MEN 9 A_FSB<11> 59 C20MEN @@ -1640,10 +1395,10 @@ No. Name No. Name 41 RA<3> 91 nRES 42 RA<5> 92 nIPL2 43 RA<2> 93 nVPA_FSB - 44 GND 94 A_FSB<1> - 45 TDI 95 A_FSB<2> - 46 RA<6> 96 A_FSB<3> - 47 TMS 97 A_FSB<4> + 44 GND 94 KPR + 45 TDI 95 KPR + 46 RA<6> 96 KPR + 47 TMS 97 KPR 48 TCK 98 VCC 49 KPR 99 KPR 50 RA<1> 100 GND diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index bc0afe7..2ab0429 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -144,6 +144,8 @@ Performing bidirectional port resolution... Synthesizing Unit . Related source file is "../CS.v". +WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. Found 1-bit register for signal . Found 1-bit register for signal . Summary: @@ -156,54 +158,56 @@ Synthesizing Unit . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 8 | - | Transitions | 21 | - | Inputs | 6 | - | Outputs | 9 | + | Transitions | 11 | + | Inputs | 3 | + | Outputs | 8 | | Clock | CLK (rising_edge) | | Power Up State | 000 | | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). - inferred 10 D-type flip-flop(s). + inferred 6 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "../IOBS.v". +WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 10 | | Inputs | 5 | - | Outputs | 6 | + | Outputs | 5 | | Clock | CLK (rising_edge) | | Power Up State | 00 | | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- - Found 1-bit register for signal . - Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . + Found 2-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -211,7 +215,7 @@ Synthesizing Unit . Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). - inferred 10 D-type flip-flop(s). + inferred 9 D-type flip-flop(s). Unit synthesized. @@ -219,31 +223,31 @@ Synthesizing Unit . Related source file is "../IOBM.v". Found finite state machine for signal . ----------------------------------------------------------------------- - | States | 8 | - | Transitions | 16 | - | Inputs | 7 | - | Outputs | 8 | + | States | 7 | + | Transitions | 13 | + | Inputs | 5 | + | Outputs | 7 | | Clock | C16M (rising_edge) | | Power Up State | 000 | | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . - Found 5-bit up counter for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . + Found 4-bit up counter for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). @@ -284,14 +288,15 @@ Unit synthesized. Synthesizing Unit . Related source file is "../FSB.v". +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . Summary: - inferred 1 D-type flip-flop(s). + inferred 3 D-type flip-flop(s). Unit synthesized. @@ -299,7 +304,6 @@ Synthesizing Unit . Related source file is "../WarpSE.v". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. -WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. Found 1-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . @@ -316,11 +320,10 @@ HDL Synthesis Report Macro Statistics # Counters : 3 13-bit up counter : 1 - 4-bit up counter : 1 - 5-bit up counter : 1 -# Registers : 57 - 1-bit register : 56 - 2-bit register : 1 + 4-bit up counter : 2 +# Registers : 56 + 1-bit register : 54 + 2-bit register : 2 # Tristates : 5 1-bit tristate buffer : 5 @@ -341,18 +344,17 @@ Optimizing FSM on signal with johnson encoding. 11 | 10 ------------------- Analyzing FSM for best encoding. -Optimizing FSM on signal with gray encoding. +Optimizing FSM on signal with one-hot encoding. ------------------- State | Encoding ------------------- - 000 | 000 - 001 | 001 - 010 | 011 - 011 | 010 - 100 | 110 - 101 | 111 - 110 | 101 - 111 | 100 + 000 | 0000001 + 010 | 0000010 + 011 | 0000100 + 100 | 0001000 + 101 | 0010000 + 110 | 0100000 + 111 | 1000000 ------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with johnson encoding. @@ -365,19 +367,25 @@ Optimizing FSM on signal with johnson encoding. 01 | 10 ------------------- Analyzing FSM for best encoding. -Optimizing FSM on signal with user encoding. +Optimizing FSM on signal with one-hot encoding. ------------------- State | Encoding ------------------- - 000 | 000 - 010 | 010 - 001 | 001 - 101 | 101 - 011 | 011 - 100 | 100 - 111 | 111 - 110 | 110 + 000 | 00000001 + 001 | 00000010 + 011 | 00000100 + 010 | 00001000 + 111 | 00010000 + 100 | 00100000 + 101 | 01000000 + 110 | 10000000 ------------------- +WARNING:Xst:1293 - FF/Latch <0> has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch <0> has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch <0> has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch <0> has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:2257 - FF/Latches is unconnected in block . +WARNING:Xst:2257 - FF/Latches is unconnected in block . ========================================================================= Advanced HDL Synthesis Report @@ -386,16 +394,17 @@ Macro Statistics # FSMs : 4 # Counters : 3 13-bit up counter : 1 - 4-bit up counter : 1 - 5-bit up counter : 1 -# Registers : 45 - Flip-Flops : 45 + 4-bit up counter : 2 +# Registers : 42 + Flip-Flops : 42 ========================================================================= ========================================================================= * Low Level Synthesis * ========================================================================= +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:2677 - Node of sequential type is unconnected in block . Optimizing unit ... @@ -403,32 +412,39 @@ Optimizing unit ... implementation constraint: INIT=r : nOverlay Optimizing unit ... - implementation constraint: INIT=r : RAMEN - implementation constraint: INIT=r : RAMReady + implementation constraint: INIT=s : RS_FSM_FFd8 implementation constraint: INIT=r : RASEL - implementation constraint: INIT=r : RefRAS + implementation constraint: INIT=r : CAS + implementation constraint: INIT=r : RASrr + implementation constraint: INIT=r : RS_FSM_FFd6 + implementation constraint: INIT=r : RASrf + implementation constraint: INIT=r : RS_FSM_FFd7 + implementation constraint: INIT=r : Once + implementation constraint: INIT=r : RAMEN implementation constraint: INIT=r : RS_FSM_FFd1 implementation constraint: INIT=r : RS_FSM_FFd2 implementation constraint: INIT=r : RS_FSM_FFd3 - -Optimizing unit ... - implementation constraint: INIT=r : IOACTr - implementation constraint: INIT=r : IODTACKr - implementation constraint: INIT=r : TS_FSM_FFd2 - implementation constraint: INIT=r : DTACKEN - implementation constraint: INIT=r : Sent - implementation constraint: INIT=r : TS_FSM_FFd1 + implementation constraint: INIT=r : RS_FSM_FFd4 + implementation constraint: INIT=r : RS_FSM_FFd5 Optimizing unit ... implementation constraint: INIT=r : ASrf +Optimizing unit ... + implementation constraint: INIT=r : IOACTr + implementation constraint: INIT=r : TS_FSM_FFd2 + implementation constraint: INIT=r : Sent + implementation constraint: INIT=r : TS_FSM_FFd1 + Optimizing unit ... - implementation constraint: INIT=r : IOREQr - implementation constraint: INIT=r : ETACK + implementation constraint: INIT=s : IOS_FSM_FFd7 implementation constraint: INIT=r : DoutOE + implementation constraint: INIT=r : IOS_FSM_FFd6 implementation constraint: INIT=r : IOS_FSM_FFd1 implementation constraint: INIT=r : IOS_FSM_FFd2 implementation constraint: INIT=r : IOS_FSM_FFd3 + implementation constraint: INIT=r : IOS_FSM_FFd4 + implementation constraint: INIT=r : IOS_FSM_FFd5 Optimizing unit ... implementation constraint: INIT=r : Timer_3 @@ -437,6 +453,10 @@ Optimizing unit ... implementation constraint: INIT=r : INITS_FSM_FFd2 implementation constraint: INIT=r : Timer_2 implementation constraint: INIT=r : INITS_FSM_FFd1 +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. ========================================================================= * Partition Report * @@ -468,24 +488,28 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 578 -# AND2 : 172 -# AND3 : 27 -# AND4 : 8 +# BELS : 457 +# AND2 : 129 +# AND3 : 20 +# AND4 : 7 # AND5 : 2 -# AND6 : 3 -# AND8 : 3 -# GND : 7 -# INV : 234 -# OR2 : 95 -# OR3 : 7 +# AND6 : 1 +# AND7 : 1 +# AND8 : 1 +# GND : 6 +# INV : 190 +# OR2 : 69 +# OR3 : 10 +# OR4 : 2 # VCC : 1 -# XOR2 : 19 -# FlipFlops/Latches : 90 -# FD : 54 -# FDCE : 36 -# IO Buffers : 71 -# IBUF : 35 +# XOR2 : 18 +# FlipFlops/Latches : 92 +# FD : 56 +# FDC : 2 +# FDCE : 33 +# FDP : 1 +# IO Buffers : 63 +# IBUF : 27 # IOBUFE : 1 # OBUF : 31 # OBUFE : 4 @@ -493,13 +517,13 @@ Cell Usage : Total REAL time to Xst completion: 5.00 secs -Total CPU time to Xst completion: 5.01 secs +Total CPU time to Xst completion: 4.96 secs --> -Total memory usage is 261444 kilobytes +Total memory usage is 261316 kilobytes Number of errors : 0 ( 0 filtered) -Number of warnings : 4 ( 0 filtered) +Number of warnings : 22 ( 0 filtered) Number of infos : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.tim b/cpld/XC95144XL/WarpSE.tim index e69de29..4379c35 100644 --- a/cpld/XC95144XL/WarpSE.tim +++ b/cpld/XC95144XL/WarpSE.tim @@ -0,0 +1,983 @@ + Performance Summary Report + -------------------------- + +Design: WarpSE +Device: XC95144XL-10-TQ100 +Speed File: Version 3.0 +Program: Timing Report Generator: version P.20131013 +Date: Fri Apr 07 00:23:44 2023 + +Performance Summary: + +Pad to Pad (tPD) : 10.0ns (1 macrocell levels) +Pad 'nAS_FSB' to Pad 'nOE' + +Clock net 'FCLK' path delays: + +Clock Pad to Output Pad (tCO) : 14.5ns (2 macrocell levels) +Clock Pad 'FCLK' to Output Pad 'nRES' (GCK) + +Clock to Setup (tCYC) : 11.0ns (1 macrocell levels) +Clock to Q, net 'iobs/Sent.Q' to DFF Setup(D) at 'iobs/Sent.D' (GCK) +Target FF drives output net 'iobs/Sent' + +Setup to Clock at the Pad (tSU) : 7.5ns (0 macrocell levels) +Data signal 'A_FSB<23>' to DFF D input Pin at 'iobs/Sent.D' +Clock pad 'FCLK' (GCK) + + Minimum Clock Period: 11.0ns + Maximum Internal Clock Speed: 90.9Mhz + (Limited by Cycle Time) + +Clock net 'C16M' path delays: + +Clock Pad to Output Pad (tCO) : 13.5ns (2 macrocell levels) +Clock Pad 'C16M' to Output Pad 'nADoutLE0' (GCK) + +Clock to Setup (tCYC) : 11.0ns (1 macrocell levels) +Clock to Q, net 'iobm/IOS_FSM_FFd7.Q' to DFF Setup(D) at 'nLDS_IOB.D' (GCK) +Target FF drives output net 'nLDS_IOB' + +Setup to Clock at the Pad (tSU) : 6.5ns (0 macrocell levels) +Data signal 'C8M' to DFF D input Pin at 'iobm/C8Mr.D' +Clock pad 'C16M' (GCK) + + Minimum Clock Period: 11.0ns + Maximum Internal Clock Speed: 90.9Mhz + (Limited by Cycle Time) + +Clock net 'C8M' path delays: + +Clock Pad to Output Pad (tCO) : 5.8ns (1 macrocell levels) +Clock Pad 'C8M' to Output Pad 'nVMA_IOB' (GCK) + +Clock to Setup (tCYC) : 11.0ns (1 macrocell levels) +Clock to Q, net 'nVMA_IOB.Q' to DFF Setup(D) at 'IODONE.D' (GCK) +Target FF drives output net 'IODONE' + +Setup to Clock at the Pad (tSU) : 6.5ns (0 macrocell levels) +Data signal 'nBERR_IOB' to DFF D input Pin at 'IOBERR.D' +Clock pad 'C8M' (GCK) + + Minimum Clock Period: 11.0ns + Maximum Internal Clock Speed: 90.9Mhz + (Limited by Cycle Time) + +-------------------------------------------------------------------------------- + Pad to Pad (tPD) (nsec) + +\ From A A A A A A A A A A A + \ _ _ _ _ _ _ _ _ _ _ _ + \ F F F F F F F F F F F + \ S S S S S S S S S S S + \ B B B B B B B B B B B + \ < < < < < < < < < < < + \ 1 1 1 1 1 1 1 1 1 1 2 + \ 0 1 2 3 4 5 6 7 8 9 0 + \ > > > > > > > > > > > + To \------------------------------------------------------------------ + +RA<0> +RA<10> 10.0 +RA<11> 10.0 +RA<1> 10.0 +RA<2> 10.0 +RA<3> 10.0 +RA<4> 10.0 +RA<5> 10.0 +RA<6> 10.0 +RA<7> 10.0 +RA<8> 10.0 +RA<9> 10.0 +nDinOE 10.0 +nOE +nRAMLWE +nRAMUWE +nROMCS 10.0 +nROMWE + +-------------------------------------------------------------------------------- + Pad to Pad (tPD) (nsec) + +\ From A A A A n n n n + \ _ _ _ _ A L U W + \ F F F F S D D E + \ S S S S _ S S _ + \ B B B B F _ _ F + \ < < < < S F F S + \ 2 2 2 9 B S S B + \ 1 2 3 > B B + \ > > > + To \------------------------------------------------ + +RA<0> 10.0 +RA<10> +RA<11> +RA<1> +RA<2> +RA<3> +RA<4> +RA<5> +RA<6> +RA<7> +RA<8> +RA<9> +nDinOE 10.0 10.0 10.0 10.0 10.0 +nOE 10.0 10.0 +nRAMLWE 10.0 10.0 10.0 +nRAMUWE 10.0 10.0 10.0 +nROMCS 10.0 10.0 10.0 +nROMWE 10.0 10.0 + +-------------------------------------------------------------------------------- + Clock Pad to Output Pad (tCO) (nsec) + +\ From C C F + \ 1 8 C + \ 6 M L + \ M K + \ + \ + \ + \ + \ + \ + To \------------------ + +nADoutLE0 13.5 13.5 +nADoutLE1 5.8 +nAS_IOB 5.8 14.5 +nAoutOE 5.8 +nBERR_FSB 5.8 +nBR_IOB 5.8 +nCAS 5.8 +nDTACK_FSB 5.8 +nDinLE 5.8 +nDinOE 13.5 +nDoutOE 13.5 13.5 +nLDS_IOB 5.8 14.5 +nRAMLWE 13.5 +nRAMUWE 13.5 +nRAS 5.8 +nRES 14.5 +nROMCS 13.5 +nUDS_IOB 5.8 14.5 +nVMA_IOB 5.8 14.5 +nVPA_FSB 5.8 + +-------------------------------------------------------------------------------- + Setup to Clock at Pad (tSU or tSUF) (nsec) + +\ From C C F + \ 1 8 C + \ 6 M L + \ M K + \ + \ + \ + \ + \ + \ + To \------------------ + +A_FSB<18> 7.5 +A_FSB<19> 7.5 +A_FSB<20> 7.5 +A_FSB<21> 7.5 +A_FSB<22> 7.5 +A_FSB<23> 7.5 +C8M 6.5 +E 6.5 6.5 +nAS_FSB 7.5 +nBERR_IOB 6.5 +nDTACK_IOB 6.5 +nIPL2 6.5 +nLDS_FSB 6.5 +nRES 6.5 6.5 +nUDS_FSB 6.5 +nVPA_IOB 6.5 +nWE_FSB 7.5 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From I I I I I I R R c c + \ O O O O O O e e n n + \ L N P R U W f f t t + \ 0 P W D 0 R R U / / + \ . R R R . R e r E E + \ Q e e E Q E q g r r + \ a a Q Q . . < < + \ d d . . Q Q 0 1 + \ y y Q Q > > + \ . . . . + \ Q Q Q Q + \ + \ + \ + \ + \ + \ + \ + \ + \ + To \------------------------------------------------------------ + +ALE0S.D +IOL0.D 11.0 +IONPReady.D 10.0 +IOPWReady.D 10.0 +IORDREQ.D 10.0 +IOU0.D 11.0 +IOWRREQ.D 10.0 +RefReq.CE 10.0 10.0 +RefReq.D 10.0 +RefUrg.CE 10.0 10.0 +RefUrg.D 10.0 10.0 10.0 +cnt/Er<1>.D 10.0 +cnt/INITS_FSM_FFd1.D 10.0 10.0 +cnt/INITS_FSM_FFd2.D 10.0 10.0 +cnt/LTimer<0>.CE 10.0 10.0 +cnt/LTimer<10>.CE 10.0 10.0 +cnt/LTimer<10>.D +cnt/LTimer<11>.CE 10.0 10.0 +cnt/LTimer<11>.D +cnt/LTimer<12>.CE 10.0 10.0 +cnt/LTimer<12>.D +cnt/LTimer<1>.CE 10.0 10.0 +cnt/LTimer<1>.D +cnt/LTimer<2>.CE 10.0 10.0 +cnt/LTimer<2>.D +cnt/LTimer<3>.CE 10.0 10.0 +cnt/LTimer<3>.D +cnt/LTimer<4>.CE 10.0 10.0 +cnt/LTimer<4>.D +cnt/LTimer<5>.CE 10.0 10.0 +cnt/LTimer<5>.D +cnt/LTimer<6>.CE 10.0 10.0 +cnt/LTimer<6>.D +cnt/LTimer<7>.CE 10.0 10.0 +cnt/LTimer<7>.D +cnt/LTimer<8>.CE 10.0 10.0 +cnt/LTimer<8>.D +cnt/LTimer<9>.CE 10.0 10.0 +cnt/LTimer<9>.D +cnt/LTimerTC.CE 10.0 10.0 +cnt/LTimerTC.D +cnt/Timer<0>.CE 10.0 10.0 +cnt/Timer<0>.D 10.0 10.0 +cnt/Timer<1>.CE 10.0 10.0 +cnt/Timer<1>.D 10.0 10.0 +cnt/Timer<2>.CE 10.0 10.0 +cnt/Timer<2>.D 10.0 10.0 +cnt/TimerTC.CE 10.0 10.0 +cnt/TimerTC.D 10.0 +cs/ODCSr.D +cs/nOverlay.D +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Sent.D +iobs/TS_FSM_FFd1.D +iobs/TS_FSM_FFd2.D +nADoutLE1.D +nAoutOE.D +nBERR_FSB.D +nBR_IOB.D +nCAS.D +nDTACK_FSB.D 11.0 11.0 +nRAS.D +nRESout.D +nVPA_FSB.D 10.0 +ram/BACTr.D +ram/CAS.D 10.0 10.0 +ram/Once.CE +ram/Once.D +ram/RAMEN.D 11.0 11.0 +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D +ram/RS_FSM_FFd4.D +ram/RS_FSM_FFd6.D 10.0 10.0 +ram/RS_FSM_FFd8.D 10.0 10.0 +ram/RefDone.D 10.0 10.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From c c c c c c c c c c + \ n n n n n n n n n n + \ t t t t t t t t t t + \ / / / / / / / / / / + \ I I L L L L L L L L + \ N N T T T T T T T T + \ I I i i i i i i i i + \ T T m m m m m m m m + \ S S e e e e e e e e + \ _ _ r r r r r r r r + \ F F < < < < < < < < + \ S S 0 1 1 1 1 2 3 4 + \ M M > 0 1 2 > > > > + \ _ _ . > > > . . . . + \ F F Q . . . Q Q Q Q + \ F F Q Q Q + \ d d + \ 1 2 + \ . . + \ Q Q + To \------------------------------------------------------------ + +ALE0S.D +IOL0.D +IONPReady.D +IOPWReady.D +IORDREQ.D +IOU0.D +IOWRREQ.D +RefReq.CE +RefReq.D +RefUrg.CE +RefUrg.D +cnt/Er<1>.D +cnt/INITS_FSM_FFd1.D 10.0 10.0 +cnt/INITS_FSM_FFd2.D 10.0 10.0 +cnt/LTimer<0>.CE +cnt/LTimer<10>.CE +cnt/LTimer<10>.D 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<11>.CE +cnt/LTimer<11>.D 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<12>.CE +cnt/LTimer<12>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<1>.CE +cnt/LTimer<1>.D 10.0 +cnt/LTimer<2>.CE +cnt/LTimer<2>.D 10.0 10.0 +cnt/LTimer<3>.CE +cnt/LTimer<3>.D 10.0 10.0 10.0 +cnt/LTimer<4>.CE +cnt/LTimer<4>.D 10.0 10.0 10.0 10.0 +cnt/LTimer<5>.CE +cnt/LTimer<5>.D 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<6>.CE +cnt/LTimer<6>.D 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<7>.CE +cnt/LTimer<7>.D 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<8>.CE +cnt/LTimer<8>.D 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<9>.CE +cnt/LTimer<9>.D 10.0 10.0 10.0 10.0 10.0 +cnt/LTimerTC.CE +cnt/LTimerTC.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/Timer<0>.CE +cnt/Timer<0>.D +cnt/Timer<1>.CE +cnt/Timer<1>.D +cnt/Timer<2>.CE +cnt/Timer<2>.D +cnt/TimerTC.CE +cnt/TimerTC.D +cs/ODCSr.D +cs/nOverlay.D +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Sent.D +iobs/TS_FSM_FFd1.D +iobs/TS_FSM_FFd2.D +nADoutLE1.D +nAoutOE.D 10.0 10.0 +nBERR_FSB.D +nBR_IOB.D 10.0 10.0 +nCAS.D +nDTACK_FSB.D +nRAS.D +nRESout.D 10.0 10.0 +nVPA_FSB.D +ram/BACTr.D +ram/CAS.D +ram/Once.CE +ram/Once.D +ram/RAMEN.D +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D +ram/RS_FSM_FFd4.D +ram/RS_FSM_FFd6.D +ram/RS_FSM_FFd8.D +ram/RefDone.D + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From c c c c c c c c c c + \ n n n n n n n n n n + \ t t t t t t t t t t + \ / / / / / / / / / / + \ L L L L L L T T T T + \ T T T T T T i i i i + \ i i i i i i m m m m + \ m m m m m m e e e e + \ e e e e e e r r r r + \ r r r r r r < < < T + \ < < < < < T 0 1 2 C + \ 5 6 7 8 9 C > > > . + \ > > > > > . . . . Q + \ . . . . . Q Q Q Q + \ Q Q Q Q Q + \ + \ + \ + \ + \ + To \------------------------------------------------------------ + +ALE0S.D +IOL0.D +IONPReady.D +IOPWReady.D +IORDREQ.D +IOU0.D +IOWRREQ.D +RefReq.CE +RefReq.D 10.0 10.0 +RefUrg.CE +RefUrg.D 10.0 10.0 10.0 10.0 +cnt/Er<1>.D +cnt/INITS_FSM_FFd1.D 10.0 10.0 +cnt/INITS_FSM_FFd2.D 10.0 10.0 +cnt/LTimer<0>.CE 10.0 +cnt/LTimer<10>.CE 10.0 +cnt/LTimer<10>.D 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<11>.CE 10.0 +cnt/LTimer<11>.D 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<12>.CE 10.0 +cnt/LTimer<12>.D 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<1>.CE 10.0 +cnt/LTimer<1>.D +cnt/LTimer<2>.CE 10.0 +cnt/LTimer<2>.D +cnt/LTimer<3>.CE 10.0 +cnt/LTimer<3>.D +cnt/LTimer<4>.CE 10.0 +cnt/LTimer<4>.D +cnt/LTimer<5>.CE 10.0 +cnt/LTimer<5>.D +cnt/LTimer<6>.CE 10.0 +cnt/LTimer<6>.D 10.0 +cnt/LTimer<7>.CE 10.0 +cnt/LTimer<7>.D 10.0 10.0 +cnt/LTimer<8>.CE 10.0 +cnt/LTimer<8>.D 10.0 10.0 10.0 +cnt/LTimer<9>.CE 10.0 +cnt/LTimer<9>.D 10.0 10.0 10.0 10.0 +cnt/LTimerTC.CE 10.0 +cnt/LTimerTC.D 10.0 10.0 10.0 10.0 10.0 +cnt/Timer<0>.CE +cnt/Timer<0>.D 10.0 10.0 +cnt/Timer<1>.CE +cnt/Timer<1>.D 10.0 10.0 10.0 +cnt/Timer<2>.CE +cnt/Timer<2>.D 10.0 10.0 10.0 10.0 +cnt/TimerTC.CE +cnt/TimerTC.D 10.0 10.0 10.0 +cs/ODCSr.D +cs/nOverlay.D +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Sent.D +iobs/TS_FSM_FFd1.D +iobs/TS_FSM_FFd2.D +nADoutLE1.D +nAoutOE.D +nBERR_FSB.D +nBR_IOB.D +nCAS.D +nDTACK_FSB.D +nRAS.D +nRESout.D +nVPA_FSB.D +ram/BACTr.D +ram/CAS.D +ram/Once.CE +ram/Once.D +ram/RAMEN.D +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D +ram/RS_FSM_FFd4.D +ram/RS_FSM_FFd6.D +ram/RS_FSM_FFd8.D +ram/RefDone.D + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From c c c f i i i i i i + \ n s s s o o o o o o + \ t / / b b b b b b b + \ / O n / s s s s s s + \ n D O A / / / / / / + \ I C v S C I I I I I + \ P S e r l O O O O O + \ L r r f e A D L R U + \ 2 . l . a C O 1 W 1 + \ r Q a Q r T N . 1 . + \ . y 1 r E Q . Q + \ Q . . . r Q + \ Q Q Q < + \ 0 + \ > + \ . + \ Q + \ + \ + \ + To \------------------------------------------------------------ + +ALE0S.D +IOL0.D 11.0 11.0 11.0 +IONPReady.D 11.0 10.0 +IOPWReady.D 10.0 10.0 +IORDREQ.D 11.0 11.0 10.0 11.0 +IOU0.D 11.0 11.0 11.0 +IOWRREQ.D 11.0 11.0 10.0 11.0 +RefReq.CE +RefReq.D +RefUrg.CE +RefUrg.D +cnt/Er<1>.D +cnt/INITS_FSM_FFd1.D 10.0 +cnt/INITS_FSM_FFd2.D +cnt/LTimer<0>.CE +cnt/LTimer<10>.CE +cnt/LTimer<10>.D +cnt/LTimer<11>.CE +cnt/LTimer<11>.D +cnt/LTimer<12>.CE +cnt/LTimer<12>.D +cnt/LTimer<1>.CE +cnt/LTimer<1>.D +cnt/LTimer<2>.CE +cnt/LTimer<2>.D +cnt/LTimer<3>.CE +cnt/LTimer<3>.D +cnt/LTimer<4>.CE +cnt/LTimer<4>.D +cnt/LTimer<5>.CE +cnt/LTimer<5>.D +cnt/LTimer<6>.CE +cnt/LTimer<6>.D +cnt/LTimer<7>.CE +cnt/LTimer<7>.D +cnt/LTimer<8>.CE +cnt/LTimer<8>.D +cnt/LTimer<9>.CE +cnt/LTimer<9>.D +cnt/LTimerTC.CE +cnt/LTimerTC.D +cnt/Timer<0>.CE +cnt/Timer<0>.D +cnt/Timer<1>.CE +cnt/Timer<1>.D +cnt/Timer<2>.CE +cnt/Timer<2>.D +cnt/TimerTC.CE +cnt/TimerTC.D +cs/ODCSr.D 10.0 +cs/nOverlay.D 10.0 10.0 10.0 +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D 10.0 10.0 +iobs/IOU1.CE +iobs/Load1.D 10.0 +iobs/Sent.D 11.0 10.0 +iobs/TS_FSM_FFd1.D 10.0 +iobs/TS_FSM_FFd2.D 11.0 11.0 10.0 +nADoutLE1.D 10.0 +nAoutOE.D +nBERR_FSB.D 10.0 +nBR_IOB.D 10.0 +nCAS.D +nDTACK_FSB.D 10.0 +nRAS.D +nRESout.D +nVPA_FSB.D 10.0 +ram/BACTr.D 11.0 +ram/CAS.D 10.0 +ram/Once.CE 10.0 +ram/Once.D 10.0 +ram/RAMEN.D 11.0 +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D +ram/RS_FSM_FFd4.D +ram/RS_FSM_FFd6.D 10.0 +ram/RS_FSM_FFd8.D 10.0 +ram/RefDone.D + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From i i i i n n n n r r + \ o o o o A A B B a a + \ b b b b D o E R m m + \ s s s s o u R _ / / + \ / / / / u t R I B C + \ L S T T t O _ O A A + \ o e S S L E F B C S + \ a n _ _ E . S . T . + \ d t F F 1 Q B Q r Q + \ 1 . S S . . . + \ . Q M M Q Q Q + \ Q _ _ + \ F F + \ F F + \ d d + \ 1 2 + \ . . + \ Q Q + \ + \ + To \------------------------------------------------------------ + +ALE0S.D 10.0 +IOL0.D 10.0 10.0 11.0 11.0 +IONPReady.D 10.0 +IOPWReady.D 10.0 +IORDREQ.D 10.0 10.0 11.0 11.0 +IOU0.D 10.0 10.0 11.0 11.0 +IOWRREQ.D 10.0 10.0 11.0 11.0 +RefReq.CE +RefReq.D +RefUrg.CE +RefUrg.D +cnt/Er<1>.D +cnt/INITS_FSM_FFd1.D +cnt/INITS_FSM_FFd2.D +cnt/LTimer<0>.CE +cnt/LTimer<10>.CE +cnt/LTimer<10>.D +cnt/LTimer<11>.CE +cnt/LTimer<11>.D +cnt/LTimer<12>.CE +cnt/LTimer<12>.D +cnt/LTimer<1>.CE +cnt/LTimer<1>.D +cnt/LTimer<2>.CE +cnt/LTimer<2>.D +cnt/LTimer<3>.CE +cnt/LTimer<3>.D +cnt/LTimer<4>.CE +cnt/LTimer<4>.D +cnt/LTimer<5>.CE +cnt/LTimer<5>.D +cnt/LTimer<6>.CE +cnt/LTimer<6>.D +cnt/LTimer<7>.CE +cnt/LTimer<7>.D +cnt/LTimer<8>.CE +cnt/LTimer<8>.D +cnt/LTimer<9>.CE +cnt/LTimer<9>.D +cnt/LTimerTC.CE +cnt/LTimerTC.D +cnt/Timer<0>.CE +cnt/Timer<0>.D +cnt/Timer<1>.CE +cnt/Timer<1>.D +cnt/Timer<2>.CE +cnt/Timer<2>.D +cnt/TimerTC.CE +cnt/TimerTC.D +cs/ODCSr.D +cs/nOverlay.D +iobs/Clear1.D 10.0 10.0 +iobs/IOL1.CE 10.0 +iobs/IORW1.D 10.0 10.0 10.0 10.0 +iobs/IOU1.CE 10.0 +iobs/Load1.D 10.0 10.0 10.0 10.0 +iobs/Sent.D 11.0 11.0 11.0 10.0 +iobs/TS_FSM_FFd1.D 10.0 10.0 +iobs/TS_FSM_FFd2.D 10.0 10.0 11.0 11.0 +nADoutLE1.D 10.0 10.0 +nAoutOE.D 10.0 10.0 +nBERR_FSB.D 10.0 10.0 +nBR_IOB.D 10.0 +nCAS.D 10.0 +nDTACK_FSB.D +nRAS.D +nRESout.D +nVPA_FSB.D +ram/BACTr.D +ram/CAS.D 10.0 +ram/Once.CE +ram/Once.D +ram/RAMEN.D 11.0 +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D +ram/RS_FSM_FFd4.D +ram/RS_FSM_FFd6.D 10.0 +ram/RS_FSM_FFd8.D 10.0 +ram/RefDone.D + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From r r r r r r r r r + \ a a a a a a a a a + \ m m m m m m m m m + \ / / / / / / / / / + \ O R R R R R R R R + \ n A S S S S S S e + \ c M _ _ _ _ _ _ f + \ e E F F F F F F D + \ . N S S S S S S o + \ Q . M M M M M M n + \ Q _ _ _ _ _ _ e + \ F F F F F F . + \ F F F F F F Q + \ d d d d d d + \ 1 2 3 4 6 8 + \ . . . . . . + \ Q Q Q Q Q Q + \ + \ + \ + To \------------------------------------------------------ + +ALE0S.D +IOL0.D +IONPReady.D +IOPWReady.D +IORDREQ.D +IOU0.D +IOWRREQ.D +RefReq.CE +RefReq.D +RefUrg.CE +RefUrg.D +cnt/Er<1>.D +cnt/INITS_FSM_FFd1.D +cnt/INITS_FSM_FFd2.D +cnt/LTimer<0>.CE +cnt/LTimer<10>.CE +cnt/LTimer<10>.D +cnt/LTimer<11>.CE +cnt/LTimer<11>.D +cnt/LTimer<12>.CE +cnt/LTimer<12>.D +cnt/LTimer<1>.CE +cnt/LTimer<1>.D +cnt/LTimer<2>.CE +cnt/LTimer<2>.D +cnt/LTimer<3>.CE +cnt/LTimer<3>.D +cnt/LTimer<4>.CE +cnt/LTimer<4>.D +cnt/LTimer<5>.CE +cnt/LTimer<5>.D +cnt/LTimer<6>.CE +cnt/LTimer<6>.D +cnt/LTimer<7>.CE +cnt/LTimer<7>.D +cnt/LTimer<8>.CE +cnt/LTimer<8>.D +cnt/LTimer<9>.CE +cnt/LTimer<9>.D +cnt/LTimerTC.CE +cnt/LTimerTC.D +cnt/Timer<0>.CE +cnt/Timer<0>.D +cnt/Timer<1>.CE +cnt/Timer<1>.D +cnt/Timer<2>.CE +cnt/Timer<2>.D +cnt/TimerTC.CE +cnt/TimerTC.D +cs/ODCSr.D +cs/nOverlay.D +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Sent.D +iobs/TS_FSM_FFd1.D +iobs/TS_FSM_FFd2.D +nADoutLE1.D +nAoutOE.D +nBERR_FSB.D +nBR_IOB.D +nCAS.D +nDTACK_FSB.D +nRAS.D 10.0 10.0 +nRESout.D +nVPA_FSB.D +ram/BACTr.D +ram/CAS.D 10.0 10.0 10.0 10.0 +ram/Once.CE +ram/Once.D +ram/RAMEN.D 10.0 10.0 10.0 11.0 11.0 +ram/RS_FSM_FFd1.D 10.0 +ram/RS_FSM_FFd2.D 10.0 +ram/RS_FSM_FFd3.D 10.0 +ram/RS_FSM_FFd4.D 10.0 +ram/RS_FSM_FFd6.D 10.0 10.0 10.0 +ram/RS_FSM_FFd8.D 10.0 10.0 10.0 10.0 +ram/RefDone.D 10.0 10.0 10.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: C16M) + +\ From A I i i i i i i i i + \ L O o o o o o o o o + \ E A b b b b b b b b + \ 0 C m m m m m m m m + \ M T / / / / / / / / + \ . . C D I I I I I I + \ Q Q 8 o O O O O O O + \ M u R S S S S S + \ r t D 0 _ _ _ _ + \ . O R . F F F F + \ Q E E Q S S S S + \ . Q M M M M + \ Q r _ _ _ _ + \ . F F F F + \ Q F F F F + \ d d d d + \ 1 2 3 4 + \ . . . . + \ Q Q Q Q + To \------------------------------------------------------------ + +ALE0M.D 10.0 10.0 10.0 10.0 10.0 10.0 +IOACT.D 11.0 10.0 10.0 11.0 11.0 11.0 10.0 +iobm/DoutOE.D 10.0 10.0 10.0 10.0 +iobm/IOS0.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +iobm/IOS_FSM_FFd1.D 10.0 +iobm/IOS_FSM_FFd2.D 10.0 10.0 +iobm/IOS_FSM_FFd3.D 10.0 10.0 10.0 +iobm/IOS_FSM_FFd4.D +iobm/IOS_FSM_FFd5.D +iobm/IOS_FSM_FFd6.D 10.0 10.0 +iobm/IOS_FSM_FFd7.D 10.0 10.0 10.0 +nAS_IOB.D 10.0 10.0 10.0 10.0 +nDinLE.D 10.0 10.0 +nLDS_IOB.D 11.0 11.0 10.0 10.0 +nUDS_IOB.D 11.0 11.0 10.0 10.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: C16M) + +\ From i i i i n n + \ o o o o L U + \ b b b b D D + \ m m m m S S + \ / / / / _ _ + \ I I I I I I + \ O O O O O O + \ S S S W B B + \ _ _ _ R . . + \ F F F R Q Q + \ S S S E + \ M M M Q + \ _ _ _ r + \ F F F . + \ F F F Q + \ d d d + \ 5 6 7 + \ . . . + \ Q Q Q + To \------------------------------------ + +ALE0M.D 10.0 10.0 10.0 10.0 +IOACT.D 10.0 10.0 11.0 11.0 +iobm/DoutOE.D 10.0 10.0 10.0 10.0 +iobm/IOS0.D 10.0 10.0 10.0 10.0 +iobm/IOS_FSM_FFd1.D +iobm/IOS_FSM_FFd2.D +iobm/IOS_FSM_FFd3.D +iobm/IOS_FSM_FFd4.D 10.0 +iobm/IOS_FSM_FFd5.D 10.0 +iobm/IOS_FSM_FFd6.D 10.0 10.0 +iobm/IOS_FSM_FFd7.D 10.0 10.0 +nAS_IOB.D 10.0 10.0 10.0 10.0 +nDinLE.D +nLDS_IOB.D 10.0 10.0 11.0 10.0 +nUDS_IOB.D 10.0 10.0 11.0 10.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: C8M) + +\ From i i i i i i n + \ o o o o o o V + \ b b b b b b M + \ m m m m m m A + \ / / / / / / _ + \ E E E E E V I + \ S S S S r P O + \ < < < < . A B + \ 0 1 2 3 Q r . + \ > > > > . Q + \ . . . . Q + \ Q Q Q Q + To \------------------------------------------ + +IODONE.D 11.0 11.0 11.0 11.0 11.0 +iobm/ES<0>.D 10.0 10.0 10.0 10.0 10.0 +iobm/ES<1>.D 10.0 10.0 10.0 10.0 10.0 +iobm/ES<2>.D 10.0 10.0 10.0 10.0 +iobm/ES<3>.D 10.0 10.0 10.0 10.0 10.0 +nVMA_IOB.D 10.0 10.0 10.0 10.0 10.0 10.0 + +Path Type Definition: + +Pad to Pad (tPD) - Reports pad to pad paths that start + at input pads and end at output pads. + Paths are not traced through + registers. + +Clock Pad to Output Pad (tCO) - Reports paths that start at input + pads trace through clock inputs of + registers and end at output pads. + Paths are not traced through PRE/CLR + inputs of registers. + +Setup to Clock at Pad (tSU or tSUF) - Reports external setup time of data + to clock at pad. Data path starts at + an input pad and ends at register + (Fast Input Register for tSUF) D/T + input. Clock path starts at input pad + and ends at the register clock input. + Paths are not traced through + registers. Pin-to-pin setup + requirement is not reported or + guaranteed for product-term clocks + derived from macrocell feedback + signals. + +Clock to Setup (tCYC) - Register to register cycle time. + Include source register tCO and + destination register tSU. Note that + when the computed Maximum Clock Speed + is limited by tCYC it is computed + assuming that all registers are + rising-edge sensitive. + diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index 3770268..6e9f9bf 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -6,7422 +6,5999 @@ DEVICE | 95144XL | 95144XL100 | NETWORK | WarpSE | 0 | 0 | 16391 -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 9368 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 9369 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 9370 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 9371 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 9372 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 9373 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 9374 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 9375 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX - INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 9376 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 8200 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 9377 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 8201 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 9378 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 8202 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 9379 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 8203 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 9380 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 8204 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 9381 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 8205 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 3 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 9382 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C8M | 8206 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 9383 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C16M | 9384 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C8M_IBUF | 8010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 8011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 10 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 8014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 8109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 8011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOBout$Q | 9184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 8013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOBout | 9185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 8014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nVMA_IOBout$OE | 9186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 8015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE -SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 9 | 3 +SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 8014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 8109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOBout.D1 | 9404 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOBout.D1 | 8228 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOBout.D2 | 9405 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> -SPPTERM | 8 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPAr +SIGNAL | NODE | nVMA_IOBout.D2 | 8229 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> +SPPTERM | 7 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | IOACT | IV_TRUE | iobm/VPAr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nVMA_IOBout.TRST | 9407 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 8231 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nVMA_IOBout.REG | nVMA_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOBout.D | 9403 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOBout.D | 8227 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 8011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOBout.Q | 9408 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nVMA_IOBout.Q | 8232 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nVMA_IOBout.BUFOE | nVMA_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nVMA_IOBout.TRST | 9407 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 8231 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nVMA_IOBout.BUFOE.OUT | 9406 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nVMA_IOBout.BUFOE.OUT | 8230 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325120 | 5 | 2 +INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | C16M | 8207 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325120 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOBout | 9187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 8018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 8019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nAS_IOBout$OE | 9188 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 8020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE -SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 4 | 3 +SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOBout.D1 | 9410 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOBout.D1 | 8234 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOBout.D2 | 9411 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 +SIGNAL | NODE | nAS_IOBout.D2 | 8235 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IORDREQr | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nAS_IOBout.TRST | 9413 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 8237 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nAS_IOBout.REG | nAS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOBout.D | 9409 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nAS_IOBout.D | 8233 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOBout.Q | 9414 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nAS_IOBout.Q | 8238 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nAS_IOBout.BUFOE | nAS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nAS_IOBout.TRST | 9413 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 8237 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nAS_IOBout.BUFOE.OUT | 9412 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nAS_IOBout.BUFOE.OUT | 8236 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 8022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | iobm/IOS_FSM_FFd1.EXP | 8753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.EXP | iobm/IOS_FSM_FFd1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOBout | 9189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 8021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 8022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nLDS_IOBout$OE | 9190 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 8023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE -SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 6 | 3 +SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 8022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1.EXP | 8753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.EXP | iobm/IOS_FSM_FFd1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOBout.D1 | 9416 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOBout.D1 | 8240 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOBout.D2 | 9417 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | IOL0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | IOL0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | IOL0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 +SIGNAL | NODE | nLDS_IOBout.D2 | 8241 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd1.EXP +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOL0 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOL0 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOL0 +SPPTERM | 3 | IV_FALSE | nLDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOL0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nLDS_IOBout.TRST | 9419 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 8243 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nLDS_IOBout.REG | nLDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOBout.D | 9415 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOBout.D | 8239 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOBout.Q | 9420 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nLDS_IOBout.Q | 8244 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nLDS_IOBout.BUFOE | nLDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nLDS_IOBout.TRST | 9419 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 8243 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nLDS_IOBout.BUFOE.OUT | 9418 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nLDS_IOBout.BUFOE.OUT | 8242 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 8025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | iobm/C8Mr.EXP | 8754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.EXP | iobm/C8Mr | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOBout | 9191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 8024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 8025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nUDS_IOBout$OE | 9192 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 8026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE -SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 6 | 3 +SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 8025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr.EXP | 8754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.EXP | iobm/C8Mr | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOBout.D1 | 9422 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOBout.D1 | 8246 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOBout.D2 | 9423 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | IOU0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | IOU0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | IOU0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 +SIGNAL | NODE | nUDS_IOBout.D2 | 8247 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/C8Mr.EXP +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOU0 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOU0 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOU0 +SPPTERM | 3 | IV_FALSE | nUDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOU0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nUDS_IOBout.TRST | 9425 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 8249 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nUDS_IOBout.REG | nUDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOBout.D | 9421 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOBout.D | 8245 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOBout.Q | 9426 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nUDS_IOBout.Q | 8250 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nUDS_IOBout.BUFOE | nUDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nUDS_IOBout.TRST | 9425 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 8249 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nUDS_IOBout.BUFOE.OUT | 9424 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT - -INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 9385 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_IOBout.BUFOE.OUT | 8248 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | FCLK | 9386 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | FCLK | 8208 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK_IBUF/FCLK- | 9195 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV - -INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 9390 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Sent | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/ODCSr.EXP | 9922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.EXP | cs/ODCSr | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 9923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Sent.SI | iobs/Sent | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/ODCSr.EXP | 9922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.EXP | cs/ODCSr | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 9923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Sent.D1 | 9428 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Sent.D2 | 9429 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/ODCSr.EXP -SPPTERM | 1 | IV_TRUE | EXP12_.EXP -SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/Sent.REG | iobs/Sent | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Sent.D | 9427 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Sent.Q | 9430 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q +NODE | FCLK_IBUF/FCLK- | 8028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefUrg | 9197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefUrg.D1 | 9432 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefUrg.D1 | 8252 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefUrg.D2 | 9433 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefUrg.D2 | 8253 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/TimerTC SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefUrg.CE | 9434 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 8254 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefUrg.REG | RefUrg | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefUrg.D | 9431 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F +NODE | RefUrg.D | 8251 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefUrg.CE | 9434 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 8254 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefUrg.Q | 9435 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q +NODE | RefUrg.Q | 8255 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nAS_FSB | 8214 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nWE_FSB | 8211 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Sent | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr.EXP | 8743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/Sent.EXP | 8750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.EXP | iobs/Sent | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/Sent.SI | iobs/Sent | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr.EXP | 8743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Sent.D1 | 8257 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Sent.D2 | 8258 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/BACTr.EXP +SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | ALE1 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | iobs/TS_FSM_FFd1 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | iobs/TS_FSM_FFd1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/Sent.EXP | 8742 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/Sent.REG | iobs/Sent | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Sent.D | 8256 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Sent.Q | 8259 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nBERR_IOB | 8209 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 8031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IOBERR | WarpSE_COPY_0_COPY_0 | 2424308736 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 8031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_IOBout | 8019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 8031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_IOBout | 8019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 8261 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 8262 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 8263 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_TRUE | nAS_IOBout + +SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOBERR.D | 8260 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | IOBERR.RSTF | 8263 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_TRUE | nAS_IOBout +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 8264 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | N0 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nRES | 8177 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | N0 | 8033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nDTACK_IOB | 8210 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 8034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IODONE | WarpSE_COPY_0_COPY_0 | 2424308736 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N0 | 8033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 8034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 8064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_IOBout | 8019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1>.EXP | 8728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.EXP | iobm/ES<1> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 8729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | IODONE.SI | IODONE | 0 | 10 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N0 | 8033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 8034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 8064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_IOBout | 8019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1>.EXP | 8728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.EXP | iobm/ES<1> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IODONE.D1 | 8266 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IODONE.D2 | 8267 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | N0 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF +SPPTERM | 1 | IV_TRUE | iobm/ES<1>.EXP +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 8268 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_TRUE | nAS_IOBout +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IODONE.EXP | 8725 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | iobs/IOU1 | IV_FALSE | IOU0 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | IODONE.REG | IODONE | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IODONE.D | 8265 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | IODONE.RSTF | 8268 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_TRUE | nAS_IOBout +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IODONE.Q | 8269 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 3 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<0>.D1 | 9437 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 8271 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<0>.D2 | 9438 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<0>.D2 | 8272 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 9439 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 8273 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<0>.D | 9436 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 8270 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 9439 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 8273 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<0>.Q | 9440 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<0>.Q | 8274 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<0> | 9199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<0>.D1 | 9442 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 8276 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<0>.D2 | 9443 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<0>.D2 | 8277 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 9444 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 8278 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<0>.D | 9441 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<0>.D | 8275 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 9444 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 8278 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<0>.Q | 9445 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<0>.Q | 8279 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<1> | 9200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<1>.D1 | 9447 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 8281 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<1>.D2 | 9448 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<1>.D2 | 8282 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 9449 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 8283 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<1>.D | 9446 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<1>.D | 8280 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 9449 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 8283 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<1>.Q | 9450 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<1>.Q | 8284 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<2> | 9201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<2>.D1 | 9452 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 8286 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<2>.D2 | 9453 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<2>.D2 | 8287 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 9454 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 8288 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<2>.D | 9451 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<2>.D | 8285 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 9454 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 8288 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<2>.Q | 9455 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 9356 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N01 | 9202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/ODCSr | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/ODCSr | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay.D1 | 9457 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay.D2 | 9458 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | N01 | IV_TRUE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | N01 | IV_FALSE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cs/ODCSr | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay.D | 9456 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay.Q | 9459 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<10> | 9204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<10>.D1 | 9461 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<10>.D2 | 9462 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 9463 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<10>.D | 9460 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 9463 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<10>.Q | 9464 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<11> | 9205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<11>.D1 | 9466 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<11>.D2 | 9467 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 9468 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<11>.D | 9465 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 9468 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<11>.Q | 9469 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 4 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<1>.D1 | 9471 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<1>.D2 | 9472 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/LTimer<0> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 9473 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<1>.D | 9470 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 9473 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<1>.Q | 9474 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<2>.D1 | 9476 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<2>.D2 | 9477 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 9478 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<2>.D | 9475 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 9478 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<2>.Q | 9479 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<3>.D1 | 9481 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<3>.D2 | 9482 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 9483 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<3>.D | 9480 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 9483 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<3>.Q | 9484 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 7 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<4>.D1 | 9486 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<4>.D2 | 9487 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 9488 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<4>.D | 9485 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 9488 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<4>.Q | 9489 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 8 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<5>.D1 | 9491 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<5>.D2 | 9492 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 9493 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<5>.D | 9490 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 9493 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<5>.Q | 9494 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<6>.D1 | 9496 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<6>.D2 | 9497 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 9498 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<6>.D | 9495 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 9498 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<6>.Q | 9499 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<7>.D1 | 9501 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<7>.D2 | 9502 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 9503 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<7>.D | 9500 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 9503 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<7>.Q | 9504 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<8> | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<8>.D1 | 9506 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<8>.D2 | 9507 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 9508 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<8>.D | 9505 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 9508 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<8>.Q | 9509 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<9> | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 12 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<9>.D1 | 9511 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<9>.D2 | 9512 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 9513 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<9>.D | 9510 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 9513 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<9>.Q | 9514 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IORW0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 9949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 9950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 9949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 9950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORW0.D1 | 9516 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORW0.D2 | 9517 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP16_.EXP -SPPTERM | 1 | IV_TRUE | EXP17_.EXP -SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IORW0 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ALE1 - -SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORW0.D | 9515 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORW0.Q | 9518 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<12> | WarpSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<12> | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<12>.SI | cnt/LTimer<12> | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<12>.D1 | 9520 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<12>.D2 | 9521 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 9522 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<12>.REG | cnt/LTimer<12> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<12>.D | 9519 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 9522 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<12>.Q | 9523 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimerTC.D1 | 9525 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimerTC.D2 | 9526 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/TimerTC.CE | 9527 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimerTC.D | 9524 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/TimerTC.CE | 9527 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimerTC.Q | 9528 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/DTACKEN | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 9944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/DTACKEN.SI | iobs/DTACKEN | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 9944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/DTACKEN.D1 | 9530 | ? | 0 | 4096 | iobs/DTACKEN | NULL | NULL | iobs/DTACKEN.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/DTACKEN.D2 | 9531 | ? | 0 | 4096 | iobs/DTACKEN | NULL | NULL | iobs/DTACKEN.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP -SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | iobs/DTACKEN -SPPTERM | 2 | IV_FALSE | iobs/DTACKEN | IV_FALSE | iobs/IOACTr -SPPTERM | 2 | IV_FALSE | iobs/DTACKEN | IV_FALSE | ALE1 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/DTACKEN - -SRFF_INSTANCE | iobs/DTACKEN.REG | iobs/DTACKEN | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/DTACKEN.D | 9529 | ? | 0 | 0 | iobs/DTACKEN | NULL | NULL | iobs/DTACKEN.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/DTACKEN.Q | 9532 | ? | 0 | 0 | iobs/DTACKEN | NULL | NULL | iobs/DTACKEN.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefDone | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefDone.D1 | 9534 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefDone.D2 | 9535 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RefReqSync -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefReqSync - -SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefDone.D | 9533 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefDone.Q | 9536 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 9387 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 9940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IOL0.EXP | 9939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 7 | 4 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 9940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 9538 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 9539 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP -SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IOL0.EXP | 9927 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 -SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 3 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | IORW0 | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOL0.CE | 9540 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 - -SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 9537 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOL0.CE | 9540 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 9541 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 9388 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 9543 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 9544 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOU0.CE | 9545 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 - -SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 9542 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOU0.CE | 9545 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 9546 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 8289 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefReq | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefReq.D1 | 9548 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefReq.D1 | 8291 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefReq.D2 | 9549 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefReq.D2 | 8292 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefReq.CE | 9550 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 8293 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefReq.REG | RefReq | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefReq.D | 9547 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F +NODE | RefReq.D | 8290 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefReq.CE | 9550 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 8293 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefReq.Q | 9551 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q +NODE | RefReq.Q | 8294 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 8296 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<10>.D2 | 8297 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 8298 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<10>.D | 8295 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 8298 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<10>.Q | 8299 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 8042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 8301 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<11>.D2 | 8302 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 8303 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<11>.D | 8300 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 8303 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<11>.Q | 8304 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 4 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 8306 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<1>.D2 | 8307 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/LTimer<0> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 8308 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<1>.D | 8305 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 8308 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 8309 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 8311 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 8312 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 8313 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<2>.D | 8310 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 8313 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 8314 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 8316 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 8317 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 8318 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<3>.D | 8315 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 8318 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 8319 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 8321 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 8322 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 8323 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<4>.D | 8320 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 8323 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 8324 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 8326 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 8327 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 8328 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<5>.D | 8325 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 8328 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 8329 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 8331 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 8332 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 8333 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<6>.D | 8330 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 8333 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 8334 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 8336 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 8337 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 8338 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<7>.D | 8335 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 8338 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 8339 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 8341 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<8>.D2 | 8342 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 8343 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<8>.D | 8340 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 8343 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<8>.Q | 8344 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 8346 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<9>.D2 | 8347 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 8348 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<9>.D | 8345 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 8348 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<9>.Q | 8349 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 8113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 8112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3.EXP | 8758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 8113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 8112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3.EXP | 8758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMEN.D1 | 8351 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMEN.D2 | 8352 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3.EXP +SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/Once +SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 +SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_TRUE | ram/Once | IV_TRUE | fsb/ASrf +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr + +SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMEN.D | 8350 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMEN.Q | 8353 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<12> | WarpSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 8042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 8053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<12>.SI | cnt/LTimer<12> | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 8042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<12>.D1 | 8355 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<12>.D2 | 8356 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 8357 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<12>.REG | cnt/LTimer<12> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<12>.D | 8354 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 8357 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<12>.Q | 8358 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/TimerTC.EXP | 8734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.EXP | cnt/TimerTC | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 12 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimerTC.D1 | 8360 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimerTC.D2 | 8361 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/TimerTC.EXP | 8732 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 8362 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimerTC.D | 8359 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/TimerTC.CE | 8362 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimerTC.Q | 8363 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N0 | 8033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/ODCSr | 8116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N0 | 8033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/ODCSr | 8116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay.D1 | 8365 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay.D2 | 8366 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | N0 | IV_TRUE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | N0 | IV_FALSE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cs/ODCSr | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay.D | 8364 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay.Q | 8367 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 8747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 8369 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 8370 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IORW1.EXP | 8739 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IORW1.D | 8368 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 8371 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODONEr<0> | 8118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr<0>.Q | iobs/IODONEr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1.EXP | 8747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | IONPReady.EXP | 8748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODONEr<0> | 8118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr<0>.Q | iobs/IODONEr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1.EXP | 8747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IONPReady.D1 | 8373 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IONPReady.D2 | 8374 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/IORW1.EXP +SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | IONPReady +SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | iobs/IODONEr<0> +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IONPReady.EXP | 8740 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | IONPReady.REG | IONPReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IONPReady.D | 8372 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IONPReady.Q | 8375 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOPWReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOPWReady | 8059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 8110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOPWReady | 8059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOPWReady.SI | IOPWReady | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOPWReady | 8059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 8110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOPWReady.D1 | 8377 | ? | 0 | 4096 | IOPWReady | NULL | NULL | IOPWReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOPWReady.D2 | 8378 | ? | 0 | 4096 | IOPWReady | NULL | NULL | IOPWReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_FALSE | IOPWReady | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 + +SRFF_INSTANCE | IOPWReady.REG | IOPWReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOPWReady.D | 8376 | ? | 0 | 0 | IOPWReady | NULL | NULL | IOPWReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOPWReady.Q | 8379 | ? | 0 | 0 | IOPWReady | NULL | NULL | IOPWReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 8042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 8053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimerTC | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 8060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 8042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 8053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimerTC.D1 | 9553 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimerTC.D1 | 8381 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimerTC.D2 | 9554 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimerTC.D2 | 8382 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 SPPTERM | 13 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<12> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimerTC.CE | 9555 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 8383 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimerTC.D | 9552 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimerTC.D | 8380 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimerTC.CE | 9555 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 8383 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimerTC.Q | 9556 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimerTC.Q | 8384 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 26 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready0r | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/Ready0r.EXP | 9921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 25 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready0r.D1 | 9558 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready0r.D2 | 9559 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/Ready0r.EXP | 9910 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r -SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/DTACKEN | IV_FALSE | IOACT -SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/DTACKEN | IV_TRUE | iobs/IODTACKr - -SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready0r.D | 9557 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready0r.Q | 9560 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 9924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 9925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 9924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 9925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready1r.D1 | 9562 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready1r.D2 | 9563 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP13_.EXP -SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r - -SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready1r.D | 9561 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready1r.Q | 9564 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.Q | $OpTx$$OpTx$FX_DC$47_INV$153 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 9916 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr.EXP | 9926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.Q | $OpTx$$OpTx$FX_DC$47_INV$153 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 9916 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr.EXP | 9926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA.D1 | 9566 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA.D2 | 9567 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP10_.EXP -SPPTERM | 1 | IV_TRUE | ram/BACTr.EXP -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM - -SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA.D | 9565 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA.Q | 9568 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q +INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nLDS_FSB | 8212 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 8062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 9570 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 8386 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 9571 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 8387 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 9572 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 8388 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 9569 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 8385 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 9572 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 8388 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 9573 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 8389 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 9941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 20 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 9941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 9575 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 9576 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 9574 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 9577 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nUDS_FSB | 8213 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 8064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 9579 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 8391 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 9580 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 8392 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 9581 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 8393 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 9578 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 8390 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 9581 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 8393 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 9582 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 8394 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C8M | 9389 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | C8M_IBUF | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C8M_IBUF/FCLK- | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV - -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 8111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.EXP | 9919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.EXP | $OpTx$$OpTx$FX_DC$47_INV$153 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 9920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 9235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nDTACK_FSB_OBUF.UIM | 9236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 19 | 2 +SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 8111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.EXP | 9919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.EXP | $OpTx$$OpTx$FX_DC$47_INV$153 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 9920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9584 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefDone.D1 | 8396 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9585 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | $OpTx$$OpTx$FX_DC$47_INV$153.EXP -SPPTERM | 1 | IV_TRUE | EXP11_.EXP -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr | IV_FALSE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr | IV_FALSE | ALE1 +SIGNAL | NODE | ram/RefDone.D2 | 8397 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | RefUrg | IV_FALSE | RefReq +SPPTERM | 3 | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd2 -SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 +SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 9583 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | ram/RefDone.D | 8395 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 9586 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | ram/RefDone.Q | 8398 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.UIM | 8067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 8066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 8067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.UIM | 8067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 8400 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 8401 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_TRUE | nBERR_FSB_OBUF.UIM +SPPTERM | 2 | IV_FALSE | IOBERR | IV_TRUE | nBERR_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nBERR_FSB_OBUF.D | 8399 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 8402 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 8069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nVPA_FSB_OBUF.EXP | 8745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 12 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 8404 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 8405 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | IONPReady | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | IONPReady | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 8406 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nVPA_FSB_OBUF.EXP | 8737 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVPA_FSB_OBUF.D | 8403 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 2 | 8 | SRFF_S +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 8406 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 8407 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 8071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 8103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBR_IOB_OBUF$Q | 9237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 8070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBR_IOB_OBUF | 9238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 8071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 8071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 8103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBR_IOB_OBUF.D1 | 9588 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBR_IOB_OBUF.D1 | 8409 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBR_IOB_OBUF.D2 | 9589 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBR_IOB_OBUF.D2 | 8410 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r SRFF_INSTANCE | nBR_IOB_OBUF.REG | nBR_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBR_IOB_OBUF.D | 9587 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBR_IOB_OBUF.D | 8408 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBR_IOB_OBUF.Q | 9590 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 9960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1.EXP | 9966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.EXP | cnt/INITS_FSM_FFd1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 9960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1.EXP | 9966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.EXP | cnt/INITS_FSM_FFd1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 9592 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 9593 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP18_.EXP -SPPTERM | 1 | IV_TRUE | cnt/INITS_FSM_FFd1.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 9591 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 9594 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 9964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 9964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9596 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9597 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 9595 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 9598 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd1.EXP | 9965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9600 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9601 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd1.EXP | 9958 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RAMEN - -SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 9599 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 9602 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1.EXP | 9965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1.EXP | 9965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9604 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9605 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1.EXP -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg -SPPTERM | 5 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 9603 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 9606 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9608 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9609 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 5 | IV_FALSE | C8M_IBUF | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOREQr | IV_FALSE | AoutOE - -SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 9607 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 9610 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9612 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9613 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 9611 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 9614 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RegUrgSync | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RefUrg.SI | ram/RefUrg | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RegUrgSync | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefUrg.D1 | 9616 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefUrg.D2 | 9617 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RegUrgSync - -SRFF_INSTANCE | ram/RefUrg.REG | ram/RefUrg | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefUrg.D | 9615 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefUrg.Q | 9618 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9620 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9621 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 5 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/BERRrf | IV_FALSE | iobm/DTACKrf | IV_FALSE | iobm/ETACK | IV_FALSE | iobm/RESrf - -SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 9619 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 9622 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 9942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 9943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 9942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 9943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 9624 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 9625 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/TS_FSM_FFd2.REG | iobs/TS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd2.D | 9623 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd2.Q | 9626 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 9628 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 9629 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er -SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 9627 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 9630 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 9961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 9961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMEN.D1 | 9632 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMEN.D2 | 9633 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN -SPPTERM | 3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RAMEN | IV_TRUE | ram/BACTr -SPPTERM | 3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RAMEN | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMEN.D | 9631 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMEN.Q | 9634 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 9636 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 9637 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> -SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> -SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 9635 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 9638 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 9640 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 9641 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> -SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> -SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> -SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 9639 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 9642 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | nBR_IOB_OBUF.Q | 8411 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/TS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/TS_FSM_FFd1.SI | iobs/TS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 9644 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 8413 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 9645 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 8414 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SRFF_INSTANCE | iobs/TS_FSM_FFd1.REG | iobs/TS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd1.D | 9643 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd1.D | 8412 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd1.Q | 9646 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd1.Q | 8415 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady.EXP | 8748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/INITS_FSM_FFd1 | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/INITS_FSM_FFd1.EXP | 9966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.EXP | cnt/INITS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2.EXP | 8749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/INITS_FSM_FFd1.SI | cnt/INITS_FSM_FFd1 | 0 | 15 | 3 +SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | IONPReady.EXP | 8748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/INITS_FSM_FFd1.D1 | 9648 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 8417 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/INITS_FSM_FFd1.D2 | 9649 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 8418 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IONPReady.EXP +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/INITS_FSM_FFd1.EXP | 9959 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SIGNAL | NODE | iobs/TS_FSM_FFd2.EXP | 8741 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/TS_FSM_FFd2.REG | iobs/TS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/TS_FSM_FFd2.D | 8416 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd2.Q | 8419 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873024 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 8421 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 8422 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE +SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE + +SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd7.D | 8420 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd7.Q | 8423 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 8425 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 8426 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr +SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd3.D | 8424 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 8427 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/C8Mr | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 8010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/C8Mr.EXP | 8754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.EXP | iobm/C8Mr | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/C8Mr.SI | iobm/C8Mr | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 8010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/C8Mr.D1 | 8429 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/C8Mr.D2 | 8430 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | C8M_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/C8Mr.EXP | 8752 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOU0 | IV_TRUE | iobm/IORDREQr + +SRFF_INSTANCE | iobm/C8Mr.REG | iobm/C8Mr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/C8Mr.D | 8428 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/C8Mr.Q | 8431 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | E | 8215 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped+Ce | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2424313088 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 8433 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 8434 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er +SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | E_IBUF +SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/Er + +SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<0>.D | 8432 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 8435 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 8437 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 8438 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd4.D | 8436 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 8439 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2424312832 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 8441 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 8442 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er +SPPTERM | 3 | IV_TRUE | iobm/ES<2> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er + +SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<2>.D | 8440 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 8443 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 8445 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 8446 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd5.D | 8444 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd5.Q | 8447 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 8449 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 8450 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE + +SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd6.D | 8448 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd6.Q | 8451 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOBout | 8014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/ES<1>.EXP | 8728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.EXP | iobm/ES<1> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOBout | 8014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 8453 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 8454 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_TRUE | iobm/ES<3> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/ES<1>.EXP | 8724 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_TRUE | iobm/ES<3> + +SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<1>.D | 8452 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 8455 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2424312832 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 8457 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 8458 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_TRUE | iobm/ES<3> + +SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<3>.D | 8456 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 8459 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 8060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 8103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/INITS_FSM_FFd1.SI | cnt/INITS_FSM_FFd1 | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 8060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 8103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/INITS_FSM_FFd1.D1 | 8461 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/INITS_FSM_FFd1.D2 | 8462 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/INITS_FSM_FFd1.REG | cnt/INITS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/INITS_FSM_FFd1.D | 9647 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | cnt/INITS_FSM_FFd1.D | 8460 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/INITS_FSM_FFd1.Q | 9650 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | cnt/INITS_FSM_FFd1.Q | 8463 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 8060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/INITS_FSM_FFd2 | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/INITS_FSM_FFd2.SI | cnt/INITS_FSM_FFd2 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 8060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/INITS_FSM_FFd2.D1 | 9652 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/INITS_FSM_FFd2.D1 | 8465 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/INITS_FSM_FFd2.D2 | 9653 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/INITS_FSM_FFd2.D2 | 8466 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/INITS_FSM_FFd2.REG | cnt/INITS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/INITS_FSM_FFd2.D | 9651 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | cnt/INITS_FSM_FFd2.D | 8464 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/INITS_FSM_FFd2.Q | 9654 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | cnt/INITS_FSM_FFd2.Q | 8467 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | ram/RS_FSM_FFd8 | WarpSE_COPY_0_COPY_0 | 2155873024 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 8112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd8.SI | ram/RS_FSM_FFd8 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 8112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 9656 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 8469 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 9657 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er -SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 +SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 8470 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 +SRFF_INSTANCE | ram/RS_FSM_FFd8.REG | ram/RS_FSM_FFd8 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 9655 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd8.D | 8468 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 9658 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd8.Q | 8471 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr.EXP | 8756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.EXP | iobm/IOWRREQr | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<4> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 +SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr.EXP | 8756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.EXP | iobm/IOWRREQr | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<4>.D1 | 9660 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 8473 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<4>.D2 | 9661 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<4>.D | 9659 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<4>.Q | 9662 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 9952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 9952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 9664 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 9665 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nDinLE_OBUF.EXP -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOREQr -SPPTERM | 3 | IV_FALSE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/DTACKrf +SIGNAL | NODE | IOACT.D2 | 8474 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 +SPPTERM | 1 | IV_TRUE | iobm/IOWRREQr.EXP +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 9663 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +NODE | IOACT.D | 8472 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 9666 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 8475 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E | 9391 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IBUF | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 9668 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 9669 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | E_IBUF - -SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 9667 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 9670 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOREQr | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOREQr.D1 | 9672 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOREQr.D2 | 9673 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOREQ - -SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOREQr.D | 9671 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOREQr.Q | 9674 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 21 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.Q | $OpTx$$OpTx$FX_DC$47_INV$153 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IOACTr.EXP | 9917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT +NODE | iobm/IOS_FSM_FFd1.EXP | 8753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.EXP | iobm/IOS_FSM_FFd1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 20 | 3 +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.Q | $OpTx$$OpTx$FX_DC$47_INV$153 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 9676 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 8477 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 9677 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOACT +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 8478 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IOACTr.EXP | 9906 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/DTACKEN | IV_FALSE | IOACT | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/DTACKEN | IV_TRUE | iobs/IODTACKr | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM +SIGNAL | NODE | iobm/IOS_FSM_FFd1.EXP | 8751 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOL0 | IV_TRUE | iobm/IORDREQr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd1.D | 8476 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 8479 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 8481 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 8482 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr +SPPTERM | 3 | IV_TRUE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd2.D | 8480 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 8483 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 8485 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 8486 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOACT SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 9675 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 8484 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 9678 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 8487 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S.EXP | 9918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/Load1.EXP | 8746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 19 | 2 +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S.EXP | 9918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 9680 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 8489 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 9681 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ALE0S.EXP -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SIGNAL | NODE | iobs/Load1.D2 | 8490 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/Load1.EXP | 8738 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 9679 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +NODE | iobs/Load1.D | 8488 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 9682 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 8491 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er.EXP | 8727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.EXP | iobm/Er | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er2 | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er2.D1 | 9684 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er2.D2 | 9685 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/Er - -SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er2.D | 9683 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er2.Q | 9686 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.Q | $OpTx$$OpTx$FX_DC$47_INV$153 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/BACTr.EXP | 9926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT +NODE | IOL0.EXP | 8730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 14 | 3 +SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.Q | $OpTx$$OpTx$FX_DC$47_INV$153 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobm/Er.EXP | 8727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.EXP | iobm/Er | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 9688 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 8493 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 9689 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SIGNAL | NODE | IOL0.D2 | 8494 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 +SPPTERM | 1 | IV_TRUE | iobm/Er.EXP +SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_TRUE | nLDS_FSB_IBUF | IV_FALSE | IOL0 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | IOL0 | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/BACTr.EXP | 9915 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM +SIGNAL | NODE | IOL0.EXP | 8726 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 +SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 9687 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +NODE | IOL0.D | 8492 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 9690 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 8495 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOU0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 8064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IODONE.EXP | 8729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0.EXP | 8730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefReq.SI | ram/RefReq | 0 | 2 | 2 +SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 8064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IODONE.EXP | 8729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0.EXP | 8730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefReq.D1 | 9692 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 8497 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefReq.D2 | 9693 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RefReqSync +SIGNAL | NODE | IOU0.D2 | 8498 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 +SPPTERM | 1 | IV_TRUE | IODONE.EXP +SPPTERM | 1 | IV_TRUE | IOL0.EXP +SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_TRUE | nUDS_FSB_IBUF | IV_FALSE | IOU0 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | IOU0 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_TRUE | iobs/IOU1 | IV_TRUE | IOU0 | IV_FALSE | ALE1 -SRFF_INSTANCE | ram/RefReq.REG | ram/RefReq | 0 | 2 | 1 +SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefReq.D | 9691 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.XOR | 0 | 7 | ALU_F +NODE | IOU0.D | 8496 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefReq.Q | 9694 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.REG | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 8499 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReqSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IORDREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | IORDREQ | 8098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefReqSync | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefReqSync.SI | ram/RefReqSync | 0 | 1 | 2 +SIGNAL_INSTANCE | iobm/IORDREQr.SI | iobm/IORDREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | IORDREQ | 8098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefReqSync.D1 | 9696 | ? | 0 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IORDREQr.D1 | 8501 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefReqSync.D2 | 9697 | ? | 0 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RefReq +SIGNAL | NODE | iobm/IORDREQr.D2 | 8502 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IORDREQ -SRFF_INSTANCE | ram/RefReqSync.REG | ram/RefReqSync | 0 | 2 | 1 +SRFF_INSTANCE | iobm/IORDREQr.REG | iobm/IORDREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefReqSync.D | 9695 | ? | 0 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.XOR | 0 | 7 | ALU_F +NODE | iobm/IORDREQr.D | 8500 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefReqSync.Q | 9698 | ? | 0 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.REG | 0 | 8 | SRFF_Q +NODE | iobm/IORDREQr.Q | 8503 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd3.EXP | 8758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 1 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<0>.D1 | 9700 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 8505 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<0>.D2 | 9701 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 8506 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd3.EXP | 8757 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr + +SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd3.D | 8504 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 8507 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 8509 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 8510 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr + +SRFF_INSTANCE | ram/RS_FSM_FFd6.REG | ram/RS_FSM_FFd6 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd6.D | 8508 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd6.Q | 8511 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 8097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0M | 8097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 8097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 8513 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 8514 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | AoutOE +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | ALE0M +SPPTERM | 7 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IORDREQr | IV_FALSE | iobm/IOWRREQr + +SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0M.D | 8512 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 8515 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORDREQ | 8098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2.EXP | 8749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent.EXP | 8750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.EXP | iobs/Sent | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IORDREQ | 8098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORDREQ | 8098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2.EXP | 8749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent.EXP | 8750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.EXP | iobs/Sent | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORDREQ.D1 | 8517 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IORDREQ.D2 | 8518 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2.EXP +SPPTERM | 1 | IV_TRUE | iobs/Sent.EXP +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | IORDREQ +SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | IORDREQ.REG | IORDREQ | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IORDREQ.D | 8516 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IORDREQ.Q | 8519 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOWRREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOWRREQ | 8099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_FSB_OBUF.EXP | 8745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1.EXP | 8746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOWRREQ | 8099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOWRREQ.SI | IOWRREQ | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOWRREQ | 8099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_FSB_OBUF.EXP | 8745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1.EXP | 8746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOWRREQ.D1 | 8521 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOWRREQ.D2 | 8522 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nVPA_FSB_OBUF.EXP +SPPTERM | 1 | IV_TRUE | iobs/Load1.EXP +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | IOWRREQ +SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | IOWRREQ.REG | IOWRREQ | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOWRREQ.D | 8520 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOWRREQ.Q | 8523 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOPWReady | 8059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/Er<0>.EXP | 8733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.EXP | cnt/Er<0> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 4 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOPWReady | 8059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<0>.D1 | 8525 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<0>.D2 | 8526 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/Er<0>.EXP | 8731 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | IOPWReady SRFF_INSTANCE | cnt/Er<0>.REG | cnt/Er<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<0>.D | 9699 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<0>.D | 8524 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<0>.Q | 9702 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<0>.Q | 8527 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 9392 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nIPL2 | 8216 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 8102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/nIPL2r | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 8102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nIPL2r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 8103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/nIPL2r.SI | cnt/nIPL2r | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 8102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nIPL2r.D1 | 9704 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nIPL2r.D1 | 8529 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nIPL2r.D2 | 9705 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/nIPL2r.D2 | 8530 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nIPL2_IBUF SRFF_INSTANCE | cnt/nIPL2r.REG | cnt/nIPL2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nIPL2r.D | 9703 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F +NODE | cnt/nIPL2r.D | 8528 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nIPL2r.Q | 9706 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q +NODE | cnt/nIPL2r.Q | 8531 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 9393 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DoutOE | 8104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrf | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 8104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 +SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DoutOE | 8104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrf.D1 | 9708 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 8533 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrf.D2 | 9709 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF +SIGNAL | NODE | iobm/DoutOE.D2 | 8534 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/DoutOE | IV_TRUE | iobm/IOWRREQr +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE | IV_FALSE | iobm/IOWRREQr -SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 +SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrf.D | 9707 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +NODE | iobm/DoutOE.D | 8532 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrf.Q | 9710 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 8535 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 9394 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 8062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrf | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/Er.EXP | 8727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.EXP | iobm/Er | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 +SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 8062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrf.D1 | 9712 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 8537 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrf.D2 | 9713 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF +SIGNAL | NODE | iobm/Er.D2 | 8538 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | E_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/Er.EXP | 8723 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | iobs/IOL1 | IV_TRUE | IOL0 | IV_FALSE | ALE1 +SPPTERM | 3 | IV_FALSE | iobs/IOL1 | IV_FALSE | IOL0 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 +SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrf.D | 9711 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 8536 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrf.Q | 9714 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 8539 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS0 | 8106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ETACK | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 8106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 6 | 2 +SIGNAL_INSTANCE | iobm/IOS0.SI | iobm/IOS0 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS0 | 8106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ETACK.D1 | 9716 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS0.D1 | 8541 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ETACK.D2 | 9717 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> +SIGNAL | NODE | iobm/IOS0.D2 | 8542 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/C8Mr +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | AoutOE +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IORDREQr | IV_FALSE | iobm/IOWRREQr +SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS0 -SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 +SRFF_INSTANCE | iobm/IOS0.REG | iobm/IOS0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ETACK.D | 9715 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS0.D | 8540 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ETACK.Q | 9718 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS0.Q | 8543 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOWRREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | IOWRREQ | 8099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrf | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/IOWRREQr.EXP | 8756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.EXP | iobm/IOWRREQr | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 +SIGNAL_INSTANCE | iobm/IOWRREQr.SI | iobm/IOWRREQr | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | IOWRREQ | 8099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrf.D1 | 9720 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOWRREQr.D1 | 8545 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrf.D2 | 9721 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | N01 +SIGNAL | NODE | iobm/IOWRREQr.D2 | 8546 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOWRREQ +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/IOWRREQr.EXP | 8755 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOACT | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 +SRFF_INSTANCE | iobm/IOWRREQr.REG | iobm/IOWRREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrf.D | 9719 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +NODE | iobm/IOWRREQr.D | 8544 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrf.Q | 9722 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOWRREQr.Q | 8547 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 9395 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 8217 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 8108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPAr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 8108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPAr | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 8109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPAr.SI | iobm/VPAr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 8108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPAr.D1 | 9724 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPAr.D1 | 8549 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPAr.D2 | 9725 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPAr.D2 | 8550 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPAr.REG | iobm/VPAr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPAr.D | 9723 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPAr.D | 8548 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPAr.Q | 9726 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPAr.Q | 8551 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 8110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 4 | 2 +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 9728 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 8553 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 9729 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr +SIGNAL | NODE | iobs/Clear1.D2 | 8554 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 -SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 +SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 9727 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +NODE | iobs/Clear1.D | 8552 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 9730 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 8555 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 18 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ALE0S.EXP | 9918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd2 | 8111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 17 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 9732 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 8557 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 9733 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 8558 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 + +SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd2.D | 8556 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 8559 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 8122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 8112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 8122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 8561 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 8562 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | ram/RS_FSM_FFd4.REG | ram/RS_FSM_FFd4 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd4.D | 8560 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd4.Q | 8563 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/Once | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/Once | 8113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/Once.D1 | 8565 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/Once.D2 | 8566 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | ram/Once.CE | 8567 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/Once.D | 8564 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | ram/Once.CE | 8567 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/Once.Q | 8568 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0S | 8114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 8570 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 8571 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ALE0S.EXP | 9907 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 9731 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +NODE | ALE0S.D | 8569 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 9734 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 9946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 9947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOREQ | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 9946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 9947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOREQ.D1 | 9736 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOREQ.D2 | 9737 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP -SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP -SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 - -SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOREQ.D | 9735 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOREQ.Q | 9738 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 8572 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<1> | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<1>.SI | cnt/Er<1> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<1>.D1 | 9740 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<1>.D1 | 8574 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<1>.D2 | 9741 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<1>.D2 | 8575 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/Er<0> SRFF_INSTANCE | cnt/Er<1>.REG | cnt/Er<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<1>.D | 9739 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<1>.D | 8573 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<1>.Q | 9742 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<1>.Q | 8576 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/ODCSr | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/ODCSr | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/ODCSr | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/ODCSr.EXP | 9922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.EXP | cs/ODCSr | 4 | 0 | MC_EXPORT +NODE | cs/ODCSr | 8116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cs/ODCSr.SI | cs/ODCSr | 0 | 11 | 3 +SIGNAL_INSTANCE | cs/ODCSr.SI | cs/ODCSr | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/ODCSr.D1 | 9744 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/ODCSr.D1 | 8578 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/ODCSr.D2 | 9745 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/ODCSr.D2 | 8579 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/ODCSr.EXP | 9911 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | cs/ODCSr.REG | cs/ODCSr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/ODCSr.D | 9743 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.XOR | 0 | 7 | ALU_F +NODE | cs/ODCSr.D | 8577 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/ODCSr.Q | 9746 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.REG | 0 | 8 | SRFF_Q +NODE | cs/ODCSr.Q | 8580 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9195 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 8028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 9748 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 8582 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 9749 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 8583 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 9747 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 8581 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9195 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 8028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 9750 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 8584 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IODONEr<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DoutOE | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobs/IODONEr<0> | 8118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr<0>.Q | iobs/IODONEr<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 6 | 2 +SIGNAL_INSTANCE | iobs/IODONEr<0>.SI | iobs/IODONEr<0> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DoutOE.D1 | 9752 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IODONEr<0>.D1 | 8586 | ? | 0 | 4096 | iobs/IODONEr<0> | NULL | NULL | iobs/IODONEr<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DoutOE.D2 | 9753 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd3 -SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr | IV_FALSE | AoutOE +SIGNAL | NODE | iobs/IODONEr<0>.D2 | 8587 | ? | 0 | 4096 | iobs/IODONEr<0> | NULL | NULL | iobs/IODONEr<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IODONE -SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 +SRFF_INSTANCE | iobs/IODONEr<0>.REG | iobs/IODONEr<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DoutOE.D | 9751 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +NODE | iobs/IODONEr<0>.D | 8585 | ? | 0 | 0 | iobs/IODONEr<0> | NULL | NULL | iobs/IODONEr<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DoutOE.Q | 9754 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 9756 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 9757 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 - -SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 9755 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 9758 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IODTACKr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IODTACKr.SI | iobs/IODTACKr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IODTACKr.D1 | 9760 | ? | 0 | 4096 | iobs/IODTACKr | NULL | NULL | iobs/IODTACKr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IODTACKr.D2 | 9761 | ? | 0 | 4096 | iobs/IODTACKr | NULL | NULL | iobs/IODTACKr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF - -SRFF_INSTANCE | iobs/IODTACKr.REG | iobs/IODTACKr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IODTACKr.D | 9759 | ? | 0 | 0 | iobs/IODTACKr | NULL | NULL | iobs/IODTACKr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IODTACKr.Q | 9762 | ? | 0 | 0 | iobs/IODTACKr | NULL | NULL | iobs/IODTACKr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IODONEr<0>.Q | 8588 | ? | 0 | 0 | iobs/IODONEr<0> | NULL | NULL | iobs/IODONEr<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nRESout | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 8119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRESout.D1 | 9764 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRESout.D1 | 8590 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRESout.D2 | 9765 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRESout.D2 | 8591 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 SRFF_INSTANCE | nRESout.REG | nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRESout.D | 9763 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F +NODE | nRESout.D | 8589 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRESout.Q | 9766 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q +NODE | nRESout.Q | 8592 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 9962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 8744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMReady | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/BACTr.EXP | 8743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 13 | 2 +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 9962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 8744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMReady.D1 | 9768 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 8594 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMReady.D2 | 9769 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP -SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg -SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RAMEN +SIGNAL | NODE | ram/BACTr.D2 | 8595 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/BACTr.EXP | 8735 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | iobs/TS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | iobs/TS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobs/Sent | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobs/Sent | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay -SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 +SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMReady.D | 9767 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +NODE | ram/BACTr.D | 8593 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMReady.Q | 9770 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 8596 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 9396 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 9397 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 9398 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 9399 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 9400 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 9401 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 9402 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefRAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/CAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefRAS | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +NODE | ram/CAS | 8121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefRAS.SI | ram/RefRAS | 0 | 2 | 2 +SIGNAL_INSTANCE | ram/CAS.SI | ram/CAS | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefRAS.D1 | 9772 | ? | 0 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/CAS.D1 | 8598 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefRAS.D2 | 9773 | ? | 0 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SIGNAL | NODE | ram/CAS.D2 | 8599 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SRFF_INSTANCE | ram/RefRAS.REG | ram/RefRAS | 0 | 2 | 1 +SRFF_INSTANCE | ram/CAS.REG | ram/CAS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefRAS.D | 9771 | ? | 0 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.XOR | 0 | 7 | ALU_F +NODE | ram/CAS.D | 8597 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefRAS.Q | 9774 | ? | 0 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.REG | 0 | 8 | SRFF_Q +NODE | ram/CAS.Q | 8600 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RegUrgSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 8111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RegUrgSync | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 8122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RegUrgSync.SI | ram/RegUrgSync | 0 | 1 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 8111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RegUrgSync.D1 | 9776 | ? | 0 | 4096 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 8602 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RegUrgSync.D2 | 9777 | ? | 0 | 4096 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RefUrg +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 8603 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 -SRFF_INSTANCE | ram/RegUrgSync.REG | ram/RegUrgSync | 0 | 2 | 1 +SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RegUrgSync.D | 9775 | ? | 0 | 0 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 8601 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RegUrgSync.Q | 9778 | ? | 0 | 0 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 8604 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 8123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 9780 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 8606 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 9781 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF - -SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 9779 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 9782 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 9784 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 9785 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF - -SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 9783 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 9786 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 9948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_2_OBUF.EXP | 9947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 9948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 9788 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 9789 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_2_OBUF.EXP | 9935 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 - -SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 9787 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 9790 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_3_OBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_3_OBUF.EXP | 9945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_3_OBUF.D1 | 9792 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_3_OBUF.D2 | 9793 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_3_OBUF.EXP | 9933 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF - -SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_3_OBUF.D | 9791 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_3_OBUF.Q | 9794 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_4_OBUF.EXP | 9944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 8 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 9796 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 9797 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_4_OBUF.EXP | 9932 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/DTACKEN - -SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 9795 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 9798 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_3_OBUF.EXP | 9945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_5_OBUF.EXP | 9946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_3_OBUF.EXP | 9945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 9800 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 9801 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_3_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_5_OBUF.EXP | 9934 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 - -SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 9799 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 9802 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_6_OBUF.EXP | 9948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 4 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 9804 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 9805 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_6_OBUF.EXP | 9936 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF - -SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 9803 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 9806 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 9808 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 9809 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 9807 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 9810 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 9812 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 9813 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_18_IBUF -SPPTERM | 2 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF -SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | cs/nOverlay -SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 5 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RASEL - -SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 9811 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 9814 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 9816 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 9817 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 9815 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 9818 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9820 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9821 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobs/DTACKEN | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 9819 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 9822 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 9824 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 9825 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE - -SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 9823 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 9826 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nOE_OBUF.EXP | 9942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 9828 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 9829 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 8607 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nOE_OBUF.EXP | 9930 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 9827 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nOE_OBUF.D | 8605 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 9830 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 8608 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 16 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMWE_OBUF.EXP | 9925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF | 8124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 16 | 3 +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 9832 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 8610 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 9833 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 8611 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMWE_OBUF.EXP | 9914 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_FALSE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr | IV_FALSE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr | IV_FALSE | ALE1 SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 9831 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMWE_OBUF.D | 8609 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 9834 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9836 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9837 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 9835 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 9838 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 8612 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 8097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 8114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 8125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 8097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 8114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9840 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 8614 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9841 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 8615 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 9839 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE0_OBUF.D | 8613 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 9842 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 8616 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/CAS | 8121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9195 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 8028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 8126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/CAS | 8121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 9844 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 8618 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 9845 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | ram/RASEL +SIGNAL | NODE | nCAS_OBUF.D2 | 8619 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | ram/CAS SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 9843 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nCAS_OBUF.D | 8617 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9195 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 8028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 9846 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 8620 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 7 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0>.EXP | 8733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.EXP | cnt/Er<0> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC.EXP | 8734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.EXP | cnt/TimerTC | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinLE_OBUF.EXP | 9952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDTACK_FSB_OBUF | 8127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 6 | 3 +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0>.EXP | 8733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.EXP | cnt/Er<0> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC.EXP | 8734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.EXP | cnt/TimerTC | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 9848 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 8622 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 9849 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinLE_OBUF.EXP | 9951 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/BERRrf | IV_FALSE | iobm/DTACKrf | IV_FALSE | iobm/ETACK | IV_FALSE | iobm/RESrf +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 8623 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/Er<0>.EXP +SPPTERM | 1 | IV_TRUE | cnt/TimerTC.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | IONPReady +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | IONPReady +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | IONPReady +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | IONPReady + +SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDTACK_FSB_OBUF.D | 8621 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 8624 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308992 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 8128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 8626 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 8627 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 9847 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinLE_OBUF.D | 8625 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 9850 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 8628 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 +MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | C20MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefRAS | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 9963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRESout | 8119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 9962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefRAS | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 9963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 9852 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 9853 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RefRAS -SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 9955 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq - -SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 9851 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 9854 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N0 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | C20MEN_OBUF$Q | 8129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.Q | C20MEN_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | N0$OE | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | C20MEN_OBUF$OE | 8130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.BUFOE.OUT | C20MEN_OBUF | 2 | 0 | MC_OE -SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 +SIGNAL_INSTANCE | C20MEN_OBUF.SI | C20MEN_OBUF | 0 | 1 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 8119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N0.D1 | 9856 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C20MEN_OBUF.D1 | 8630 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N0.D2 | 9857 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C20MEN_OBUF.D2 | 8631 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | N0.TRST | 9859 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | C20MEN_OBUF.TRST | 8633 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout -SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 +SRFF_INSTANCE | C20MEN_OBUF.REG | C20MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N0.D | 9855 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F +NODE | C20MEN_OBUF.D | 8629 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N0.Q | 9860 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q +NODE | C20MEN_OBUF.Q | 8634 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.REG | 0 | 8 | SRFF_Q -BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 +BUF_INSTANCE | C20MEN_OBUF.BUFOE | C20MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | N0.TRST | 9859 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | C20MEN_OBUF.TRST | 8633 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | N0.BUFOE.OUT | 9858 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT +NODE | C20MEN_OBUF.BUFOE.OUT | 8632 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 8 | 2 +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<10> | 8218 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 8131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | A_FSB_10_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_10_IBUF | 8131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_19_IBUF$BUF0.EXP | 9961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_10_IBUF$BUF0 | 8132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_10_IBUF$BUF0.Q | A_FSB_10_IBUF$BUF0 | 0 | 0 | MC_Q -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 8 | 3 +SIGNAL_INSTANCE | A_FSB_10_IBUF$BUF0.SI | A_FSB_10_IBUF$BUF0 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_10_IBUF | 8131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9862 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_10_IBUF$BUF0.D1 | 8636 | ? | 0 | 4096 | A_FSB_10_IBUF$BUF0 | NULL | NULL | A_FSB_10_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9863 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_10_IBUF$BUF0.D2 | 8637 | ? | 0 | 4096 | A_FSB_10_IBUF$BUF0 | NULL | NULL | A_FSB_10_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_10_IBUF + +SRFF_INSTANCE | A_FSB_10_IBUF$BUF0.REG | A_FSB_10_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_10_IBUF$BUF0.D | 8635 | ? | 0 | 0 | A_FSB_10_IBUF$BUF0 | NULL | NULL | A_FSB_10_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_10_IBUF$BUF0.Q | 8638 | ? | 0 | 0 | A_FSB_10_IBUF$BUF0 | NULL | NULL | A_FSB_10_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<11> | 8219 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 8133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | A_FSB_11_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 8133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_11_IBUF$BUF0 | 8134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_11_IBUF$BUF0.Q | A_FSB_11_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_11_IBUF$BUF0.SI | A_FSB_11_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 8133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_11_IBUF$BUF0.D1 | 8640 | ? | 0 | 4096 | A_FSB_11_IBUF$BUF0 | NULL | NULL | A_FSB_11_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_11_IBUF$BUF0.D2 | 8641 | ? | 0 | 4096 | A_FSB_11_IBUF$BUF0 | NULL | NULL | A_FSB_11_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_11_IBUF + +SRFF_INSTANCE | A_FSB_11_IBUF$BUF0.REG | A_FSB_11_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_11_IBUF$BUF0.D | 8639 | ? | 0 | 0 | A_FSB_11_IBUF$BUF0 | NULL | NULL | A_FSB_11_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_11_IBUF$BUF0.Q | 8642 | ? | 0 | 0 | A_FSB_11_IBUF$BUF0 | NULL | NULL | A_FSB_11_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<12> | 8220 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 8135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | A_FSB_12_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 8135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_12_IBUF$BUF0 | 8136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_12_IBUF$BUF0.Q | A_FSB_12_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_12_IBUF$BUF0.SI | A_FSB_12_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 8135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_12_IBUF$BUF0.D1 | 8644 | ? | 0 | 4096 | A_FSB_12_IBUF$BUF0 | NULL | NULL | A_FSB_12_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_12_IBUF$BUF0.D2 | 8645 | ? | 0 | 4096 | A_FSB_12_IBUF$BUF0 | NULL | NULL | A_FSB_12_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_12_IBUF + +SRFF_INSTANCE | A_FSB_12_IBUF$BUF0.REG | A_FSB_12_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_12_IBUF$BUF0.D | 8643 | ? | 0 | 0 | A_FSB_12_IBUF$BUF0 | NULL | NULL | A_FSB_12_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_12_IBUF$BUF0.Q | 8646 | ? | 0 | 0 | A_FSB_12_IBUF$BUF0 | NULL | NULL | A_FSB_12_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<13> | 8221 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 8137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | A_FSB_13_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 8137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_13_IBUF$BUF0 | 8138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_13_IBUF$BUF0.Q | A_FSB_13_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_13_IBUF$BUF0.SI | A_FSB_13_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 8137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_13_IBUF$BUF0.D1 | 8648 | ? | 0 | 4096 | A_FSB_13_IBUF$BUF0 | NULL | NULL | A_FSB_13_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_13_IBUF$BUF0.D2 | 8649 | ? | 0 | 4096 | A_FSB_13_IBUF$BUF0 | NULL | NULL | A_FSB_13_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_13_IBUF + +SRFF_INSTANCE | A_FSB_13_IBUF$BUF0.REG | A_FSB_13_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_13_IBUF$BUF0.D | 8647 | ? | 0 | 0 | A_FSB_13_IBUF$BUF0 | NULL | NULL | A_FSB_13_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_13_IBUF$BUF0.Q | 8650 | ? | 0 | 0 | A_FSB_13_IBUF$BUF0 | NULL | NULL | A_FSB_13_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<14> | 8222 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 8139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | A_FSB_14_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 8139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_14_IBUF$BUF0 | 8140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_14_IBUF$BUF0.Q | A_FSB_14_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_14_IBUF$BUF0.SI | A_FSB_14_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 8139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_14_IBUF$BUF0.D1 | 8652 | ? | 0 | 4096 | A_FSB_14_IBUF$BUF0 | NULL | NULL | A_FSB_14_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_14_IBUF$BUF0.D2 | 8653 | ? | 0 | 4096 | A_FSB_14_IBUF$BUF0 | NULL | NULL | A_FSB_14_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_14_IBUF + +SRFF_INSTANCE | A_FSB_14_IBUF$BUF0.REG | A_FSB_14_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_14_IBUF$BUF0.D | 8651 | ? | 0 | 0 | A_FSB_14_IBUF$BUF0 | NULL | NULL | A_FSB_14_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_14_IBUF$BUF0.Q | 8654 | ? | 0 | 0 | A_FSB_14_IBUF$BUF0 | NULL | NULL | A_FSB_14_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<15> | 8223 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 8141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | A_FSB_15_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 8141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_15_IBUF$BUF0 | 8142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_15_IBUF$BUF0.Q | A_FSB_15_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_15_IBUF$BUF0.SI | A_FSB_15_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 8141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_15_IBUF$BUF0.D1 | 8656 | ? | 0 | 4096 | A_FSB_15_IBUF$BUF0 | NULL | NULL | A_FSB_15_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_15_IBUF$BUF0.D2 | 8657 | ? | 0 | 4096 | A_FSB_15_IBUF$BUF0 | NULL | NULL | A_FSB_15_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_15_IBUF + +SRFF_INSTANCE | A_FSB_15_IBUF$BUF0.REG | A_FSB_15_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_15_IBUF$BUF0.D | 8655 | ? | 0 | 0 | A_FSB_15_IBUF$BUF0 | NULL | NULL | A_FSB_15_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_15_IBUF$BUF0.Q | 8658 | ? | 0 | 0 | A_FSB_15_IBUF$BUF0 | NULL | NULL | A_FSB_15_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<16> | 8224 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 8143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | A_FSB_16_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 8143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_16_IBUF$BUF0 | 8144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_16_IBUF$BUF0.Q | A_FSB_16_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_16_IBUF$BUF0.SI | A_FSB_16_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 8143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_16_IBUF$BUF0.D1 | 8660 | ? | 0 | 4096 | A_FSB_16_IBUF$BUF0 | NULL | NULL | A_FSB_16_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_16_IBUF$BUF0.D2 | 8661 | ? | 0 | 4096 | A_FSB_16_IBUF$BUF0 | NULL | NULL | A_FSB_16_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_16_IBUF + +SRFF_INSTANCE | A_FSB_16_IBUF$BUF0.REG | A_FSB_16_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_16_IBUF$BUF0.D | 8659 | ? | 0 | 0 | A_FSB_16_IBUF$BUF0 | NULL | NULL | A_FSB_16_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_16_IBUF$BUF0.Q | 8662 | ? | 0 | 0 | A_FSB_16_IBUF$BUF0 | NULL | NULL | A_FSB_16_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<17> | 8225 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 8145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | A_FSB_17_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 8145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_17_IBUF$BUF0 | 8146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_17_IBUF$BUF0.Q | A_FSB_17_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_17_IBUF$BUF0.SI | A_FSB_17_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 8145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_17_IBUF$BUF0.D1 | 8664 | ? | 0 | 4096 | A_FSB_17_IBUF$BUF0 | NULL | NULL | A_FSB_17_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_17_IBUF$BUF0.D2 | 8665 | ? | 0 | 4096 | A_FSB_17_IBUF$BUF0 | NULL | NULL | A_FSB_17_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_17_IBUF + +SRFF_INSTANCE | A_FSB_17_IBUF$BUF0.REG | A_FSB_17_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_17_IBUF$BUF0.D | 8663 | ? | 0 | 0 | A_FSB_17_IBUF$BUF0 | NULL | NULL | A_FSB_17_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_17_IBUF$BUF0.Q | 8666 | ? | 0 | 0 | A_FSB_17_IBUF$BUF0 | NULL | NULL | A_FSB_17_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_18_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_18_IBUF$BUF0 | 8147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_18_IBUF$BUF0.Q | A_FSB_18_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_18_IBUF$BUF0.SI | A_FSB_18_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_18_IBUF$BUF0.D1 | 8668 | ? | 0 | 4096 | A_FSB_18_IBUF$BUF0 | NULL | NULL | A_FSB_18_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_18_IBUF$BUF0.D2 | 8669 | ? | 0 | 4096 | A_FSB_18_IBUF$BUF0 | NULL | NULL | A_FSB_18_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_18_IBUF + +SRFF_INSTANCE | A_FSB_18_IBUF$BUF0.REG | A_FSB_18_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_18_IBUF$BUF0.D | 8667 | ? | 0 | 0 | A_FSB_18_IBUF$BUF0 | NULL | NULL | A_FSB_18_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_18_IBUF$BUF0.Q | 8670 | ? | 0 | 0 | A_FSB_18_IBUF$BUF0 | NULL | NULL | A_FSB_18_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 8148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 8672 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 8673 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 9954 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN -SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg -SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 9861 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | A_FSB_19_IBUF$BUF0.D | 8671 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 9864 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 8674 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF1 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_21_IBUF$BUF0 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF1 | 8149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF1.Q | A_FSB_19_IBUF$BUF1 | 0 | 0 | MC_Q -SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF1.SI | A_FSB_19_IBUF$BUF1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9866 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF1.D1 | 8676 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF1 | NULL | NULL | A_FSB_19_IBUF$BUF1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9867 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF +SIGNAL | NODE | A_FSB_19_IBUF$BUF1.D2 | 8677 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF1 | NULL | NULL | A_FSB_19_IBUF$BUF1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF -SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 +SRFF_INSTANCE | A_FSB_19_IBUF$BUF1.REG | A_FSB_19_IBUF$BUF1 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_21_IBUF$BUF0.D | 9865 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | A_FSB_19_IBUF$BUF1.D | 8675 | ? | 0 | 0 | A_FSB_19_IBUF$BUF1 | NULL | NULL | A_FSB_19_IBUF$BUF1.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_21_IBUF$BUF0.Q | 9868 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF1.Q | 8678 | ? | 0 | 0 | A_FSB_19_IBUF$BUF1 | NULL | NULL | A_FSB_19_IBUF$BUF1.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<9> | 8226 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 8150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | A_FSB_9_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 8150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_9_IBUF$BUF0 | 8151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_9_IBUF$BUF0.Q | A_FSB_9_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_9_IBUF$BUF0.SI | A_FSB_9_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 8150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_9_IBUF$BUF0.D1 | 8680 | ? | 0 | 4096 | A_FSB_9_IBUF$BUF0 | NULL | NULL | A_FSB_9_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_9_IBUF$BUF0.D2 | 8681 | ? | 0 | 4096 | A_FSB_9_IBUF$BUF0 | NULL | NULL | A_FSB_9_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_9_IBUF + +SRFF_INSTANCE | A_FSB_9_IBUF$BUF0.REG | A_FSB_9_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_9_IBUF$BUF0.D | 8679 | ? | 0 | 0 | A_FSB_9_IBUF$BUF0 | NULL | NULL | A_FSB_9_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_9_IBUF$BUF0.Q | 8682 | ? | 0 | 0 | A_FSB_9_IBUF$BUF0 | NULL | NULL | A_FSB_9_IBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 8110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 8152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 8110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9870 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 8684 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9871 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 8685 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Load1 SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 9869 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE1_OBUF.D | 8683 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 9872 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 8686 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 5 | 2 +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 8071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 8154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 8744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 4 | 2 +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 8071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 9874 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 8688 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 9875 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 8689 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | AoutOE +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nAoutOE_OBUF.EXP | 8736 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 9873 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nAoutOE_OBUF.D | 8687 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 9876 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 8690 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 6 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 8156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 9878 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 8692 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 9879 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 8693 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 9877 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinOE_OBUF.D | 8691 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 9880 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 8694 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 7 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/DoutOE | 8104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 8106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 9963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDoutOE_OBUF | 8157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 7 | 3 +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/DoutOE | 8104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 8106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9882 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 8696 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9883 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 9956 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN +SIGNAL | NODE | nDoutOE_OBUF.D2 | 8697 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE +SPPTERM | 4 | IV_FALSE | iobm/IORDREQr | IV_TRUE | iobm/IOS0 | IV_FALSE | iobm/IOWRREQr | IV_FALSE | AoutOE + +SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDoutOE_OBUF.D | 8695 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 8698 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 8158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 8700 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 8701 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | ram/RAMEN | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 9881 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMLWE_OBUF.D | 8699 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 9884 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 8702 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 9 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 9964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF | 8159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 9 | 3 +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9886 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 8704 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9887 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 9957 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefUrg | IV_FALSE | fsb/ASrf +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 8705 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | ram/RAMEN | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 9885 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMUWE_OBUF.D | 8703 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 9888 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 8706 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 7 | 2 +MACROCELL_INSTANCE | PrldHigh+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872768 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 9940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF | 8160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 7 | 3 +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 9890 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 8708 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 9891 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 8709 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd6 + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 8707 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 8710 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 8161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 8712 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 8713 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 9928 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 9889 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMCS_OBUF.D | 8711 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 9892 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 8714 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | C20MEN_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 0 | 1 +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | C20MEN_OBUF$BUF0 | 8162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF$BUF0.Q | C20MEN_OBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | C20MEN_OBUF$BUF0.SI | C20MEN_OBUF$BUF0 | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C20MEN_OBUF$BUF0.D1 | 8716 | ? | 0 | 4096 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C20MEN_OBUF$BUF0.D2 | 8717 | ? | 0 | 6144 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO + +SRFF_INSTANCE | C20MEN_OBUF$BUF0.REG | C20MEN_OBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | C20MEN_OBUF$BUF0.D | 8715 | ? | 0 | 0 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | C20MEN_OBUF$BUF0.Q | 8718 | ? | 0 | 0 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | C25MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF$Q | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 8163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | C25MEN_OBUF.SI | C25MEN_OBUF | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF.D1 | 9894 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF.D1 | 8720 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF.D2 | 9895 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF.D2 | 8721 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | C25MEN_OBUF.REG | C25MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF.D | 9893 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF.D | 8719 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF.Q | 9896 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | C25MEN_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 0 | 1 -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF$BUF0 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF$BUF0.Q | C25MEN_OBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | C25MEN_OBUF$BUF0.SI | C25MEN_OBUF$BUF0 | 0 | 0 | 2 -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF$BUF0.D1 | 9898 | ? | 0 | 4096 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF$BUF0.D2 | 9899 | ? | 0 | 6144 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_DC - -SRFF_INSTANCE | C25MEN_OBUF$BUF0.REG | C25MEN_OBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF$BUF0.D | 9897 | ? | 0 | 0 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF$BUF0.Q | 9900 | ? | 0 | 0 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF.Q | 8722 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOBout$Q | 9184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 8013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nVMA_IOBout$OE | 9186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 8015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9331 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 8164 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOBout | 9187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 8018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nAS_IOBout$OE | 9188 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 8020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9332 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT +NODE | nAS_IOB | 8165 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOBout | 9189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 8021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nLDS_IOBout$OE | 9190 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 8023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9333 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 8166 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOBout | 9191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 8024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nUDS_IOBout$OE | 9192 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 8026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9334 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 9235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9335 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBR_IOB_OBUF$Q | 9237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 9336 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9337 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9338 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9339 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_3_OBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9340 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9341 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9342 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9343 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9344 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9345 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9346 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 8167 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 8066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9347 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9348 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9349 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9350 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 8168 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 8069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9351 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 8169 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBR_IOB_OBUF$Q | 8070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBR_IOB | 8170 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 8123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 8171 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 8124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 8172 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 8125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9352 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 8173 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 8126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9353 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT +NODE | nCAS | 8174 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDTACK_FSB_OBUF | 8127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 8175 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 8128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9354 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 9355 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT +NODE | nDinLE | 8176 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N0 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | C20MEN_OBUF$Q | 8129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.Q | C20MEN_OBUF | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | N0$OE | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | C20MEN_OBUF$OE | 8130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.BUFOE.OUT | C20MEN_OBUF | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRES | 9356 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 8177 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT -OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_10_IBUF$BUF0 | 8132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_10_IBUF$BUF0.Q | A_FSB_10_IBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 9357 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT +NODE | RA<1> | 8178 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_11_IBUF$BUF0 | 8134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_11_IBUF$BUF0.Q | A_FSB_11_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 8179 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_12_IBUF$BUF0 | 8136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_12_IBUF$BUF0.Q | A_FSB_12_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 8180 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_13_IBUF$BUF0 | 8138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_13_IBUF$BUF0.Q | A_FSB_13_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 8181 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_14_IBUF$BUF0 | 8140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_14_IBUF$BUF0.Q | A_FSB_14_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 8182 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_15_IBUF$BUF0 | 8142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_15_IBUF$BUF0.Q | A_FSB_15_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 8183 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_16_IBUF$BUF0 | 8144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_16_IBUF$BUF0.Q | A_FSB_16_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 8184 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_21_IBUF$BUF0 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_17_IBUF$BUF0 | 8146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_17_IBUF$BUF0.Q | A_FSB_17_IBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 9358 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT +NODE | RA<10> | 8185 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_18_IBUF$BUF0 | 8147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_18_IBUF$BUF0.Q | A_FSB_18_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 8186 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_19_IBUF$BUF0 | 8148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<3> | 8187 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_19_IBUF$BUF1 | 8149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF1.Q | A_FSB_19_IBUF$BUF1 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 8188 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_9_IBUF$BUF0 | 8151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_9_IBUF$BUF0.Q | A_FSB_9_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 8189 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 8152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 9359 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 8190 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 8154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 9360 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT +NODE | nAoutOE | 8191 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 8156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9361 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT +NODE | nDinOE | 8192 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDoutOE_OBUF | 8157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDoutOE | 8193 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 8158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 9362 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 8194 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 8159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 9363 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 8195 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 8160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 8196 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 8161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 9364 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF$Q | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C25MEN | 9365 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT +NODE | nROMCS | 8197 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C20MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF$BUF0 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF$BUF0.Q | C25MEN_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | C20MEN_OBUF$BUF0 | 8162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF$BUF0.Q | C20MEN_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C20MEN | 9366 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT +NODE | C20MEN | 8198 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT -MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$47_INV$153 | WarpSE_COPY_0_COPY_0 | 2181038080 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.Q | $OpTx$$OpTx$FX_DC$47_INV$153 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.EXP | 9919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.EXP | $OpTx$$OpTx$FX_DC$47_INV$153 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$47_INV$153.SI | $OpTx$$OpTx$FX_DC$47_INV$153 | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$47_INV$153.D1 | 9902 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$47_INV$153 | NULL | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$47_INV$153.D2 | 9903 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$47_INV$153 | NULL | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$47_INV$153.EXP | 9908 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$47_INV$153 | NULL | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr - -SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$47_INV$153.REG | $OpTx$$OpTx$FX_DC$47_INV$153 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.D | 9901 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$47_INV$153 | NULL | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.Q | 9904 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$47_INV$153 | NULL | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 26 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.Q | $OpTx$$OpTx$FX_DC$47_INV$153 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr.EXP | 9917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP10_.EXP | 9916 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 26 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$47_INV$153.Q | $OpTx$$OpTx$FX_DC$47_INV$153 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr.EXP | 9917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP10_.EXP | 9905 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/IOACTr.EXP -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | ALE1 | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | ALE1 | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr | IV_FALSE | ALE1 | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr | IV_FALSE | ALE1 | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM -SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_FALSE | $OpTx$$OpTx$FX_DC$47_INV$153.UIM - -MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r.EXP | 9921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP11_.EXP | 9920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r.EXP | 9921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP11_.EXP | 9909 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | fsb/Ready0r.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP12_.EXP | 9923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP12_.EXP | 9912 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP13_.EXP | 9924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/DTACKEN | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODTACKr | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP13_.EXP | 9913 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr -SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_FALSE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 9941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 9929 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 9943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 9931 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 9949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 9937 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0.EXP | 9939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 9950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0.EXP | 9939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 9938 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | IOL0.EXP -SPPTERM | 2 | IV_TRUE | iobs/Sent | IV_TRUE | ALE1 -SPPTERM | 3 | IV_TRUE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | ALE1 -SPPTERM | 3 | IV_FALSE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | ALE1 -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IORW0 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 9960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 9953 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf +OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | C25MEN_OBUF | 8163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | C25MEN | 8199 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RefUrg | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | ram/RefReqSync | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | ram/RefReq | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | ram/RefRAS | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobs/IODTACKr | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | iobm/RESrf | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/Er | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | iobm/DTACKrf | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | cnt/nIPL2r | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | cnt/Er<0> | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | ram/RefDone | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/TS_FSM_FFd1 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | iobs/IOU1 | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/IOS_FSM_FFd1 | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | IOU0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | iobm/Er | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | NULL | 0 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | NULL | 0 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 5 | NULL | 0 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | NULL | 0 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 8 | NULL | 0 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | NULL | 0 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 11 | cs/nOverlay | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | iobm/ES<2> | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | iobm/ES<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/ES<3> | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | iobm/ES<1> | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | IODONE | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | IOU0 | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 +FBPIN | 18 | IOL0 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 6 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 -FBPIN | 8 | NULL | 0 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 -FBPIN | 9 | NULL | 0 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 -FBPIN | 11 | NULL | 0 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 FBPIN | 12 | NULL | 0 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 FBPIN | 14 | NULL | 0 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | NULL | 0 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 17 | iobm/VPAr | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 15 | iobm/VPAr | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | cnt/nIPL2r | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | IOBERR | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | fsb/VPA | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP10_ | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | fsb/ASrf | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 -FBPIN | 6 | ALE0S | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | $OpTx$$OpTx$FX_DC$47_INV$153 | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 2 | NULL | 0 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 4 | ram/RS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | ram/RS_FSM_FFd1 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 6 | iobs/IODONEr<0> | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | cnt/INITS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/Er<0> | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 FBPIN | 9 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 -FBPIN | 10 | EXP11_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | fsb/Ready0r | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 -FBPIN | 12 | cs/ODCSr | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 -FBPIN | 13 | iobs/Sent | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP12_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 -FBPIN | 15 | EXP13_ | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 -FBPIN | 16 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 -FBPIN | 18 | ram/BACTr | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 4 | ram/RegUrgSync | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 -FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 7 | nRESout | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | N0 | 1 | N01 | 1 | nRES | 1 | 91 | 49152 -FBPIN | 9 | cnt/Er<1> | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 FBPIN | 10 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | cnt/Timer<0> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/LTimer<12> | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | RefReq | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/Timer<2> | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 11 | cnt/LTimer<3> | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 +FBPIN | 12 | cnt/LTimer<2> | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 +FBPIN | 13 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/INITS_FSM_FFd2 | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 15 | RefReq | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 +FBPIN | 16 | cnt/Timer<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 FBPIN | 18 | RefUrg | 1 | NULL | 0 | NULL | 0 +FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 +FBPIN | 3 | nRESout | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 +FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 +FBPIN | 7 | ram/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | C20MEN_OBUF | 1 | N0 | 1 | nRES | 1 | 91 | 49152 +FBPIN | 9 | iobs/TS_FSM_FFd1 | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | cs/ODCSr | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 +FBPIN | 12 | IOWRREQ | 1 | NULL | 0 | NULL | 0 | 94 | 49152 +FBPIN | 13 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 95 | 49152 +FBPIN | 15 | IONPReady | 1 | NULL | 0 | NULL | 0 | 96 | 49152 +FBPIN | 16 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | IORDREQ | 1 | NULL | 0 | NULL | 0 | 97 | 49152 +FBPIN | 18 | iobs/Sent | 1 | NULL | 0 | NULL | 0 + FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | IOL0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 3 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | EXP14_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/LTimer<8> | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 -FBPIN | 7 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | EXP15_ | 1 | NULL | 0 | NULL | 0 | 39 | 49152 -FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 -FBPIN | 10 | iobs/DTACKEN | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 -FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 -FBPIN | 13 | IOREQ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 -FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | EXP16_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | IORW0 | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | EXP17_ | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nVMA_IOBout | 1 | NULL | 0 | nVMA_IOB | 1 | 74 | 49152 -FBPIN | 3 | ALE0M | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/ES<3> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 -FBPIN | 6 | iobm/ES<1> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 -FBPIN | 7 | iobm/ES<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/DoutOE | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 -FBPIN | 9 | nLDS_IOBout | 1 | NULL | 0 | nLDS_IOB | 1 | 79 | 49152 -FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nUDS_IOBout | 1 | NULL | 0 | nUDS_IOB | 1 | 80 | 49152 -FBPIN | 12 | nAS_IOBout | 1 | NULL | 0 | nAS_IOB | 1 | 81 | 49152 -FBPIN | 13 | iobm/ES<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 82 | 49152 -FBPIN | 15 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 85 | 49152 -FBPIN | 16 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 -FBPIN | 18 | IOACT | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR7_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 -FBPIN | 3 | cs/nOverlay | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 52 | 49152 -FBPIN | 6 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 53 | 49152 -FBPIN | 7 | cnt/LTimer<8> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 -FBPIN | 9 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 -FBPIN | 10 | cnt/LTimer<7> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 -FBPIN | 12 | C25MEN_OBUF | 1 | NULL | 0 | C25MEN | 1 | 58 | 49152 -FBPIN | 13 | cnt/LTimer<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | C25MEN_OBUF$BUF0 | 1 | NULL | 0 | C20MEN | 1 | 59 | 49152 -FBPIN | 15 | cnt/LTimer<2> | 1 | NULL | 0 | NULL | 0 | 60 | 49152 -FBPIN | 16 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 +FBPIN | 7 | cnt/LTimer<7> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 9 | A_FSB_11_IBUF$BUF0 | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 +FBPIN | 10 | cnt/LTimer<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 +FBPIN | 12 | A_FSB_12_IBUF$BUF0 | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 +FBPIN | 13 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | A_FSB_16_IBUF$BUF0 | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 +FBPIN | 15 | A_FSB_13_IBUF$BUF0 | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 +FBPIN | 16 | cnt/LTimer<12> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 | 49 | 49152 FBPIN | 18 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 +FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 2 | nVMA_IOBout | 1 | NULL | 0 | nVMA_IOB | 1 | 74 | 49152 +FBPIN | 5 | iobs/IOACTr | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | iobm/IOS_FSM_FFd5 | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 +FBPIN | 7 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/IOS_FSM_FFd1 | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 9 | nLDS_IOBout | 1 | NULL | 0 | nLDS_IOB | 1 | 79 | 49152 +FBPIN | 10 | iobm/C8Mr | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nUDS_IOBout | 1 | NULL | 0 | nUDS_IOB | 1 | 80 | 49152 +FBPIN | 12 | nAS_IOBout | 1 | NULL | 0 | nAS_IOB | 1 | 81 | 49152 +FBPIN | 13 | iobm/IOS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 82 | 49152 +FBPIN | 15 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 85 | 49152 +FBPIN | 16 | iobm/IOS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 +FBPIN | 18 | ALE0M | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR7_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobm/IOWRREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | A_FSB_10_IBUF$BUF0 | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 +FBPIN | 3 | iobm/IORDREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | A_FSB_14_IBUF$BUF0 | 1 | NULL | 0 | RA<7> | 1 | 52 | 49152 +FBPIN | 6 | A_FSB_9_IBUF$BUF0 | 1 | NULL | 0 | RA<0> | 1 | 53 | 49152 +FBPIN | 7 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | A_FSB_18_IBUF$BUF0 | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 +FBPIN | 9 | A_FSB_17_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 +FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | A_FSB_15_IBUF$BUF0 | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 +FBPIN | 12 | C25MEN_OBUF | 1 | NULL | 0 | C25MEN | 1 | 58 | 49152 +FBPIN | 13 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | C20MEN_OBUF$BUF0 | 1 | NULL | 0 | C20MEN | 1 | 59 | 49152 +FBPIN | 15 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 | 60 | 49152 +FBPIN | 16 | iobm/DoutOE | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/IOS0 | 1 | NULL | 0 | NULL | 0 | 61 | 49152 +FBPIN | 18 | IOACT | 1 | NULL | 0 | NULL | 0 + FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP18_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 3 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | A_FSB_19_IBUF$BUF1 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 +FBPIN | 3 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | ALE0S | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 -FBPIN | 9 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | cnt/INITS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 9 | ram/RefDone | 1 | NULL | 0 | NULL | 0 | 67 | 49152 +FBPIN | 10 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 | 68 | 49152 FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/LTimer<5> | 1 | NULL | 0 | NULL | 0 | 71 | 49152 +FBPIN | 13 | IOPWReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 FBPIN | 15 | nBR_IOB_OBUF | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 -FBPIN | 16 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/INITS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 73 | 49152 -FBPIN | 18 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | ram/RS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/CAS | 1 | NULL | 0 | NULL | 0 | 73 | 49152 +FBPIN | 18 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -7429,83 +6006,80 @@ BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 1 | ram/RefReqSync | NULL | 3 | ram/RegUrgSync | NULL | 4 | nRES | 91 | 6 | iobs/Load1 | NULL | 7 | nDTACK_IOB | 78 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 9 | iobm/IOS_FSM_FFd2 | NULL | 12 | ram/RefDone | NULL | 13 | iobs/TS_FSM_FFd1 | NULL | 14 | iobs/IOU1 | NULL | 16 | E | 25 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 17 | nIPL2 | 92 | 20 | iobm/IOS_FSM_FFd3 | NULL | 27 | nUDS_FSB | 33 | 29 | nLDS_FSB | 30 | 31 | RefReq | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | iobs/IOACTr | NULL | 40 | ram/RS_FSM_FFd1 | NULL | 45 | iobm/IOS_FSM_FFd1 | NULL | 46 | nBERR_IOB | 76 | 49 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 50 | iobs/TS_FSM_FFd2 | NULL | 51 | ram/RS_FSM_FFd2 | NULL | 53 | iobm/Er | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | iobm/Er | NULL | 1 | nVMA_IOBout | NULL | 2 | A_FSB<21> | 19 | 3 | fsb/ASrf | NULL | 4 | nRES | 91 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 7 | nDTACK_IOB | 78 | 8 | nAS_FSB | 32 | 9 | cs/ODCSr | NULL | 10 | cs/nOverlay | NULL | 11 | iobm/ES<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | iobm/ES<0> | NULL | 13 | iobm/ES<3> | NULL | 14 | iobm/ES<1> | NULL | 15 | iobs/TS_FSM_FFd2 | NULL | 16 | IOU0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 17 | IOL0 | NULL | 23 | A_FSB<23> | 24 | 29 | nLDS_FSB | 30 | 30 | A_FSB<20> | 18 | 33 | E | 25 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 38 | iobs/TS_FSM_FFd1 | NULL | 39 | A_FSB<22> | 20 | 40 | iobs/IOU1 | NULL | 47 | iobs/Sent | NULL | 49 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 50 | iobs/IOL1 | NULL | 51 | nUDS_FSB | 33 | 52 | nAS_IOBout | NULL -FB_IMUX_INDEX | FOOBAR1_ | -1 | 1 | -1 | 57 | 148 | -1 | 42 | 167 | -1 | 99 | -1 | -1 | 12 | 13 | 14 | -1 | 242 | 150 | -1 | -1 | 93 | -1 | -1 | -1 | -1 | -1 | -1 | 272 | -1 | 260 | -1 | 68 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 38 | 135 | -1 | -1 | -1 | -1 | 16 | 175 | -1 | -1 | 103 | 78 | 132 | -1 | 7 +FB_IMUX_INDEX | FOOBAR1_ | 0 | 91 | 228 | 129 | 148 | -1 | -1 | 167 | 268 | 63 | 10 | 11 | 12 | 13 | 14 | 69 | 16 | 17 | -1 | -1 | -1 | -1 | -1 | 240 | -1 | -1 | -1 | -1 | -1 | 260 | 226 | -1 | -1 | 242 | -1 | -1 | -1 | -1 | 62 | 230 | 135 | -1 | -1 | -1 | -1 | -1 | -1 | 71 | -1 | 103 | 136 | 272 | 101 | -1 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 11 | nVPA_IOB | 77 | 12 | IOREQ | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 6 | nIPL2 | 92 | 11 | nAS_IOBout | NULL | 12 | cnt/Timer<0> | NULL | 15 | nBERR_IOB | 76 | 40 | cnt/Timer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 41 | cnt/Er<1> | NULL | 45 | nVPA_IOB | 77 | 47 | cnt/TimerTC | NULL | 49 | cnt/Er<0> | NULL -FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 171 | 84 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 +FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | -1 | -1 | 150 | -1 | -1 | -1 | -1 | 101 | 120 | -1 | -1 | 175 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 35 | 114 | -1 | -1 | -1 | 171 | -1 | 45 | -1 | 43 | -1 | -1 | -1 | -1 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | fsb/VPA | NULL | 1 | A_FSB<14> | 12 | 2 | cs/nOverlay | NULL | 3 | ram/RAMReady | NULL | 4 | iobs/IODTACKr | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | A_FSB<16> | 14 | 6 | iobs/TS_FSM_FFd2 | NULL | 7 | $OpTx$$OpTx$FX_DC$47_INV$153.UIM | NULL | 8 | nDTACK_FSB_OBUF.UIM | NULL | 9 | iobs/DTACKEN | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | fsb/Ready0r | NULL | 12 | iobs/Sent | NULL | 13 | iobs/TS_FSM_FFd1 | NULL | 14 | A_FSB<12> | 10 | 15 | fsb/Ready1r | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 16 | A_FSB<13> | 11 | 17 | IOACT | NULL | 21 | A_FSB<19> | 17 | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 33 | nAS_FSB | 32 | 34 | A_FSB<17> | 15 | 36 | A_FSB<23> | 24 | 39 | A_FSB<22> | 20 | 40 | A_FSB<8> | 6 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 43 | A_FSB<10> | 8 | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 49 | ALE1 | NULL | 50 | A_FSB<18> | 16 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 51 | fsb/ASrf | NULL | 52 | A_FSB<11> | 9 | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | cnt/LTimerTC | NULL | 2 | ram/RS_FSM_FFd2 | NULL | 3 | cnt/LTimer<0> | NULL | 4 | ram/RS_FSM_FFd1 | NULL | 6 | cnt/INITS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 7 | cnt/Er<0> | NULL | 8 | nAS_FSB | 32 | 9 | A_FSB<18> | 16 | 11 | cnt/LTimer<2> | NULL | 12 | cnt/LTimer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 13 | cnt/INITS_FSM_FFd2 | NULL | 14 | IONPReady | NULL | 15 | IODONE | NULL | 16 | E | 25 | 17 | cnt/Timer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 18 | cnt/TimerTC | NULL | 21 | A_FSB<19> | 17 | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 32 | IOPWReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 34 | fsb/ASrf | NULL | 36 | A_FSB<23> | 24 | 39 | A_FSB<22> | 20 | 41 | cnt/Er<1> | NULL | 45 | cnt/Timer<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 47 | A_FSB<21> | 19 | 48 | cnt/Timer<0> | NULL | 50 | RefUrg | NULL | 52 | cnt/nIPL2r | NULL -FB_IMUX_INDEX | FOOBAR3_ | 36 | 210 | 110 | 129 | 4 | 214 | 78 | 43 | 44 | 81 | 46 | -1 | 48 | 13 | 206 | 51 | 208 | 107 | -1 | -1 | -1 | 222 | -1 | -1 | -1 | -1 | -1 | -1 | 256 | -1 | 226 | -1 | -1 | 268 | 216 | -1 | 240 | -1 | -1 | 230 | 194 | -1 | -1 | 198 | -1 | -1 | 212 | 228 | -1 | 103 | 218 | 40 | 202 | 196 +FB_IMUX_INDEX | FOOBAR3_ | 72 | -1 | 128 | 111 | 40 | -1 | 42 | 43 | 268 | 218 | -1 | 47 | 48 | 49 | 68 | 15 | 242 | 35 | 45 | -1 | -1 | 222 | -1 | -1 | -1 | -1 | -1 | -1 | 256 | -1 | 226 | -1 | 138 | -1 | 129 | -1 | 240 | -1 | -1 | 230 | -1 | 114 | -1 | -1 | -1 | 51 | -1 | 228 | 120 | -1 | 53 | -1 | 33 | -1 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cnt/LTimer<0> | NULL | 1 | AoutOE | NULL | 2 | A_FSB<21> | 19 | 3 | cnt/LTimer<9> | NULL | 4 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 6 | nRESout | NULL | 7 | iobm/DoutOE | NULL | 8 | nAS_FSB | 32 | 9 | cnt/TimerTC | NULL | 10 | cnt/INITS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 11 | cnt/Er<0> | NULL | 12 | cnt/LTimer<3> | NULL | 13 | cnt/LTimer<12> | NULL | 14 | cnt/LTimer<2> | NULL | 15 | cnt/Timer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 16 | cnt/Timer<2> | NULL | 17 | RefUrg | NULL | 19 | A_FSB<20> | 18 | 28 | nWE_FSB | 29 | 29 | cnt/LTimer<11> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 30 | cnt/LTimer<6> | NULL | 32 | cnt/LTimer<4> | NULL | 33 | cnt/LTimer<1> | NULL | 36 | A_FSB<23> | 24 | 38 | cnt/Er<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 40 | cnt/LTimer<10> | NULL | 42 | nBR_IOB_OBUF | NULL | 43 | cnt/LTimer<5> | NULL | 44 | fsb/VPA | NULL | 47 | cnt/INITS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 49 | cnt/LTimer<7> | NULL | 52 | cnt/LTimer<8> | NULL | 53 | cnt/Timer<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | iobm/IOWRREQr | NULL | 1 | AoutOE | NULL | 2 | nRESout | NULL | 3 | fsb/ASrf | NULL | 4 | iobs/IOACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | iobs/IODONEr<0> | NULL | 8 | iobs/TS_FSM_FFd1 | NULL | 10 | cs/nOverlay | NULL | 11 | IOWRREQ | NULL | 13 | iobs/IORW1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 14 | nBR_IOB_OBUF | NULL | 15 | iobs/TS_FSM_FFd2 | NULL | 16 | IORDREQ | NULL | 17 | iobs/Sent | NULL | 19 | iobm/DoutOE | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 26 | cnt/INITS_FSM_FFd2 | NULL | 28 | nWE_FSB | 29 | 29 | iobm/IOS0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 30 | A_FSB<20> | 18 | 31 | IONPReady | NULL | 33 | cnt/INITS_FSM_FFd1 | NULL | 36 | nAS_FSB | 32 | 39 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 44 | iobm/IORDREQr | NULL | 47 | A_FSB<21> | 19 | 49 | ALE1 | NULL | 50 | A_FSB<18> | 16 -FB_IMUX_INDEX | FOOBAR4_ | 108 | 55 | 228 | 111 | 230 | -1 | 60 | 97 | 268 | 63 | 136 | 11 | 120 | 67 | 122 | 69 | 70 | 71 | -1 | 226 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 256 | 124 | 141 | -1 | 138 | 123 | -1 | -1 | 240 | -1 | 62 | -1 | 125 | -1 | 140 | 139 | 36 | -1 | -1 | 142 | -1 | 117 | -1 | -1 | 114 | 65 +FB_IMUX_INDEX | FOOBAR4_ | 108 | 55 | 56 | 129 | 94 | 41 | -1 | -1 | 62 | -1 | 10 | 65 | -1 | 67 | 140 | 69 | 70 | 71 | -1 | 123 | -1 | 222 | -1 | 240 | -1 | -1 | 49 | -1 | 256 | 124 | 226 | 68 | -1 | 42 | -1 | -1 | 268 | -1 | -1 | 230 | -1 | -1 | -1 | -1 | 110 | -1 | -1 | 228 | -1 | 103 | 218 | -1 | -1 | -1 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | nLDS_FSB | 30 | 1 | A_FSB<14> | 12 | 2 | iobs/IOACTr | NULL | 3 | A_FSB<15> | 13 | 4 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | A_FSB<5> | 2 | 8 | A_FSB<3> | 96 | 9 | iobs/DTACKEN | NULL | 10 | A_FSB<6> | 3 | 12 | A_FSB<4> | 97 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 13 | ALE1 | NULL | 14 | A_FSB<7> | 4 | 15 | iobs/IOL1 | NULL | 16 | IORW0 | NULL | 17 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 19 | A_FSB<20> | 18 | 20 | iobs/Sent | NULL | 21 | A_FSB<19> | 17 | 24 | A_FSB<22> | 20 | 28 | nWE_FSB | 29 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 33 | nAS_FSB | 32 | 34 | A_FSB<17> | 15 | 36 | A_FSB<23> | 24 | 37 | A_FSB<13> | 11 | 39 | iobs/IORW1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 42 | A_FSB<12> | 10 | 44 | cs/nOverlay | NULL | 45 | A_FSB<18> | 16 | 47 | A_FSB<21> | 19 | 48 | iobs/TS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 50 | iobs/TS_FSM_FFd2 | NULL | 53 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | A_FSB<20> | 18 | 2 | cnt/LTimer<9> | NULL | 3 | cnt/LTimer<8> | NULL | 4 | A_FSB<22> | 20 | 5 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | cnt/LTimer<7> | NULL | 7 | cnt/LTimer<6> | NULL | 8 | nAS_FSB | 32 | 9 | cnt/LTimer<5> | NULL | 10 | cnt/LTimer<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 12 | cnt/LTimer<4> | NULL | 13 | A_FSB<19> | 17 | 14 | A_FSB<12> | 10 | 15 | cnt/LTimer<12> | NULL | 16 | cnt/LTimer<11> | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 17 | cnt/LTimer<10> | NULL | 23 | A_FSB<23> | 24 | 24 | cnt/LTimer<1> | NULL | 28 | nWE_FSB | 29 | 38 | A_FSB<11> | 9 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 39 | cs/nOverlay | NULL | 41 | cnt/Er<1> | NULL | 44 | A_FSB<13> | 11 | 45 | A_FSB<21> | 19 | 47 | cnt/TimerTC | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 48 | cnt/LTimer<2> | NULL | 49 | cnt/Er<0> | NULL | 51 | cnt/LTimer<0> | NULL | 52 | ram/CAS | NULL -FB_IMUX_INDEX | FOOBAR5_ | 260 | 210 | 38 | 212 | 40 | -1 | 182 | -1 | 168 | 81 | 186 | -1 | 172 | 103 | 190 | 15 | 88 | 143 | -1 | 226 | 48 | 222 | -1 | -1 | 230 | -1 | -1 | -1 | 256 | -1 | -1 | -1 | -1 | 268 | 216 | -1 | 240 | 208 | -1 | 74 | -1 | -1 | 206 | -1 | 110 | 218 | -1 | 228 | 13 | -1 | 78 | -1 | -1 | 214 +FB_IMUX_INDEX | FOOBAR5_ | 226 | -1 | 74 | 75 | 230 | 214 | 78 | 79 | 268 | 81 | 46 | -1 | 84 | 222 | 206 | 87 | 88 | 89 | -1 | -1 | -1 | -1 | -1 | 240 | 48 | -1 | -1 | -1 | 256 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 202 | 10 | -1 | 114 | -1 | -1 | 208 | 228 | -1 | 45 | 47 | 43 | -1 | 111 | 142 | -1 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | IOL0 | NULL | 1 | nVMA_IOBout | NULL | 2 | ALE0M | NULL | 3 | iobs/Clear1 | NULL | 4 | iobm/ES<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/RESrf | NULL | 6 | iobm/Er2 | NULL | 7 | iobm/Er | NULL | 8 | iobm/DTACKrf | NULL | 9 | iobm/BERRrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 12 | iobm/ES<4> | NULL | 13 | ALE1 | NULL | 15 | iobm/ES<2> | NULL | 16 | iobm/IOS_FSM_FFd1 | NULL | 17 | IOU0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 20 | iobm/IOS_FSM_FFd3 | NULL | 24 | iobm/ETACK | NULL | 32 | iobm/ES<0> | NULL | 33 | iobs/Load1 | NULL | 37 | ALE0S | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 39 | iobm/ES<1> | NULL | 40 | iobm/IOREQr | NULL | 46 | iobm/VPAr | NULL | 47 | iobm/IOS_FSM_FFd2 | NULL | 48 | C8M | 23 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 49 | IORW0 | NULL | 51 | AoutOE | NULL | 53 | IOACT | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 2 | iobm/IORDREQr | NULL | 3 | iobs/Clear1 | NULL | 5 | iobm/IOS_FSM_FFd5 | NULL | 6 | iobm/IOS_FSM_FFd4 | NULL | 7 | iobm/IOS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 8 | nLDS_IOBout | NULL | 9 | iobm/C8Mr | NULL | 10 | nUDS_IOBout | NULL | 12 | C8M | 23 | 13 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 14 | iobm/VPAr | NULL | 15 | iobm/IOS_FSM_FFd7 | NULL | 17 | ALE0M | NULL | 18 | ALE0S | NULL | 24 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 34 | IOL0 | NULL | 39 | iobm/IOS_FSM_FFd3 | NULL | 40 | IOACT | NULL | 41 | iobm/ES<2> | NULL | 43 | iobm/IOS_FSM_FFd6 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 44 | nVMA_IOBout | NULL | 45 | IOU0 | NULL | 46 | iobs/Load1 | NULL | 48 | iobm/ES<3> | NULL | 49 | iobm/ES<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 50 | iobm/IOWRREQr | NULL | 51 | AoutOE | NULL | 52 | iobm/ES<0> | NULL -FB_IMUX_INDEX | FOOBAR6_ | 72 | 91 | 92 | 39 | 94 | 5 | 6 | 7 | 8 | 9 | -1 | -1 | 102 | 103 | -1 | 105 | 16 | 17 | -1 | -1 | 93 | -1 | -1 | -1 | 90 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 96 | 42 | -1 | -1 | -1 | 41 | -1 | 95 | 35 | -1 | -1 | -1 | -1 | -1 | 34 | 99 | 238 | 88 | -1 | 55 | -1 | 107 +FB_IMUX_INDEX | FOOBAR6_ | -1 | -1 | 110 | 57 | -1 | 95 | 96 | 97 | 98 | 99 | 100 | -1 | 238 | 103 | 32 | 105 | -1 | 107 | 132 | -1 | -1 | -1 | -1 | -1 | 117 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 17 | -1 | -1 | -1 | -1 | 122 | 125 | 11 | -1 | 102 | 91 | 16 | 66 | -1 | 13 | 14 | 108 | 55 | 12 | -1 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | A_FSB<20> | 18 | 2 | A_FSB<8> | 6 | 4 | fsb/ASrf | NULL | 6 | cnt/LTimer<8> | NULL | 7 | A_FSB<17> | 15 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 8 | cnt/Er<1> | NULL | 9 | cnt/LTimer<7> | NULL | 10 | A_FSB<11> | 9 | 11 | cs/ODCSr | NULL | 12 | cnt/LTimer<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 13 | cnt/LTimer<5> | NULL | 14 | A_FSB<23> | 24 | 15 | cnt/LTimer<1> | NULL | 16 | nRES | 91 | 17 | cnt/LTimer<10> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 19 | A_FSB<2> | 95 | 21 | A_FSB<19> | 17 | 24 | A_FSB<22> | 20 | 25 | cnt/LTimer<2> | NULL | 30 | cnt/LTimer<6> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 33 | nAS_FSB | 32 | 36 | cnt/TimerTC | NULL | 39 | A_FSB<1> | 94 | 41 | cnt/Er<0> | NULL | 43 | A_FSB<10> | 8 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 44 | cs/nOverlay | NULL | 45 | A_FSB<18> | 16 | 47 | A_FSB<21> | 19 | 48 | cnt/LTimer<3> | NULL | 49 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 50 | cnt/LTimer<0> | NULL | 51 | cnt/LTimer<9> | NULL | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | iobm/IOWRREQr | NULL | 1 | AoutOE | NULL | 3 | A_FSB<15> | 13 | 4 | A_FSB<9> | 7 | 6 | cnt/Er<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | cnt/Er<0> | NULL | 9 | iobm/IOS_FSM_FFd2 | NULL | 12 | iobm/IOS_FSM_FFd6 | NULL | 14 | iobm/IOS_FSM_FFd3 | NULL | 15 | iobm/DoutOE | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 16 | iobm/IOS0 | NULL | 17 | IOACT | NULL | 18 | cnt/TimerTC | NULL | 32 | iobm/IOS_FSM_FFd4 | NULL | 35 | A_FSB<14> | 12 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 37 | IORDREQ | NULL | 38 | iobm/IOS_FSM_FFd7 | NULL | 39 | iobm/IOS_FSM_FFd5 | NULL | 42 | iobm/IOS_FSM_FFd1 | NULL | 43 | A_FSB<10> | 8 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 44 | iobm/IORDREQr | NULL | 45 | A_FSB<18> | 16 | 46 | IOBERR | NULL | 47 | iobm/C8Mr | NULL | 48 | cnt/Timer<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 49 | A_FSB<17> | 15 | 50 | IODONE | NULL | 53 | IOWRREQ | NULL -FB_IMUX_INDEX | FOOBAR7_ | 226 | -1 | 194 | -1 | 40 | -1 | 114 | 216 | 62 | 117 | 202 | 47 | 138 | 139 | 240 | 123 | 148 | 125 | -1 | 164 | -1 | 222 | -1 | -1 | 230 | 122 | -1 | -1 | -1 | -1 | 141 | -1 | -1 | 268 | -1 | -1 | 63 | -1 | -1 | 156 | -1 | 11 | -1 | 198 | 110 | 218 | -1 | 228 | 120 | 143 | 108 | 111 | -1 | 196 +FB_IMUX_INDEX | FOOBAR7_ | 108 | 55 | -1 | 212 | 196 | -1 | 114 | 43 | -1 | 117 | -1 | -1 | 102 | -1 | 122 | 123 | 124 | 125 | 45 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 96 | -1 | -1 | 210 | -1 | 70 | 105 | 95 | -1 | -1 | 97 | 198 | 110 | 218 | 34 | 99 | 120 | 216 | 15 | -1 | -1 | 65 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | ram/RefUrg | NULL | 2 | ram/RefReq | NULL | 3 | ram/RefRAS | NULL | 6 | ram/RS_FSM_FFd2 | NULL | 8 | nAS_FSB | 32 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 9 | cnt/TimerTC | NULL | 10 | cnt/nIPL2r | NULL | 11 | cnt/Er<0> | NULL | 12 | cnt/LTimerTC | NULL | 13 | A_FSB<19> | 17 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 14 | cnt/LTimer<2> | NULL | 15 | nBERR_IOB | 76 | 16 | cnt/INITS_FSM_FFd1 | NULL | 17 | ram/BACTr | NULL | 23 | A_FSB<23> | 24 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 27 | nUDS_FSB | 33 | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 30 | iobs/DTACKEN | NULL | 32 | cnt/LTimer<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 33 | cnt/LTimer<1> | NULL | 36 | cnt/INITS_FSM_FFd2 | NULL | 37 | ram/RAMEN | NULL | 38 | cnt/Er<1> | NULL | 39 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 40 | ram/RS_FSM_FFd1 | NULL | 42 | nBR_IOB_OBUF | NULL | 43 | cnt/LTimer<5> | NULL | 44 | cs/nOverlay | NULL | 48 | cnt/LTimer<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 50 | cnt/LTimer<0> | NULL | 51 | fsb/ASrf | NULL | 53 | ram/RS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | ram/BACTr | NULL | 3 | ram/RS_FSM_FFd4 | NULL | 6 | cnt/INITS_FSM_FFd1 | NULL | 8 | ram/RefDone | NULL | 11 | nBERR_FSB_OBUF.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 12 | iobs/Load1 | NULL | 13 | cnt/INITS_FSM_FFd2 | NULL | 14 | RefReq | NULL | 15 | cnt/nIPL2r | NULL | 16 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 17 | RefUrg | NULL | 18 | A_FSB<19> | 17 | 21 | ram/RAMEN | NULL | 27 | ram/RS_FSM_FFd3 | NULL | 28 | nWE_FSB | 29 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 29 | nLDS_FSB | 30 | 30 | ram/RS_FSM_FFd8 | NULL | 32 | IOPWReady | NULL | 34 | fsb/ASrf | NULL | 35 | ram/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 36 | nAS_FSB | 32 | 37 | ram/RS_FSM_FFd2 | NULL | 39 | nBR_IOB_OBUF | NULL | 42 | iobs/Clear1 | NULL | 43 | ram/RS_FSM_FFd6 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 47 | iobs/Sent | NULL | 49 | ALE1 | NULL | 50 | iobs/TS_FSM_FFd2 | NULL | 51 | nUDS_FSB | 33 -FB_IMUX_INDEX | FOOBAR8_ | 0 | -1 | 2 | 3 | -1 | -1 | 132 | -1 | 268 | 63 | 10 | 11 | 66 | 222 | 122 | 175 | 142 | 53 | -1 | -1 | -1 | -1 | -1 | 240 | -1 | -1 | -1 | 272 | 256 | 260 | 81 | -1 | 138 | 123 | -1 | -1 | 136 | 128 | 62 | 230 | 135 | -1 | 140 | 139 | 110 | -1 | -1 | -1 | 120 | -1 | 108 | 40 | -1 | 134 +FB_IMUX_INDEX | FOOBAR8_ | 54 | -1 | -1 | 39 | -1 | -1 | 42 | -1 | 134 | -1 | -1 | 137 | 66 | 49 | 50 | 33 | 34 | 53 | 222 | -1 | -1 | 143 | -1 | -1 | -1 | -1 | -1 | 126 | 256 | 260 | 141 | -1 | 138 | -1 | 129 | 60 | 268 | 128 | -1 | 140 | -1 | -1 | 57 | 139 | -1 | -1 | -1 | 71 | -1 | 103 | 69 | 272 | -1 | -1 GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml index 8cc4c2a..5730256 100644 --- a/cpld/XC95144XL/WarpSE.xml +++ b/cpld/XC95144XL/WarpSE.xml @@ -1,3 +1,3 @@ -WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'A_FSB<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<4>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<5>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<6>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<7>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<8>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt index f04b192..131bb55 100644 --- a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt +++ b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -66,34 +66,38 @@
- - - + + + - - - - - + + + + + + + - - + + + - +
- - - + + + - + - + - - + + + - +
diff --git a/cpld/XC95144XL/WarpSE_pad.csv b/cpld/XC95144XL/WarpSE_pad.csv index 253f4fb..b71f822 100644 --- a/cpld/XC95144XL/WarpSE_pad.csv +++ b/cpld/XC95144XL/WarpSE_pad.csv @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 4- 1-2023 8:21AM + 4- 7-2023 0:29AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The comma ',' @@ -19,11 +19,11 @@ Pinout by Pin Number: -----,-----,-----,-----,-----,-----,-----,-----,-----,-----, Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,{blank},Slew Rate,Termination,{blank},Voltage,Constraint, P1,TIE,,I/O/GTS3,,,,,,,,,, -P2,A_FSB<5>,I,I/O/GTS4,INPUT,,,,,,,,, -P3,A_FSB<6>,I,I/O/GTS1,INPUT,,,,,,,,, -P4,A_FSB<7>,I,I/O/GTS2,INPUT,,,,,,,,, +P2,TIE,,I/O/GTS4,,,,,,,,,, +P3,TIE,,I/O/GTS1,,,,,,,,,, +P4,TIE,,I/O/GTS2,,,,,,,,,, P5,VCC,,VCCINT,,,,,,,,,, -P6,A_FSB<8>,I,I/O,INPUT,,,,,,,,, +P6,TIE,,I/O,,,,,,,,,, P7,A_FSB<9>,I,I/O,INPUT,,,,,,,,, P8,A_FSB<10>,I,I/O,INPUT,,,,,,,,, P9,A_FSB<11>,I,I/O,INPUT,,,,,,,,, @@ -111,10 +111,10 @@ P90,nDinOE,O,I/O,OUTPUT,,,,,,,,, P91,nRES,I/O,I/O,BIDIR,,,,,,,,, P92,nIPL2,I,I/O,INPUT,,,,,,,,, P93,nVPA_FSB,O,I/O,OUTPUT,,,,,,,,, -P94,A_FSB<1>,I,I/O,INPUT,,,,,,,,, -P95,A_FSB<2>,I,I/O,INPUT,,,,,,,,, -P96,A_FSB<3>,I,I/O,INPUT,,,,,,,,, -P97,A_FSB<4>,I,I/O,INPUT,,,,,,,,, +P94,TIE,,I/O,,,,,,,,,, +P95,TIE,,I/O,,,,,,,,,, +P96,TIE,,I/O,,,,,,,,,, +P97,TIE,,I/O,,,,,,,,,, P98,VCC,,VCCINT,,,,,,,,,, P99,TIE,,I/O/GSR,,,,,,,,,, P100,GND,,GND,,,,,,,,,, diff --git a/cpld/XC95144XL/WarpSE_summary.html b/cpld/XC95144XL/WarpSE_summary.html index 33359b4..bb84e1d 100644 --- a/cpld/XC95144XL/WarpSE_summary.html +++ b/cpld/XC95144XL/WarpSE_summary.html @@ -2,7 +2,7 @@
- + @@ -25,7 +25,7 @@ No Errors - + @@ -65,9 +65,9 @@ System Settings - - - + + +
WarpSE Project Status (04/01/2023 - 08:21:58)
WarpSE Project Status (04/02/2023 - 23:02:28)
Project File: WarpSE.xise
Product Version:ISE 14.7
  • Warnings:
4 Warnings (0 new)22 Warnings (0 new)
Design Goal:
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentSat Apr 1 08:21:27 202304 Warnings (0 new)0
Translation ReportCurrentSat Apr 1 08:21:33 2023000
CPLD Fitter Report (Text)CurrentSat Apr 1 08:21:50 202305 Warnings (1 new)3 Infos (3 new)
Synthesis ReportCurrentFri Apr 7 00:28:53 2023022 Warnings (0 new)0
Translation ReportCurrentFri Apr 7 00:28:58 2023000
CPLD Fitter Report (Text)CurrentFri Apr 7 00:29:10 2023013 Warnings (1 new)3 Infos (3 new)
Power Report     
 
@@ -77,5 +77,5 @@ System Settings
-
Date Generated: 04/01/2023 - 08:21:58
+
Date Generated: 04/07/2023 - 00:31:39
\ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE_xst.xrpt b/cpld/XC95144XL/WarpSE_xst.xrpt index 83b250e..6dbf728 100644 --- a/cpld/XC95144XL/WarpSE_xst.xrpt +++ b/cpld/XC95144XL/WarpSE_xst.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -76,11 +76,11 @@
- + - - - + + + @@ -89,10 +89,10 @@
- + - - + +
@@ -112,29 +112,31 @@
- - - - - - - + + + + + + + - + - - - + + + + + - - + +
- +
diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst index 9299996..97226a7 100644 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -1,2 +1,2 @@ -C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1680351687 +C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1680841732 OK diff --git a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs index b14994b..dcc5124 100644 --- a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs +++ b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs @@ -17,6 +17,30 @@ Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. +Removing unused input(s) 'A_FSB<1>'. The input(s) are unused after optimization. Please verify functionality via simulation. + + +Removing unused input(s) 'A_FSB<2>'. The input(s) are unused after optimization. Please verify functionality via simulation. + + +Removing unused input(s) 'A_FSB<3>'. The input(s) are unused after optimization. Please verify functionality via simulation. + + +Removing unused input(s) 'A_FSB<4>'. The input(s) are unused after optimization. Please verify functionality via simulation. + + +Removing unused input(s) 'A_FSB<5>'. The input(s) are unused after optimization. Please verify functionality via simulation. + + +Removing unused input(s) 'A_FSB<6>'. The input(s) are unused after optimization. Please verify functionality via simulation. + + +Removing unused input(s) 'A_FSB<7>'. The input(s) are unused after optimization. Please verify functionality via simulation. + + +Removing unused input(s) 'A_FSB<8>'. The input(s) are unused after optimization. Please verify functionality via simulation. + + Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/_xmsgs/xst.xmsgs b/cpld/XC95144XL/_xmsgs/xst.xmsgs index c9a0bc6..9ccdbfa 100644 --- a/cpld/XC95144XL/_xmsgs/xst.xmsgs +++ b/cpld/XC95144XL/_xmsgs/xst.xmsgs @@ -5,7 +5,28 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -"../WarpSE.v" line 141 Connection to input port 'Ready2' does not match port size +"../WarpSE.v" line 150 Connection to input port 'QoSReady' does not match port size + + +Input <A<17:8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Signal <VidRAMCSWR> is assigned but never used. This unconnected signal will be trimmed during the optimization process. + + +Signal <IODONEr<1>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. + + +Input <QoSCS> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <QoSReady> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <RAMCS> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <RAMReady> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <SW> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. @@ -14,7 +35,40 @@ Input <nBG_IOB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. -Signal <SndRAMCSWR> is assigned but never used. This unconnected signal will be trimmed during the optimization process. +FF/Latch <0> has a constant value of 0 in block <FFd5>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <0> has a constant value of 0 in block <FFd7>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <0> has a constant value of 0 in block <RASEL>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <0> has a constant value of 0 in block <RASrf>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latches <FFd5> is unconnected in block <FSM>. + + +FF/Latches <FFd7> is unconnected in block <FSM>. + + +FF/Latch <RAMReady> (without init value) has a constant value of 0 in block <RAM>. This FF/Latch will be trimmed during the optimization process. + + +Node <IODONEr_1> of sequential type is unconnected in block <IOBS>. + + +FF/Latch <RASEL> has a constant value of 0 in block <ram>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <RS_FSM_FFd7> has a constant value of 0 in block <ram>. This FF/Latch will be trimmed during the optimization process. + + +Due to other FF/Latch trimming, FF/Latch <RASrf> has a constant value of 0 in block <ram>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <RS_FSM_FFd5> has a constant value of 0 in block <ram>. This FF/Latch will be trimmed during the optimization process. diff --git a/cpld/XC95144XL/iseconfig/WarpSE.xreport b/cpld/XC95144XL/iseconfig/WarpSE.xreport index 3d18f72..7b91447 100644 --- a/cpld/XC95144XL/iseconfig/WarpSE.xreport +++ b/cpld/XC95144XL/iseconfig/WarpSE.xreport @@ -1,9 +1,9 @@
- 2023-04-01T07:54:43 + 2023-04-07T00:31:41 WarpSE - Unknown + 2023-04-02T23:02:28 C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\ 2023-03-26T03:17:53 diff --git a/cpld/XC95144XL/webtalk_pn.xml b/cpld/XC95144XL/webtalk_pn.xml index 73ab2d6..e8476fd 100644 --- a/cpld/XC95144XL/webtalk_pn.xml +++ b/cpld/XC95144XL/webtalk_pn.xml @@ -3,7 +3,7 @@ - +
@@ -12,7 +12,6 @@ This means code written to parse this file will need to be revisited each subseq
- @@ -21,7 +20,6 @@ This means code written to parse this file will need to be revisited each subseq - diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd index 941d689..6f3fb76 100644 Binary files a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd and b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd differ diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref index 2560caa..b5cdc19 100644 --- a/cpld/XC95144XL/xst/work/hdllib.ref +++ b/cpld/XC95144XL/xst/work/hdllib.ref @@ -1,7 +1,7 @@ -MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1680351683 -MO CS NULL ../CS.v vlg22/_c_s.bin 1680351683 -MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1680351683 -MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1680351683 -MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1680351683 -MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1680351683 -MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1680351683 +MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1680841728 +MO CS NULL ../CS.v vlg22/_c_s.bin 1680841728 +MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1680841728 +MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1680841728 +MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1680841728 +MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1680841728 +MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1680841728 diff --git a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin index 2f54451..ee4b044 100644 Binary files a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin and b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin index dcc4a58..a9cf01f 100644 Binary files a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin and b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin index a68e394..2fea2b9 100644 Binary files a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin and b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin b/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin index c8afcb0..228efe3 100644 Binary files a/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin and b/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin index 76ae572..4c6559d 100644 Binary files a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin and b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin index fe8c7c0..afabb32 100644 Binary files a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin and b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin index a9a9512..ef2311d 100644 Binary files a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin and b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin differ