diff --git a/cpld/CNT.v b/cpld/CNT.v index 28b6615..bb3c949 100644 --- a/cpld/CNT.v +++ b/cpld/CNT.v @@ -1,22 +1,25 @@ module CNT( - /* C8M clock input */ - input C8M, input E, input Er, + /* FSB clock and E clock inputs */ + input CLK, input E, /* Refresh request */ - output reg RefReq, output RefUrgent, - /* Reset, switch, button */ - input [3:1] SW, output reg nRESout, input nIPL2, + output reg RefReq, output RefUrg, + /* Reset, button */ + output reg nRESout, input nIPL2, /* Mac PDS bus master control outputs */ - output reg AoutOE, output reg nBR_IOB, - /* Configuration outputs */ - output C20MEN, output C25MEN, output FastROMEN); + output reg AoutOE, output reg nBR_IOB); + + /* E clock synchronization */ + reg [1:0] Er; + wire EFall = Er[1] && !Er[0]; + always @(posedge CLK) Er[1:0] <= { Er[0], E }; /* Timer counts from 0 to 1010 (10) -- 11 states == 14.042 us * Refresh timer sequence - * | Timer | RefReq | RefUrgent | + * | Timer | RefReq | RefUrg | * |------------------------------| * | 0 0000 | 0 | 0 | * | 1 0001 | 0 | 0 | - * | 2 0010 | 0 | 0 | + * | 2 0010 | 1 | 0 | * | 3 0011 | 1 | 0 | * | 4 0100 | 1 | 0 | * | 5 0101 | 1 | 0 | @@ -29,60 +32,52 @@ module CNT( */ reg [3:0] Timer = 0; reg TimerTC; - assign RefUrgent = Timer[3]; - always @(negedge C8M) begin - if (Er && !E) begin - TimerTC <= Timer[3:0]==4'h8; + always @(posedge CLK) begin + if (EFall) begin if (TimerTC) Timer <= 0; else Timer <= Timer+1; - RefReq <= Timer[3:0]==4'h2 || - Timer[3:0]==4'h3 || Timer[3:0]==4'h4 || Timer[3:0]==4'h5 || - Timer[3:0]==4'h6 || Timer[3:0]==4'h7 || Timer[3:0]==4'h8; + RefReq <= !(Timer==4'h0 || Timer==4'h1); + TimerTC <= Timer[3:0]==4'h9; + end + end + assign RefUrg = Timer[3]; + + /* Long timer counts from 0 to 8191 -- 8192 states == 115.033 ms */ + reg [12:0] LTimer; + reg LTimerTC; + always @(posedge CLK) begin + if (EFall && TimerTC) begin + LTimer <= LTimer+1; + LTimerTC <= LTimer[12:0]==13'h1FFE; end end - /* Long timer counts from 0 to 8192 -- 8193 states == 115.046 ms */ - reg [13:0] LTimer; - wire LTimerTC = LTimer[13]; - always @(negedge C8M) begin - if (Er && !E) && TimerTC begin - if (LTimerTC) LTimer <= 0; - else LTimer <= LTimer+1; - end - end + reg nIPL2r; always @(posedge CLK) nIPL2r <= nIPL2; /* Startup sequence control */ reg [1:0] INITS = 0; - assign nAoutOE = !AoutOE; - always @(negedge C8M) begin - case (INITS) - 0: begin + wire INITSTC = EFall && TimerTC && LTimerTC; + always @(posedge CLK) begin + case (INITS[1:0]) + 2'h0: begin AoutOE <= 0; // Tristate PDS address and control nRESout <= 0; // Hold reset low nBR_IOB <= 0; // Default to request bus - if (LTimerTC) INITS <= 1; - else INITS <= 0; - end 1: begin - AoutOE <= 0; // Tristate PDS address and control - nRESout <= 0; // Hold reset low - nBR_IOB <= nBR_IOB | !nIPL2; // Disable bus request if NMI pressed - if (LTimerTC && !IPL2r) INITS <= 2; - end 2: begin - AoutOE <= 0; // Tristate PDS address and control - nRESout <= 0; // Hold reset low - if (LTimerTC) INITS <= 3; - end 3: begin - AoutOE <= !nBR_IOB; // Get on PDS bus if bus was requested + if (INITSTC) INITS <= 1; + end 2'h1: begin + AoutOE <= 0; + nRESout <= 0; + nBR_IOB <= !(!nBR_IOB && nIPL2r); // Disable bus request if NMI pressed + if (INITSTC && nIPL2r) INITS <= 2; + end 2'h2: begin + AoutOE <= !nBR_IOB; + nRESout <= 0; + if (INITSTC) INITS <= 3; + end 2'h3: begin nRESout <= 1; // Release reset INITS <= 3; end endcase end - // Enable both oscillators... only mount one - assign C20MEN = 1; - assign C25MEN = 1; - // Enable fast ROM - assign FastROMEN = 1; - endmodule diff --git a/cpld/CS.v b/cpld/CS.v index 0e43d03..f4a39dc 100644 --- a/cpld/CS.v +++ b/cpld/CS.v @@ -1,32 +1,23 @@ module CS( - /* Setting input */ - input FastROMEN, /* MC68HC000 interface */ input [23:08] A, input CLK, input nRES, input nWE, /* AS cycle detection */ input BACT, /* Device select outputs */ - output IOCS, output SCSICS, output IOPWCS, output IACS, output ROMCS, output RAMCS, output SndRAMCSWR); + output IOCS, output IOPWCS, output IACS, output ROMCS, output RAMCS, output SndRAMCSWR); /* Overlay control */ - reg nOverlay0 = 0; - reg nOverlay1 = 0; - wire Overlay = ~nOverlay1; - wire ODCS = A[23:20]==4'h4; // Disable overlay + reg nOverlay = 0; + wire Overlay = ~nOverlay; + wire ODCS = (A[23:20]==4'h4); // Disable overlay always @(posedge CLK, negedge nRES) begin - if (~nRES) nOverlay0 <= 0; - else if (BACT && ODCS) nOverlay0 <= 1; - end - always @(posedge CLK) begin - if (~BACT) nOverlay1 <= nOverlay0; + if (!nRES) nOverlay <= 0; + else if (BACT && ODCS) nOverlay <= 1; end /* Select signals - FSB domain */ - wire RAMCS_OverlayOff = A[23:22]==2'b00; - wire RAMCS_OverlayOn = A[23:21]==3'b011; - assign RAMCS = (RAMCS_OverlayOff && !Overlay) || // 000000-3FFFFF when overlay disabled - (RAMCS_OverlayOn && Overlay); // 600000-7FFFFF when overlay enabled - wire VidRAMCSWR64k = RAMCS && A[21:20]==2'h3 && A[19:16]==4'hF && ~nWE; // 3F0000-3FFFFF / 7F0000-7FFFFF + assign RAMCS = (A[23:22]==2'b00) && !Overlay; // 000000-3FFFFF when overlay disabled + wire VidRAMCSWR64k = RAMCS && (A[21:20]==2'h3) && (A[19:16]==4'hF) && ~nWE; // 3F0000-3FFFFF / 7F0000-7FFFFF wire VidRAMCSWR = VidRAMCSWR64k && ( (A[15:12]==4'h2) || // 1792 bytes RAM, 2304 bytes video (A[15:12]==4'h3) || // 4096 bytes video @@ -41,30 +32,28 @@ module CS( (A[15:12]==4'hE) || // 4096 bytes video (A[15:12]==4'hF)); // 3200 bytes video, 128 bytes RAM (system error space), 768 bytes sound assign SndRAMCSWR = VidRAMCSWR64k && ( - (A[15:12]==4'hF && (A[11:8]==4'hD || A[11:8]==4'hE || A[11:8]==4'hF)) || - (A[15:12]==4'hA && (A[11:8]==4'h1 || A[11:8]==4'h2 || A[11:8]==4'h3))); + ((A[15:12]==4'hF) && ((A[11:8]==4'hD) || (A[11:8]==4'hE) || (A[11:8]==4'hF))) || + ((A[15:12]==4'hA) && ((A[11:8]==4'h1) || (A[11:8]==4'h2) || (A[11:8]==4'h3)))); - assign ROMCS = (A[23:20]==4'h0 && FastROMEN && Overlay) || - (A[23:20]==4'h4 && FastROMEN) || - (A[23:20]==4'hC && !FastROMEN); + assign ROMCS = ((A[23:20]==4'h0) && Overlay) || + (A[23:20]==4'h4); /* Select signals - IOB domain */ - assign IACS = A[23:08]==16'hFFFF; // IACK - assign IOCS = (A[23:20]==4'h0 && !FastROMEN && !Overlay) || - (A[23:20]==4'h4 && !FastROMEN) || // Motherboard ROM - A[23:20]==4'h5 || // SCSI - A[23:20]==4'h6 || // empty - A[23:20]==4'h7 || // empty - A[23:20]==4'h8 || // empty - A[23:20]==4'h9 || // SCC read/reset - A[23:20]==4'hA || // empty - A[23:20]==4'hB || // SCC write - (A[23:20]==4'hC && FastROMEN) || // empty / fast ROM - A[23:20]==4'hD || // IWM - A[23:20]==4'hE || // VIA - A[23:20]==4'hF || // IACK + assign IACS = (A[23:08]==16'hFFFF); // IACK + assign IOCS = + (A[23:20]==4'h5) || // SCSI + (A[23:20]==4'h6) || // empty + (A[23:20]==4'h7) || // empty + (A[23:20]==4'h8) || // empty + (A[23:20]==4'h9) || // SCC read/reset + (A[23:20]==4'hA) || // empty + (A[23:20]==4'hB) || // SCC write + (A[23:20]==4'hC) || // empty / fast ROM + (A[23:20]==4'hD) || // IWM + (A[23:20]==4'hE) || // VIA + (A[23:20]==4'hF) || // IACK VidRAMCSWR; - assign SCSICS = A[23:20]==4'h5; // SCSI - assign IOPWCS = RAMCS_OverlayOff && ~nWE; + //assign IOCS = 0; + assign IOPWCS = RAMCS && !nWE; endmodule diff --git a/cpld/IOBM.v b/cpld/IOBM.v index bebbec3..7f06623 100644 --- a/cpld/IOBM.v +++ b/cpld/IOBM.v @@ -1,6 +1,6 @@ module IOBM( /* PDS interface */ - input C16M, input C8M, input E, input Er, + input C16M, input C8M, input E, output reg nASout, output reg nLDS, output reg nUDS, output reg nVMA, input nDTACK, input nVPA, input nBERR, input nRES, /* PDS address and data latch control */ @@ -32,10 +32,12 @@ module IOBM( wire VPA = VPArr && VPArf; wire RES = RESrr && RESrf; + /* E clock synchronization */ + reg Er; always @(negedge C8M) begin Er <= E; end + reg Er2; always @(posedge C16M) begin Er2 <= Er; end + /* E clock state */ reg [4:0] ES; - reg Er2; - always @(posedge C16M) begin Er2 <= Er; end always @(posedge C16M) begin if (Er2 && ~Er) ES <= 1; else if (ES==0 || ES==19) ES <= 0; @@ -100,8 +102,8 @@ module IOBM( always @(negedge C16M) begin nDinLE = IOS==4 || IOS==5; end reg DoutOE = 0; assign nDoutOE = !(AoutOE && DoutOE); always @(posedge C16M) begin - DoutOE <= IOWE && (IOS==1 || IOS==2 || IOS==3 || - IOS==4 || IOS==5 || IOS==6); + DoutOE <= ( IOWE && (IOS==1 || IOS==2 || IOS==3 || IOS==4 || IOS==5 || IOS==6)) || + (!IOREQr && IOS==0 && AoutOE); end /* AS, DS control */ diff --git a/cpld/RAM.v b/cpld/RAM.v index 3c522a9..3beaa5e 100644 --- a/cpld/RAM.v +++ b/cpld/RAM.v @@ -6,7 +6,7 @@ module RAM( /* Select and ready signals */ input RAMCS, input ROMCS, output RAM_Ready, /* Refresh Counter Interface */ - input RefReqIn, input RefUrgentIn, + input RefReqIn, input RefUrgIn, /* DRAM and NOR flash interface */ output [11:0] RA, output nRAS, output reg nCAS, output nLWE, output nUWE, output nOE, output nROMCS, output nROMWE); @@ -22,14 +22,14 @@ module RAM( /* Refresh request synchronization */ reg RefReqSync; always @(posedge CLK) RefReqSync <= RefReqIn; - reg RegUrgentSync; always @(posedge CLK) RegUrgentSync <= RefUrgentIn; + reg RegUrgSync; always @(posedge CLK) RegUrgSync <= RefUrgIn; /* Refresh command generation */ - reg RefReq, RefUrgent; // Refresh commands + reg RefReq, RefUrg; // Refresh commands reg RefDone; // Refresh done "remember" always @(posedge CLK) begin RefReq <= RefReqSync && !RefDone; - RefUrgent <= RegUrgentSync && !RefDone; + RefUrg <= RegUrgSync && !RefDone; if (!RefReqSync) RefDone <= 0; else if (RS==2 || RS==3) RefDone <= 1; // RS2 || RS3 to save 1 input end @@ -39,16 +39,16 @@ module RAM( // Non-urgent refresh can start during first clock of non-RAM cycle ( BACT && ~BACTr && ~RAMCS && RefReq) || // Urgent refresh can start during bus idle - (~BACT && RefUrgent) || + (~BACT && RefUrg) || // Urgent refresh can start during non-ram cycle - ( BACT && ~RAMCS && RefUrgent)); + ( BACT && ~RAMCS && RefUrg)); wire RefFromRS0Pre = RS==0 && // Urgent refresh can start during long RAM cycle after RAM access done. - BACT && RAMCS && !RAMEN && RefUrgent; + BACT && RAMCS && !RAMEN && RefUrg; wire RefFromRS0 = RefFromRS0Next || RefFromRS0Pre; // Urgent refresh cannot start when BACT and RAMCS and RAMEN, // since /RAS has already been asserted. For this we wait for RS7. - wire RefFromRS7 = RS==7 && RefUrgent; + wire RefFromRS7 = RS==7 && RefUrg; /* RAM enable (/AS -> /RAS) */ always @(posedge CLK) begin @@ -65,8 +65,8 @@ module RAM( /* Refresh state */ reg RefRAS = 0; - assign nROMCS = ~ROMCS; - assign nRAS = ~((~nAS && RAMCS && RAMEN) || RefRAS); + assign nROMCS = !ROMCS; + assign nRAS = 1;//~((~nAS && RAMCS && RAMEN) || RefRAS); assign nOE = ~(~nAS && nWE); assign nLWE = ~(~nAS && ~nWE && ~nLDS && RAMEN); assign nUWE = ~(~nAS && ~nWE && ~nUDS && RAMEN); @@ -153,13 +153,13 @@ module RAM( RefRAS <= 0; end else if (RS==7) begin // RS7 is final state of R/W or refresh operation. - if (~BACT && RefUrgent) begin + if (~BACT && RefUrg) begin // If /AS cycle terminated and urgent refresh request, // we know /RAS has been in precharge so we can go to RS2. RS <= 2; RAMReady <= 0; RASEL <= 1; - end else if (BACT && RefUrgent) begin + end else if (BACT && RefUrg) begin // But if /AS cycle hasn't terminated and we need to refresh, // we need to go to RS1 to add additional precharge time. RS <= 1; diff --git a/cpld/WarpSE-XC95144XL.ucf b/cpld/WarpSE-XC95144XL.ucf index 510a57a..cfd467a 100644 --- a/cpld/WarpSE-XC95144XL.ucf +++ b/cpld/WarpSE-XC95144XL.ucf @@ -1,13 +1,3 @@ -#Created by Constraints Editor (xc95144xl-tq100-10) - 2022/02/07 -NET "FCLK" TNM_NET = CLK_FSB; -TIMESPEC TS_CLK_FSB = PERIOD "CLK_FSB" 25 MHz HIGH 50%; -NET "C16M" TNM_NET = CLK2X_IOB; -TIMESPEC TS_CLK2X_IOB = PERIOD "CLK2X_IOB" 15.6672 MHz HIGH 50%; -NET "C8M" TNM_NET = CLK_IOB; -TIMESPEC TS_CLK_IOB = PERIOD "CLK_IOB" 7.8336 MHz HIGH 50%; -#PACE: Start of Constraints generated by PACE - -#PACE: Start of PACE I/O Pin Assignments NET "A_FSB<10>" LOC = "P8" ; NET "A_FSB<11>" LOC = "P9" ; NET "A_FSB<12>" LOC = "P10" ; @@ -83,9 +73,3 @@ NET "RA<9>" LOC = "P56" ; NET "SW<1>" LOC = "P61" ; NET "SW<2>" LOC = "P60" ; NET "SW<3>" LOC = "P67" ; - -#PACE: Start of PACE Area Constraints - -#PACE: Start of PACE Prohibit Constraints - -#PACE: End of Constraints generated by PACE diff --git a/cpld/WarpSE.v b/cpld/WarpSE.v index d25eda1..d9980cc 100644 --- a/cpld/WarpSE.v +++ b/cpld/WarpSE.v @@ -38,36 +38,33 @@ module WarpSE( output nDinLE, input [3:1] SW, output C20MEN, - output C25MEN); + output C25MEN); + + /* FSB clock oscillator enables */ + // Enable both oscillators... only mount one + assign C20MEN = 1; + assign C25MEN = 1; /* Reset input and open-drain output */ wire nRESin = nRES; wire nRESout; assign nRES = !nRESout ? 1'b0 : 1'bZ; - /* E clock registration */ - reg Er; always @(negedge C8M) begin Er <= E; end - /* AS cycle detection */ wire BACT; /* Refresh request/ack signals */ - wire RefReq, RefUrgent; - - /* Fast ROM enable setting */ - wire FastROMEN; + wire RefReq, RefUrg; /* FSB chip select signals */ - wire IOCS, SCSICS, IOPWCS, IACS, ROMCS, RAMCS, SndRAMCSWR; + wire IOCS, IOPWCS, IACS, ROMCS, RAMCS, SndRAMCSWR; CS cs( - /* Setting input */ - FastROMEN, /* MC68HC000 interface */ A_FSB[23:08], FCLK, nRESin, nWE_FSB, /* AS cycle detection */ BACT, /* Device select outputs */ - IOCS, SCSICS, IOPWCS, IACS, ROMCS, RAMCS, SndRAMCSWR); + IOCS, IOPWCS, IACS, ROMCS, RAMCS, SndRAMCSWR); wire RAM_Ready; RAM ram( @@ -78,7 +75,7 @@ module WarpSE( /* Select and ready signals */ RAMCS, ROMCS, RAM_Ready, /* Refresh Counter Interface */ - RefReq, RefUrgent, + RefReq, RefUrg, /* DRAM and NOR flash interface */ RA[11:0], nRAS, nCAS, nRAMLWE, nRAMUWE, nOE, nROMCS, nROMWE); @@ -114,26 +111,24 @@ module WarpSE( assign nVMA_IOB = AoutOE ? nVMA_IOBout : 1'bZ; IOBM iobm( /* PDS interface */ - C16M, C8M, E, Er, + C16M, C8M, E, nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout, nDTACK_IOB, nVPA_IOB, nBERR_IOB, nRESin, /* PDS address and data latch control */ AoutOE, nDoutOE, ALE0M, nDinLE, /* IO bus slave port interface */ IOACT, IOBERR, - IOREQ, IOL0, IOU0, IORW0); + IOREQ, IOL0, IOU0, !IORW0); CNT cnt( - /* C8M and E clocks */ - C8M, E, Er, + /* FSB clock and E clock inputs */ + FCLK, E, /* Refresh request */ - RefReq, RefUrgent, - /* Reset, switch, button */ - SW[3:1], nRESout, nIPL2, + RefReq, RefUrg, + /* Reset, button */ + nRESout, nIPL2, /* Mac PDS bus master control outputs */ - AoutOE, nBR_IOB, - /* Configuration outputs */ - FastROMEN, C20MEN, C25MEN); + AoutOE, nBR_IOB); FSB fsb( /* MC68HC000 interface */ diff --git a/cpld/XC95144XL/.lso b/cpld/XC95144XL/.lso deleted file mode 100644 index 22de730..0000000 --- a/cpld/XC95144XL/.lso +++ /dev/null @@ -1 +0,0 @@ -work diff --git a/cpld/XC95144XL/CNT_summary.html b/cpld/XC95144XL/CNT_summary.html deleted file mode 100644 index 08e59ac..0000000 --- a/cpld/XC95144XL/CNT_summary.html +++ /dev/null @@ -1,81 +0,0 @@ -Xilinx Design Summary - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
MXSE Project Status (03/28/2022 - 09:28:10)
Project File:WarpSE.xiseParser Errors: No Errors
Module Name:CNTImplementation State:Fitted
Target Device:xc95144xl-10TQ100
  • Errors:
 
Product Version:ISE 14.7
  • Warnings:
 
Design Goal:Balanced
  • Routing Results:
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
 
Environment: 
  • Final Timing Score:
  
- - - - - - - - - - - - 
- - - - - - - - - - - -
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis Report     
Translation Report     
Map Report     
Place and Route Report     
CPLD Fitter Report (Text)     
Power Report     
Post-PAR Static Timing Report     
Bitgen Report     

- - - -
Secondary Reports [-]
Report NameStatusGenerated
Post-Fit Simulation Model Report  
- - -
Date Generated: 03/28/2022 - 09:28:10
- \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.bld b/cpld/XC95144XL/WarpSE.bld index 89d0346..9fcf148 100644 --- a/cpld/XC95144XL/WarpSE.bld +++ b/cpld/XC95144XL/WarpSE.bld @@ -30,7 +30,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 154596 kilobytes +Total memory usage is 154340 kilobytes Writing NGD file "WarpSE.ngd" ... Total REAL time to NGDBUILD completion: 3 sec diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index 8d7980e..e9213bc 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -1,278 +1,42 @@ -xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {Z:\Warp-SE\cpld\XC95144XL\taengine.err} -xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {Z:\Warp-SE\cpld\XC95144XL\taengine.err} -xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm --cwd "Z:/Warp-SE/cpld/XC95144XL" timingan_cpld -intstyle ise "Z:/Warp-SE/cpld/XC95144XL/WarpSE.vm6" -xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "Z:/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm hprep6 -s IEEE1149 -n WarpSE -i WarpSE xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE diff --git a/cpld/XC95144XL/WarpSE.data b/cpld/XC95144XL/WarpSE.data deleted file mode 100644 index 71df4b5..0000000 --- a/cpld/XC95144XL/WarpSE.data +++ /dev/null @@ -1,1387 +0,0 @@ -MODELDATA -MODELDATA_VERSION "v1998.8" -DESIGN "WarpSE"; - -/* port drive, load, max capacitance and max transition in data file */ -PORTDATA -A_FSB<9>: MAXTRANS(0.0); -A_FSB<8>: MAXTRANS(0.0); -A_FSB<15>: MAXTRANS(0.0); -A_FSB<14>: MAXTRANS(0.0); -A_FSB<13>: MAXTRANS(0.0); -A_FSB<12>: MAXTRANS(0.0); -A_FSB<11>: MAXTRANS(0.0); -A_FSB<10>: MAXTRANS(0.0); -A_FSB<23>: MAXTRANS(0.0); -A_FSB<22>: MAXTRANS(0.0); -A_FSB<21>: MAXTRANS(0.0); -A_FSB<20>: MAXTRANS(0.0); -A_FSB<19>: MAXTRANS(0.0); -A_FSB<18>: MAXTRANS(0.0); -A_FSB<17>: MAXTRANS(0.0); -A_FSB<16>: MAXTRANS(0.0); -CLK2X_IOB: MAXTRANS(0.0); -CLK_FSB: MAXTRANS(0.0); -nAS_FSB: MAXTRANS(0.0); -nWE_FSB: MAXTRANS(0.0); -SW<1>: MAXTRANS(0.0); -nRES: MAXTRANS(0.0); -nLDS_FSB: MAXTRANS(0.0); -nUDS_FSB: MAXTRANS(0.0); -CLK_IOB: MAXTRANS(0.0); -nBERR_IOB: MAXTRANS(0.0); -E_IOB: MAXTRANS(0.0); -nIPL2: MAXTRANS(0.0); -nBG_IOB: MAXTRANS(0.0); -nDTACK_IOB: MAXTRANS(0.0); -nVPA_IOB: MAXTRANS(0.0); -A_FSB<1>: MAXTRANS(0.0); -A_FSB<2>: MAXTRANS(0.0); -A_FSB<3>: MAXTRANS(0.0); -A_FSB<4>: MAXTRANS(0.0); -A_FSB<5>: MAXTRANS(0.0); -A_FSB<6>: MAXTRANS(0.0); -A_FSB<7>: MAXTRANS(0.0); -SW<0>: MAXTRANS(0.0); -nVMA_IOB: MAXTRANS(0.0); -nAS_IOB: MAXTRANS(0.0); -nLDS_IOB: MAXTRANS(0.0); -nUDS_IOB: MAXTRANS(0.0); -nDTACK_FSB: MAXTRANS(0.0); -RA<0>: MAXTRANS(0.0); -RA<1>: MAXTRANS(0.0); -RA<2>: MAXTRANS(0.0); -RA<3>: MAXTRANS(0.0); -RA<4>: MAXTRANS(0.0); -RA<5>: MAXTRANS(0.0); -RA<6>: MAXTRANS(0.0); -RA<7>: MAXTRANS(0.0); -RA<8>: MAXTRANS(0.0); -RA<9>: MAXTRANS(0.0); -nBERR_FSB: MAXTRANS(0.0); -nOE: MAXTRANS(0.0); -nROMWE: MAXTRANS(0.0); -nVPA_FSB: MAXTRANS(0.0); -nADoutLE0: MAXTRANS(0.0); -nCAS: MAXTRANS(0.0); -nDinLE: MAXTRANS(0.0); -nDinOE: MAXTRANS(0.0); -nDoutOE: MAXTRANS(0.0); -nRAS: MAXTRANS(0.0); -RA<11>: MAXTRANS(0.0); -RA<10>: MAXTRANS(0.0); -CLK20EN: MAXTRANS(0.0); -CLK25EN: MAXTRANS(0.0); -nBR_IOB: MAXTRANS(0.0); -nADoutLE1: MAXTRANS(0.0); -nAoutOE: MAXTRANS(0.0); -nRAMLWE: MAXTRANS(0.0); -nRAMUWE: MAXTRANS(0.0); -nROMCS: MAXTRANS(0.0); -ENDPORTDATA - -/* timing arc data */ -TIMINGDATA - -ARCDATA -SW<0>_CLK20EN_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -SW<0>_CLK25EN_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<1>_RA<0>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<10>_RA<0>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<21>_RA<10>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<19>_RA<11>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<11>_RA<1>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<2>_RA<1>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<3>_RA<2>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<12>_RA<2>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<4>_RA<3>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<13>_RA<3>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<5>_RA<4>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<14>_RA<4>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<6>_RA<5>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<15>_RA<5>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<7>_RA<6>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<16>_RA<6>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<17>_RA<7>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<8>_RA<7>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<21>_RA<8>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<9>_RA<8>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<23>_RA<8>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<22>_RA<8>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<18>_RA<8>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<20>_RA<9>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<19>_RA<9>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nBERR_FSB_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<20>_nBERR_FSB_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<22>_nBERR_FSB_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<23>_nBERR_FSB_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<21>_nBERR_FSB_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<20>_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<23>_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<22>_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<21>_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -SW<1>_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_nOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nLDS_FSB_nRAMLWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_nRAMLWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nRAMLWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_nRAMUWE_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -nUDS_FSB_nRAMUWE_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nRAMUWE_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<23>_nRAS_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nRAS_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<21>_nRAS_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<22>_nRAS_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<22>_nROMCS_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<23>_nROMCS_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -SW<1>_nROMCS_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<20>_nROMCS_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<21>_nROMCS_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nROMWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_nROMWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nVPA_FSB_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_nDTACK_FSB_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_RA<0>_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_RA<1>_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_RA<2>_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_RA<3>_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_RA<4>_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_RA<5>_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_RA<6>_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_RA<7>_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_RA<8>_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_RA<9>_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_nBERR_FSB_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_nVPA_FSB_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_nADoutLE0_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_nCAS_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_nRAS_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_nBR_IOB_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_nADoutLE1_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_nRAMLWE_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_nRAMUWE_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK_FSB_nROMCS_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK2X_IOB_nVMA_IOB_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK2X_IOB_nAS_IOB_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK2X_IOB_nLDS_IOB_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK2X_IOB_nUDS_IOB_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -CLK2X_IOB_nADoutLE0_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -CLK2X_IOB_nDinLE_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -CLK2X_IOB_nDoutOE_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -CLK2X_IOB_nAoutOE_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -E_IOB_CLK_IOB_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -E_IOB_CLK_IOB_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -A_FSB<10>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -A_FSB<11>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -A_FSB<12>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -A_FSB<13>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -A_FSB<14>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -A_FSB<15>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -A_FSB<16>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -A_FSB<17>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -A_FSB<18>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -A_FSB<19>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -A_FSB<20>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("18.6"); -} -ENDARCDATA - -ARCDATA -A_FSB<21>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("19.6"); -} -ENDARCDATA - -ARCDATA -A_FSB<22>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("19.6"); -} -ENDARCDATA - -ARCDATA -A_FSB<23>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("19.6"); -} -ENDARCDATA - -ARCDATA -A_FSB<8>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -A_FSB<9>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -SW<1>_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("18.6"); -} -ENDARCDATA - -ARCDATA -nIPL2_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nLDS_FSB_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nRES_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nUDS_FSB_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_CLK_FSB_setup: -CONSTRAINT(scalar) { - VALUES("10.9"); -} -ENDARCDATA - -ARCDATA -A_FSB<10>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -A_FSB<11>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -A_FSB<12>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -A_FSB<13>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -A_FSB<14>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -A_FSB<15>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -A_FSB<16>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -A_FSB<17>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -A_FSB<18>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -A_FSB<19>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -A_FSB<20>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-12.1"); -} -ENDARCDATA - -ARCDATA -A_FSB<21>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-13.1"); -} -ENDARCDATA - -ARCDATA -A_FSB<22>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-13.1"); -} -ENDARCDATA - -ARCDATA -A_FSB<23>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-13.1"); -} -ENDARCDATA - -ARCDATA -A_FSB<8>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -A_FSB<9>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -SW<1>_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-12.1"); -} -ENDARCDATA - -ARCDATA -nIPL2_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nLDS_FSB_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nRES_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nUDS_FSB_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_CLK_FSB_hold: -CONSTRAINT(scalar) { - VALUES("-4.4"); -} -ENDARCDATA - -ARCDATA -CLK_IOB_CLK2X_IOB_setup: -CONSTRAINT(scalar) { - VALUES("10.5"); -} -ENDARCDATA - -ARCDATA -nBERR_IOB_CLK2X_IOB_setup: -CONSTRAINT(scalar) { - VALUES("10.5"); -} -ENDARCDATA - -ARCDATA -nBG_IOB_CLK2X_IOB_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nDTACK_IOB_CLK2X_IOB_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nRES_CLK2X_IOB_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nVPA_IOB_CLK2X_IOB_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -CLK_IOB_CLK2X_IOB_hold: -CONSTRAINT(scalar) { - VALUES("-4"); -} -ENDARCDATA - -ARCDATA -nBERR_IOB_CLK2X_IOB_hold: -CONSTRAINT(scalar) { - VALUES("-4"); -} -ENDARCDATA - -ARCDATA -nBG_IOB_CLK2X_IOB_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nDTACK_IOB_CLK2X_IOB_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nRES_CLK2X_IOB_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nVPA_IOB_CLK2X_IOB_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ENDTIMINGDATA -ENDMODELDATA diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index 51a4c06..96bf393 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -22,18 +22,6 @@ - - - - - - - - - - - - @@ -71,19 +59,18 @@ - + - + - + - @@ -96,11 +83,11 @@ - + - + @@ -109,7 +96,7 @@ - + @@ -127,18 +114,12 @@ - + - - - - - - - + diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index 8d88775..1d69184 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -38,9 +38,9 @@ nVPA_IOB S:PIN77 nWE_FSB S:PIN29 RA<11> S:PIN63 RA<10> S:PIN55 -nRES S:PIN91 C25MEN S:PIN58 C20MEN S:PIN59 +nRES S:PIN91 RA<0> S:PIN53 RA<1> S:PIN50 RA<2> S:PIN43 @@ -51,68 +51,68 @@ RA<6> S:PIN46 RA<7> S:PIN52 RA<8> S:PIN54 RA<9> S:PIN56 -nBR_IOB S:PIN72 -nDTACK_FSB S:PIN28 -nAS_IOB S:PIN81 -nDinLE S:PIN86 -nLDS_IOB S:PIN79 -nUDS_IOB S:PIN80 -nVMA_IOB S:PIN74 -nBERR_FSB S:PIN70 nADoutLE0 S:PIN85 nADoutLE1 S:PIN82 +nAS_IOB S:PIN81 nAoutOE S:PIN87 +nBERR_FSB S:PIN70 +nBR_IOB S:PIN72 +nCAS S:PIN36 +nDTACK_FSB S:PIN28 +nDinLE S:PIN86 nDinOE S:PIN90 nDoutOE S:PIN89 +nLDS_IOB S:PIN79 nOE S:PIN37 nRAMLWE S:PIN65 nRAMUWE S:PIN66 nRAS S:PIN64 nROMCS S:PIN35 nROMWE S:PIN34 +nUDS_IOB S:PIN80 +nVMA_IOB S:PIN74 nVPA_FSB S:PIN93 -nCAS S:PIN36 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 ram/RegUrgentSync ram/RefUrgent ram/RefReqSync ram/RefReq - ram/RefRAS iobs/IOACTr fsb/ASrf iobs/IOU1 - iobs/IOL1 cnt/TimerTC iobs/IOU0 iobs/IOL0 - cnt/Timer<3> cnt/Timer<2> cnt/Timer<1> cnt/RefUrgent - iobs/IOReady cnt/RefReq -PARTITION FB2_9 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf - iobm/IOREQr iobm/Er2 iobm/Er iobm/DTACKrr - iobm/DTACKrf cnt/Timer<0> -PARTITION FB3_1 fsb/VPA EXP14_ EXP15_ -PARTITION FB3_5 EXP16_ EXP17_ iobs/IOREQ EXP18_ - fsb/nDTACK fsb/Ready1r EXP19_ iobs/IORW1 - EXP20_ EXP21_ iobs/IORW0 EXP22_ - nROMWE_OBUF EXP23_ -PARTITION FB4_1 EXP24_ nAoutOE_OBUF iobm/BERRrr iobm/BERRrf - nDoutOE_OBUF nDinOE_OBUF cnt/PORS_FSM_FFd1 N0 - cnt/IPL2r iobm/IOS_FSM_FFd1 nVPA_FSB_OBUF cnt/nRESout - cnt/PORS_FSM_FFd2 cnt/LTimer<0> cnt/LTimer<3> cnt/LTimer<2> - cnt/LTimer<1> iobm/IOBERR -PARTITION FB5_1 ram/RASEL nROMCS_OBUF ram/RefDone cs/nOverlay1 - ram/nCAS nOE_OBUF fsb/Ready0r cs/nOverlay0 - RA_4_OBUF ram/RAMEN RA_3_OBUF RA_5_OBUF - ram/RS_FSM_FFd2 RA_2_OBUF RA_6_OBUF EXP25_ - ram/RAMReady EXP26_ -PARTITION FB6_1 iobm/ETACK iobm/nVMA iobm/DoutOE iobm/ALE0 - iobm/IOS_FSM_FFd3 iobm/ES<3> iobm/ES<1> iobm/ES<0> - iobm/nLDS iobm/ES<4> iobm/nUDS iobm/nASout - iobm/IOS_FSM_FFd2 nADoutLE1_OBUF nADoutLE0_OBUF iobm/ES<2> - iobm/nDinLE iobm/IOACT -PARTITION FB7_1 cnt/LTimer<13> RA_1_OBUF cnt/LTimer<9> cnt/LTimer<8> +PARTITION FB1_1 ram/RefUrg ram/RefReqSync ram/RefReq iobs/IOACTr + iobm/Er iobm/DTACKrr iobm/DTACKrf iobm/BERRrr + iobm/BERRrf cnt/nIPL2r cnt/Er<0> ALE0S + ram/RS_FSM_FFd1 iobs/IOU1 iobs/IOL1 iobm/IOS_FSM_FFd1 + ALE0M ram/RefDone +PARTITION FB2_13 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf + iobm/IOREQr iobm/Er2 +PARTITION FB3_1 IORW0 EXP10_ EXP11_ EXP12_ + EXP13_ fsb/VPA EXP14_ EXP15_ + nDTACK_FSB_OBUF EXP16_ iobs/IORW1 EXP17_ + fsb/Ready1r cs/nOverlay EXP18_ IOREQ + nROMWE_OBUF iobs/Clear1 +PARTITION FB4_1 ram/RegUrgSync nAoutOE_OBUF ram/BACTr nRESout + nDoutOE_OBUF nDinOE_OBUF fsb/ASrf N0 + cnt/Er<1> cnt/TimerTC nVPA_FSB_OBUF cnt/LTimer<3> + cnt/LTimer<2> cnt/LTimer<1> RefReq IOU0 + IOL0 RefUrg +PARTITION FB5_1 EXP19_ nROMCS_OBUF iobs/Load1 EXP20_ + nCAS_OBUF nOE_OBUF iobs/PS_FSM_FFd2 ram/RS_FSM_FFd2 + RA_4_OBUF iobs/PS_FSM_FFd1 RA_3_OBUF RA_5_OBUF + +PARTITION FB5_14 RA_2_OBUF RA_6_OBUF iobs/IOReady iobs/Once + EXP21_ +PARTITION FB6_1 iobm/ETACK nVMA_IOBout iobm/IOS_FSM_FFd3 iobm/ES<3> + iobm/ES<1> iobm/ES<0> iobm/DoutOE iobm/ES<4> + nLDS_IOBout iobm/IOS_FSM_FFd2 nUDS_IOBout nAS_IOBout + iobm/ES<2> nADoutLE1_OBUF nADoutLE0_OBUF IOACT + nDinLE_OBUF IOBERR +PARTITION FB7_1 cnt/LTimerTC RA_1_OBUF cnt/LTimer<9> cnt/LTimer<8> RA_7_OBUF RA_0_OBUF cnt/LTimer<7> RA_8_OBUF - A_FSB_21_IBUF$BUF0 cnt/LTimer<6> RA_9_OBUF N1 - cnt/LTimer<5> N1$BUF0 cnt/LTimer<4> cnt/LTimer<12> + A_FSB_21_IBUF$BUF0 cnt/LTimer<6> RA_9_OBUF C25MEN_OBUF + cnt/LTimer<5> C25MEN_OBUF$BUF0 cnt/LTimer<4> cnt/LTimer<12> cnt/LTimer<11> cnt/LTimer<10> -PARTITION FB8_1 iobs/Once A_FSB_19_IBUF$BUF0 iobs/Clear1 iobs/ALE0 - nRAS_OBUF nRAMLWE_OBUF iobs/PS_FSM_FFd1 nRAMUWE_OBUF - ram/RS_FSM_FFd1 EXP27_ ram/RS_FSM_FFd3 iobs/nBERR_FSB - EXP28_ iobs/PS_FSM_FFd2 cnt/nBR_IOB iobs/Load1 - ram/BACTr EXP29_ +PARTITION FB8_1 ram/RASEL A_FSB_19_IBUF$BUF0 cnt/Timer<2> cnt/Timer<0> + nRAS_OBUF nRAMLWE_OBUF cnt/INITS_FSM_FFd2 nRAMUWE_OBUF + fsb/Ready0r cnt/Timer<1> ram/RAMReady nBERR_FSB_OBUF + EXP22_ ram/RAMEN nBR_IOB_OBUF ram/RS_FSM_FFd3 + cnt/INITS_FSM_FFd1 cnt/LTimer<0> diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed index a1b9a1c..2bcac78 100644 --- a/cpld/XC95144XL/WarpSE.jed +++ b/cpld/XC95144XL/WarpSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Sat Mar 25 00:52:43 2023 +Date Extracted: Sun Mar 26 04:30:28 2023 QF93312* QP100* @@ -90,552 +90,552 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0000064 00000000 00000000 00000100 00000000 00000000 00000000 01111100 00000000* -L0000128 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0000192 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0000256 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0000320 00000000 00000000 01000000 00000000 01011000 00000000 00000000 00000000* -L0000384 00000000 00000000 01000100 00000000 00000000 00000000 00000100 00000100* -L0000448 00000000 00000000 01001100 00000000 00010000 00000000 00000000 00000000* -L0000512 00000000 00000000 01001000 00000000 00000000 00000000 00000000 00000100* -L0000576 000000 000000 010010 000000 000000 000000 000000 000000* -L0000624 000000 000000 010000 000000 001010 000000 000000 000000* -L0000672 000000 000000 010000 000000 000000 000000 100011 000000* -L0000720 000000 000000 010000 000000 000000 000000 000000 000000* -L0000768 000000 000000 010000 000000 000000 000000 000000 000000* -L0000816 000000 000000 010000 000000 000000 000000 000000 000000* -L0000864 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0000928 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0000992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0001120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* -L0001184 10000000 00000000 00000000 00010000 00000000 00000000 00000100 10000000* -L0001248 00000000 00000000 00000000 00000000 00011000 00000000 00000000 10000000* -L0001312 00000000 00000000 00000000 00000000 00001000 00000000 00000000 10000000* -L0001376 00000000 00000000 00000000 00000000 00110000 00000000 00000000 10000000* -L0001440 000000 000000 000000 000000 000000 000000 000000 100000* -L0001488 000000 000000 000100 000000 000000 000000 100011 000000* -L0001536 000000 000000 000000 000000 000000 000000 000000 000101* -L0001584 000000 000000 000010 000000 000000 000001 000000 000101* -L0001632 000000 000000 000000 000000 000000 000000 000000 000101* -L0001680 000000 000000 000000 000000 000000 000000 000000 000101* -L0001728 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0000064 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00010000* +L0000128 00000000 00000000 10000000 00000000 00010000 00000000 00000000 00010000* +L0000192 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00001100* +L0000256 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10011100* +L0000320 00000000 00000000 00000000 00000000 01011000 00000000 00000000 10011000* +L0000384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10011100* +L0000448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10010100* +L0000512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0000576 000000 000000 000000 000000 000000 000000 000000 000100* +L0000624 000000 000000 000000 000000 000110 000000 000000 000001* +L0000672 000000 000000 000000 000000 000000 000000 000000 000011* +L0000720 000000 000000 000001 000000 000000 000000 000000 000011* +L0000768 000000 000000 000000 000000 000000 000000 000000 000010* +L0000816 000000 000000 000000 000000 000000 000000 000000 000111* +L0000864 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000928 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0000992 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0001056 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0001120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001248 00000000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* +L0001312 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001440 000000 000000 000000 000000 000000 000000 000000 000000* +L0001488 000000 000000 000000 000000 000000 000000 000000 000000* +L0001536 000000 000000 000000 000000 000100 000001 000000 000000* +L0001584 000000 000000 000000 010000 000000 000001 000000 000000* +L0001632 000000 000000 000000 000000 000000 000000 000000 000000* +L0001680 000000 000000 000000 000000 000000 000000 000000 000000* +L0001728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0001984 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0002048 00000000 00000000 00000000 01000000 00000000 10000000 00000000 00000000* -L0002112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001856 00000010 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002112 00000000 00000000 00000000 00000000 00000000 10010000 00000000 00000000* L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002304 000000 000000 000000 000000 000000 000000 000000 000000* L0002352 000000 000000 000000 000000 000000 000000 000000 000000* -L0002400 000000 000000 000000 000000 000000 000000 000000 000000* -L0002448 000000 000000 000000 000000 000000 000000 000000 000000* +L0002400 000000 000000 000000 000000 000000 001100 000000 000000* +L0002448 000000 000000 000000 000000 000000 100000 000000 000000* L0002496 000000 000000 000000 000000 000000 000000 000000 000000* -L0002544 000000 000000 000000 000000 000000 000000 000000 001000* -L0002592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002544 000000 000000 001000 000000 000000 000000 000000 000000* +L0002592 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* L0002656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002784 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0002848 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0002912 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00100000* -L0002976 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00100000* -L0003040 00000000 00000000 01000000 00000000 00000000 10000000 00000000 00100000* -L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0003168 000000 000000 100000 000000 000000 000000 000000 001000* -L0003216 000000 000000 100000 000000 000000 000000 000000 000000* -L0003264 000000 000000 101000 000000 000000 000000 000000 000000* -L0003312 000000 000000 100000 000000 000000 000000 000000 001000* -L0003360 000000 000000 001000 000000 000000 000000 000000 000000* -L0003408 000000 000000 000000 000000 000000 000000 000000 000000* -L0003456 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002720 00000000 00000000 00100000 00000000 00010100 00000000 00000000 00000000* +L0002784 00000000 00000000 00100000 00000000 00000100 00000000 00000000 00000000* +L0002848 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0002912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002976 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003104 00000000 00000000 01100000 00000000 00100000 00000000 00000000 00000000* +L0003168 000000 000000 101000 000000 000000 000000 000000 000000* +L0003216 100001 000000 100000 000000 000000 000000 000000 000000* +L0003264 000001 000000 000000 000000 000001 000000 000000 000000* +L0003312 000001 000000 001000 000000 000001 000000 000000 000000* +L0003360 000000 000000 000000 000000 010000 000000 000000 000000* +L0003408 000000 000000 000010 000000 000000 000000 000000 000000* +L0003456 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0003520 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00010000* L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0003648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0003776 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003840 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0003776 00000000 00000000 00001000 00000000 00000000 00000000 00000000 10000000* +L0003840 00000100 00000000 00001000 00000000 00000000 00000000 00000000 10001100* +L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* L0004032 000000 000000 000000 000000 000000 000000 000000 000000* -L0004080 000000 000000 000000 000000 000000 000000 000000 000010* +L0004080 000000 000000 000000 000010 000000 000000 000000 000000* L0004128 000000 000000 000000 000000 000000 000000 000000 000000* -L0004176 000000 000000 000000 000000 000000 000000 000000 000000* -L0004224 000000 000000 000000 000000 000000 000000 000000 000000* -L0004272 000000 000000 000000 000000 000000 000000 000000 000000* -L0004320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004384 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00001000* -L0004448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0004512 00000000 00000000 00100000 00000000 00000000 00000000 00000000 10000000* -L0004576 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0004640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0004704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0004768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* -L0004832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0004896 000000 000000 100000 000000 000000 000000 000000 101000* -L0004944 000000 000000 100000 000000 100000 000000 001000 000001* -L0004992 000000 000000 100000 000000 100000 000000 001000 000100* -L0005040 000000 000000 100000 000000 000000 000000 000000 000101* -L0005088 000000 000000 000000 000001 000000 000000 000000 000101* -L0005136 000000 000000 000000 000000 000000 000000 000000 000101* -L0005184 00000010 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0005248 00000010 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0005312 00000010 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0005376 00000011 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0005440 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005504 00000001 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0005568 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0005632 00000010 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0005696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005760 000000 000000 000100 000000 000000 000000 001000 000000* -L0005808 000000 000000 001000 000000 000001 000000 000000 000000* -L0005856 000000 000000 000000 000000 000010 000000 001000 000000* -L0005904 000000 000000 000000 000000 000010 000000 000000 000000* -L0005952 000000 000000 000001 000000 000000 000000 000000 000000* -L0006000 000000 000000 000001 000000 000000 000000 000000 000000* -L0006048 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006112 00000011 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0006176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006240 00000001 00000000 00000100 00000000 00000000 00001000 00000000 00000000* -L0006304 00000010 00000000 00000100 00000000 00000000 00000000 00100000 00000000* -L0006368 00000011 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0006432 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* -L0006496 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* -L0006560 00000000 00000000 11000000 00000000 00000000 00000000 00100000 00000000* -L0006624 000000 000000 011000 000000 000000 000000 000000 000000* -L0006672 000000 000000 000000 000000 000000 000000 000000 000000* -L0006720 000000 000000 001001 000000 000000 000000 000000 000000* -L0006768 000000 000000 001001 000000 000000 000000 000000 000000* -L0006816 000000 000000 001000 000001 000000 000001 000000 000000* -L0006864 000000 000000 001000 000000 000000 000000 000000 000000* -L0006912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006976 00000000 00000000 00000000 00010000 00000000 01000000 00000000 00000000* -L0007040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004176 000000 000000 000001 000000 000000 000000 000000 000000* +L0004224 000000 000000 000000 000000 000000 000000 000000 000010* +L0004272 000000 000000 000100 000000 000000 000000 000000 000011* +L0004320 00000100 00000000 01100000 00000000 00000000 00000000 10000100 00000000* +L0004384 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0004448 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0004512 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0004576 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0004640 00000000 00000000 10000000 00000000 00000000 00001000 00000100 00000000* +L0004704 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0004768 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0004832 00000000 00000000 01101100 00000000 00000000 00000000 00000000 00000000* +L0004896 000000 000000 111000 000000 000000 000000 000000 000000* +L0004944 000000 000000 101010 000001 000000 000000 000001 000000* +L0004992 000000 000000 100010 000001 000000 000000 000000 000000* +L0005040 000000 000000 111000 000001 000000 000000 000000 000000* +L0005088 000000 000000 011000 000000 000000 000000 000000 000000* +L0005136 000000 000000 000010 000000 000000 000000 000000 000000* +L0005184 00000010 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0005248 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005312 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005376 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005440 00000010 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0005504 00000001 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0005568 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0005632 00000010 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0005696 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0005760 000000 000000 000000 000000 000000 000000 000000 000000* +L0005808 000000 000000 000000 000000 000000 000000 000000 000000* +L0005856 000000 000000 000000 000000 000000 010000 000000 000100* +L0005904 000000 000000 000000 000000 000010 010000 000000 000100* +L0005952 000000 000000 000000 000000 000010 000000 000000 000100* +L0006000 000000 000000 000000 000000 000010 000000 000000 000000* +L0006048 00000010 00000000 01000000 00000000 00100000 01000000 10000100 00000000* +L0006112 00000011 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0006176 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0006240 00000001 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0006304 00000010 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0006368 00000011 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0006432 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0006496 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0006560 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0006624 000000 000000 001000 000000 000000 000000 000000 000000* +L0006672 000000 000000 000000 000000 000000 000000 100001 000000* +L0006720 000000 000000 000000 000000 000000 000000 000000 000000* +L0006768 000000 000000 000000 000000 000000 000000 000000 000000* +L0006816 000000 000000 000000 000000 000000 000000 000000 000000* +L0006864 000000 000000 000000 000000 000000 000000 000000 000000* +L0006912 00000000 00000100 00000000 00000000 01000000 01000000 00000000 00000000* +L0006976 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0007040 00000000 00000000 00000000 00000000 01000000 00001000 00000000 00000000* L0007104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007232 00000000 00010000 00000000 00000000 00000000 00010000 00000000 00000000* -L0007296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007360 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007488 000000 000000 000000 000000 000000 000000 000000 000000* -L0007536 000000 000100 000000 000000 000000 001100 000000 000000* -L0007584 000000 000000 000000 000000 000000 000001 000000 000000* -L0007632 000000 000000 000000 000000 000000 000000 000000 000000* -L0007680 000000 000000 000000 000000 000000 000000 000000 000000* -L0007728 000000 000000 000000 000000 000000 000000 000000 000000* -L0007776 00000000 00000000 00000000 10010000 00000000 00001000 00000000 00000000* -L0007840 00000000 00000000 00000000 10000000 00000000 00001000 00000000 00000000* -L0007904 00000000 00000000 00000000 10000000 00000000 00001000 00000000 00000000* -L0007968 00000000 00000000 00100000 00000000 00000000 00001000 00000000 00000000* -L0008032 00000000 00000000 00100000 00000000 00000000 00001000 00000000 00000000* -L0008096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0008160 00000000 00000000 00000000 00000000 00000000 01000100 10000000 00000000* +L0007168 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0007232 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0007296 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0007360 00000000 00000000 00000000 00000000 10000000 01000000 00000000 00001000* +L0007424 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10001100* +L0007488 000000 000000 000000 000000 100000 000000 000000 100101* +L0007536 000000 000010 000000 000000 000000 010000 000000 000000* +L0007584 000000 000000 000000 000000 001001 000000 000000 001000* +L0007632 000000 000000 000000 000000 001001 000000 000000 000000* +L0007680 000000 000000 000000 000000 001001 000000 000000 000000* +L0007728 000000 000000 000000 000000 101001 000000 000000 000000* +L0007776 00000000 00000000 01000000 00000000 00000100 00000000 00000000 00000000* +L0007840 00000000 00000000 00000000 00000000 00000100 01000000 00000000 00000000* +L0007904 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00010000* +L0007968 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0008032 00000000 00000000 00100000 00000000 00100000 00000000 00000000 00010000* +L0008096 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0008160 00000000 00000000 00000000 00000000 00000000 00100000 10000000 10000000* L0008224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008288 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0008352 000000 000000 100000 000001 000000 000000 000000 000000* -L0008400 000000 000000 100000 000001 000000 100000 000000 000000* -L0008448 000000 000000 100000 000001 000000 000000 000000 000000* -L0008496 000000 000000 100000 000001 000000 000001 000000 000000* -L0008544 000000 000000 000000 000001 000000 001001 000000 000000* -L0008592 000000 000000 000000 000001 000000 000001 000000 000000* -L0008640 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0008704 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0008288 00000000 00000000 00100000 00000000 00000100 00000000 00000000 00000000* +L0008352 000000 000000 001000 000000 000001 000000 000000 000000* +L0008400 000000 000000 000000 000000 010000 000000 000000 000000* +L0008448 000000 000000 000000 000000 010000 010000 000000 000001* +L0008496 000000 000000 000000 000000 010000 000000 000000 000010* +L0008544 000000 000000 000000 000000 000000 000000 000000 000010* +L0008592 000000 000000 000000 000000 000000 000000 000000 000000* +L0008640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0008768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008832 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0008896 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0009024 00000100 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0009088 00000100 00000000 00000000 00000000 00000000 10100000 00000000 00000000* -L0009152 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009152 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0009216 000000 000000 000000 000000 000000 000000 000000 000000* L0009264 000000 000000 000000 000000 000000 000000 000000 000000* L0009312 000000 000000 000000 000000 000000 000000 000000 000000* L0009360 000000 000000 000000 000000 000000 000000 000000 000000* -L0009408 000000 000000 000000 000000 000000 000000 000000 000010* +L0009408 000000 000000 000000 000000 000000 000000 000000 000000* L0009456 000000 000000 000000 000000 000000 000000 000000 000000* -L0009504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009568 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0009632 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0009696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0009888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0009952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0010016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0010080 000000 000000 000000 000000 000000 000000 000000 100000* -L0010128 000000 000000 000000 000000 000010 010000 000000 000000* -L0010176 000000 000000 000000 000000 000000 000000 000000 000100* -L0010224 000000 000000 000000 000000 000000 000000 000000 000101* -L0010272 000000 000000 000000 000000 000000 000000 000000 000101* -L0010320 000000 000000 000000 000000 000000 000000 000000 000101* -L0010368 00000011 00000001 00010011 00101001 00100011 10000011 00000011 01001010* -L0010432 00000011 00000001 00000000 00000011 00000001 00100111 00000011 10001001* -L0010496 00000011 00000001 00000001 00000011 00000010 00000011 00000010 10001011* -L0010560 00000011 00000001 00000000 00000011 01000011 00000011 00000011 10001110* -L0010624 00000011 00000001 00000000 00000011 10010011 00000011 00000010 10001011* -L0010688 00000011 00000001 00110001 00000011 00000011 10000011 00000011 10000010* -L0010752 00000011 00000001 00000010 00000011 00000010 00100011 00000011 00001011* -L0010816 00000011 00000001 00000001 00000001 00000111 00100011 00000011 00001001* -L0010880 00000011 00000011 00000010 00000011 00000010 00000011 00000011 00000010* -L0010944 000000 000000 000000 000000 000000 000000 000000 000000* -L0010992 000000 000000 000000 000000 000100 000000 000000 100001* -L0011040 000000 000000 000000 000000 000000 000000 000000 000101* -L0011088 000000 000000 000000 000000 000000 000000 000000 000101* -L0011136 000000 000000 000000 000000 000000 000000 000000 000101* -L0011184 000000 000000 000010 000000 000000 000000 000000 000101* -L0011232 00000001 00001000 00000100 00000010 00000000 00100000 00100000 00000001* -L0011296 00000000 00000000 10000011 00000000 00000010 00010000 11000100 00100010* -L0011360 00000000 00000000 00000110 00000000 00001001 00010000 01000100 00000000* -L0011424 00000001 00000000 10000001 00000000 10000000 00010100 00000000 00000001* -L0011488 00000001 00000000 00000011 00000000 00000000 00000000 00000000 00000000* -L0011552 00000001 00000000 01000010 10000000 00000000 00000000 00000000 00001001* -L0011616 00000001 00000000 10000101 00000000 00100001 00000000 00000100 00000000* -L0011680 00000000 00000000 01000110 00000000 00000000 10000000 00000100 00000010* -L0011744 00000001 00000001 10001001 00000000 00000001 00000000 00000000 00000001* -L0011808 000000 000000 010000 000000 100001 000000 000000 000000* -L0011856 000000 000000 000001 000100 000000 000000 000000 000000* -L0011904 000000 000000 110000 000100 000000 010000 100001 000000* -L0011952 000000 000000 100001 000000 001100 010000 100001 000000* -L0012000 000000 000000 010000 000000 000000 000000 000000 000000* -L0012048 000000 000000 000001 000000 001100 000000 000000 000000* -L0012096 00000001 00000100 00000011 00000001 00000011 10000101 00000011 00000010* -L0012160 00000001 00000000 00000000 00000000 00000001 00100011 00000011 00000001* -L0012224 00000001 00000000 00001001 00000001 00000010 00000011 00000010 00000000* -L0012288 00000001 00000000 00000000 00000001 00000001 00000011 00000011 00000000* -L0012352 00000001 00000000 00000000 00000000 00000001 00000011 00000010 00000011* -L0012416 00000001 00000100 00000001 00000011 00100000 10000010 00000011 00000000* -L0012480 00000001 00000000 00000010 00000001 00100010 00100011 00000011 00000011* -L0012544 00000001 00000000 00000000 00000011 00000011 00100010 00000011 00000000* -L0012608 00000001 00000001 00000010 00000001 00000000 00000011 00000001 00000010* -L0012672 000000 000000 000000 000000 000000 000000 000000 000000* -L0012720 000000 000000 000000 000000 000000 000000 000000 000000* -L0012768 000000 000000 000000 000000 000000 000000 000000 000000* -L0012816 000000 000000 000000 000000 000000 000000 000000 000010* -L0012864 000000 000000 000000 000000 000000 000000 000000 000000* -L0012912 000000 000000 000000 000000 000000 000000 000000 000000* -L0012960 00000000 00000000 00000000 00000010 01000000 00100000 00000000 00000001* -L0013024 00000000 00000000 00000011 00000000 00000010 00010011 00000000 00000010* -L0013088 00000000 00000000 00000010 00000000 00000001 00010001 00000000 00000011* -L0013152 00000000 00000000 00100101 00000000 00000000 00010100 00000000 00000001* -L0013216 00000000 00000000 00100111 00000000 00000000 00000000 00000000 00000000* -L0013280 00000000 00000000 10000010 00000000 00000001 00100000 00000000 10000001* -L0013344 00010000 00000000 11000101 00000000 00000001 00000000 01000000 10000000* -L0013408 00000000 00000000 11000111 00000010 00000000 10000001 00000000 10000011* -L0013472 00000000 00000000 11000001 00000000 00000011 00000010 00000010 10000001* -L0013536 000000 000000 110000 000000 000000 000000 000000 100000* -L0013584 000000 000000 100000 000000 000000 000000 000000 000000* -L0013632 000000 000000 101000 000000 000000 010000 000000 000100* -L0013680 000000 000000 101000 000000 000000 010000 000000 000101* -L0013728 000000 000000 001000 000000 000000 000000 000000 000101* -L0013776 000000 000000 001000 000000 000000 000000 000000 000101* -L0013824 00000000 00000000 00000011 00100000 00000011 00000001 00000001 00010010* -L0013888 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00010000* -L0013952 00000000 00000000 00000001 00000000 00000000 00000001 00000010 00000000* -L0014016 00000001 00000000 00000000 00000000 00000001 00000001 00000011 00000000* -L0014080 00000001 00000000 00000000 00000000 00000000 00000010 00000000 00010011* -L0014144 00000001 00000000 00000001 00000011 00000000 00000010 00000001 00010000* -L0014208 00000001 00000000 00000010 00000001 00000010 00000011 00000011 00110001* -L0014272 00000001 00000000 00000000 00000001 00000011 00000010 00000011 00100000* -L0014336 00000001 00000000 00000010 00000001 00000000 00000011 00000001 00100010* -L0014400 000000 000000 000000 000000 000000 000000 000000 001000* -L0014448 000000 000000 000000 000000 000000 000000 000000 000000* -L0014496 000000 000000 000000 000000 000000 000000 000000 001000* -L0014544 000000 000000 000000 000000 000000 000000 000000 000000* +L0009504 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0009568 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0009632 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0009696 00000000 00000000 00000000 00000000 00000100 00010000 00000000 00000000* +L0009760 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00000000* +L0009824 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0009888 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0009952 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0010016 00000000 00000000 01000000 00000000 00100000 00000100 00000000 00000000* +L0010080 000000 000000 010000 000000 001000 000000 000000 000000* +L0010128 000000 000000 010000 000000 000011 000000 000000 000000* +L0010176 000000 000000 010000 000000 000001 000000 000000 000000* +L0010224 000000 000000 010000 000000 000001 000000 000000 000000* +L0010272 000000 000000 010000 000000 010001 000000 000000 000000* +L0010320 000000 000000 010000 000000 010001 000001 000000 000000* +L0010368 00000011 00000000 00000010 00000011 00000001 00000011 00000011 00000011* +L0010432 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000001* +L0010496 00000011 00000000 00000000 00000011 00000011 00000011 00000010 00000011* +L0010560 00000011 00000001 00000001 00000011 00000000 00000011 00000011 00001010* +L0010624 00000011 00000001 00000001 00000011 00000011 00000011 00000010 00001001* +L0010688 00000011 00000001 10000010 00000011 00000101 00000011 00000011 00000011* +L0010752 00000011 00000001 10000001 00000011 00000010 00000011 00000011 00010011* +L0010816 00000011 00000001 10000001 00000001 00000011 00000011 00000011 10000111* +L0010880 00000011 00000001 10000011 00000011 00000000 00000011 00000011 00000010* +L0010944 000000 000000 100100 000000 000000 000000 000000 000000* +L0010992 000000 000000 100000 000000 000000 000000 000000 001100* +L0011040 000000 000000 100001 000000 000010 000000 000000 000000* +L0011088 000000 000000 100000 000000 100000 000000 000000 000000* +L0011136 000000 000000 000001 000000 000000 000000 000000 000000* +L0011184 000000 000000 000001 000000 000000 000000 000000 000000* +L0011232 00000000 00000000 10000101 00000000 00000110 00000000 11000100 00000000* +L0011296 00000000 00000000 00000110 00000000 00000100 00000000 00000000 10000010* +L0011360 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000100* +L0011424 00000000 00000000 00000010 00000100 00100110 00010000 00000000 00000001* +L0011488 00000000 00000000 00000010 00000000 00000000 00000100 00000000 00000000* +L0011552 00000000 00000000 00000001 00000000 00000010 00000000 00000100 00000000* +L0011616 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00000000* +L0011680 00000000 00000000 00000010 00000000 00000100 00000000 00000000 00000000* +L0011744 00000000 00000000 00000000 00000100 00100011 00000100 00000000 00001001* +L0011808 000000 000000 000000 000000 001000 000000 000000 100001* +L0011856 000100 000000 000001 000000 011001 000000 100001 000000* +L0011904 000000 000000 000000 000000 000000 000000 000000 000000* +L0011952 000000 000000 000000 000000 010001 000000 000000 000000* +L0012000 000000 000000 000000 000000 001000 000000 000000 000100* +L0012048 000000 000000 000000 000000 001011 000001 000000 000000* +L0012096 00000000 00000000 00000010 00000000 00000001 00000001 00000000 00000010* +L0012160 00001000 00000000 00000001 00000010 00000001 00000011 00000011 00010001* +L0012224 00000000 00000000 00000000 00000000 01000011 00000011 00000000 10011011* +L0012288 00000001 00000000 00000001 00000000 00000000 00000011 00000000 00000000* +L0012352 00000000 00000000 00000001 00000000 00000001 00000011 00000010 00011101* +L0012416 00000000 00000000 00000010 00000010 00000000 00000010 00000010 10000000* +L0012480 00000001 00000000 00000001 00000001 00000010 00000011 00000000 10010111* +L0012544 00000001 00000000 00000000 00000011 00000011 00000010 00000010 10010100* +L0012608 00000001 00000000 00000010 00000001 00000000 00000011 00000000 10010110* +L0012672 000000 000000 000000 000000 000000 000000 000000 100101* +L0012720 000000 000000 000000 000000 000000 000000 000000 000001* +L0012768 000001 000000 000000 000000 000000 000000 000000 000011* +L0012816 000001 000000 000100 000000 000000 000000 000000 000011* +L0012864 000000 000000 000000 000000 000000 000000 000000 000010* +L0012912 000000 000000 000000 000000 000000 000000 000000 000111* +L0012960 00000000 00000000 01100001 00000000 00000000 00000000 00000000 00000101* +L0013024 00000000 00000000 00100010 00000000 00000010 00000011 00000000 00001110* +L0013088 00000000 00000000 00100011 00000000 00000100 00010001 00000000 00000100* +L0013152 00000000 00000000 00100000 00000000 00000110 00000100 00000000 00001111* +L0013216 00000000 00000000 00101010 00000000 00000110 00000000 00000000 00000000* +L0013280 00000000 00000000 00000001 00000000 00000011 00000001 00000000 00010001* +L0013344 00000000 00000000 00000110 00000000 00000001 00000000 01000000 00000000* +L0013408 00000000 00000000 00000111 00000010 00000000 00000101 00000000 00000001* +L0013472 00000000 00000000 01101101 00000000 00100011 00000010 00000000 00000001* +L0013536 000000 000000 111000 000000 001000 000000 000000 000000* +L0013584 000000 000000 100000 000000 000001 000000 000000 000000* +L0013632 000000 000000 100000 000000 000001 000000 000000 000000* +L0013680 000000 000000 101000 000000 000001 000000 000000 000000* +L0013728 000000 000000 001000 000000 010001 000001 000000 000000* +L0013776 000000 000000 000010 000000 010001 000000 000000 000000* +L0013824 00000000 00000000 00000010 00000000 00000000 00000001 00000000 10000010* +L0013888 00000000 00000000 00000001 00000000 00000000 00000011 00000000 00000101* +L0013952 00000000 00000000 00000000 00000000 00000010 00000011 00000000 00000011* +L0014016 00000000 00000000 00000001 00000000 00000000 00000011 00000000 00000000* +L0014080 00000000 00000000 00000001 00000000 00000000 00000010 00000000 00000001* +L0014144 00000000 00000000 00000010 00000010 00000000 00000010 00000000 00100000* +L0014208 00000000 00000000 00000001 00000000 00000010 00000011 00000000 00000001* +L0014272 00000000 00000000 00000000 00000000 00000011 00000010 00000010 00001000* +L0014336 00000001 00000000 00000010 00000001 00000000 00000011 00000000 10000100* +L0014400 000000 000000 000000 000000 000000 000000 000000 000000* +L0014448 000000 000000 000000 000000 000000 000000 000000 010000* +L0014496 000000 000000 000000 000001 000000 000000 000000 000000* +L0014544 000000 000000 000000 000000 000000 000000 000000 000100* L0014592 000000 000000 000000 000000 000000 000000 000000 000000* L0014640 000000 000000 000000 000000 000000 000000 000000 000000* -L0014688 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000001* -L0014752 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000011* -L0014816 00000000 00000000 00000010 00000000 00000011 00000000 00000000 00000001* -L0014880 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* -L0014944 00000000 00000000 00000011 00000000 00000001 00000000 00000000 00000000* -L0015008 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00100001* -L0015072 00000000 00000000 00100001 00000000 00001001 00000000 00000000 00000010* -L0015136 00000000 00000000 00100011 00000000 00000010 10000000 00000000 00000011* -L0015200 00000000 00000000 00100001 00000000 00000011 00000000 00000000 00000001* -L0015264 000000 000000 001000 000000 000000 000000 000000 000000* -L0015312 000000 000000 001000 000000 000000 000000 000000 001000* -L0015360 000100 000000 001000 000000 000000 000000 000000 000000* -L0015408 000000 000000 001000 000000 000000 000000 000000 001000* -L0015456 000000 000000 000000 000000 000000 000000 000000 001000* -L0015504 000000 000000 000000 000000 000000 000000 000000 001000* -L0015552 00000000 00000000 10000011 00000000 00000011 00000001 00000011 00000010* -L0015616 00000001 00000000 10010000 00000100 00000000 00000001 00000000 00000000* -L0015680 00001001 00000000 10010001 00000000 00000000 00000000 00000010 00000000* -L0015744 00000000 00000000 10010000 00000000 00000011 00000001 00000011 00010000* -L0015808 00000000 00000000 10000000 00000001 00000000 00000000 00000000 00000000* -L0015872 00000000 00000000 10000001 00000001 00000000 00000000 00000001 00000000* -L0015936 00000000 00000000 10100000 00000001 00000000 00000001 00000011 00100001* -L0016000 00001011 00000000 10100000 00000001 00000000 00000000 00000011 00100000* -L0016064 00000011 00000000 10100000 00000001 00000000 00000011 00000001 00100000* -L0016128 000000 000000 000000 000000 000000 000000 000000 001000* -L0016176 000000 000000 000001 000000 000000 000000 000000 000000* -L0016224 000010 000000 001000 000000 000000 000000 000000 000000* -L0016272 000010 000000 001000 000010 000000 000000 000000 000000* -L0016320 000000 000000 001000 000000 000000 000000 000000 000000* -L0016368 000000 000000 001000 000000 000000 000000 000000 000000* -L0016416 00000000 00000000 00000000 00000000 00000000 00000000 00010011 00000001* -L0016480 00001001 00000000 00000011 00000000 00000011 00000000 11100100 00000011* -L0016544 00000001 00000000 00000000 00000100 00000011 00000000 01100110 00100001* -L0016608 00000000 00000000 00100001 00000100 00000010 00000000 00000011 00000001* -L0016672 00000000 00000000 00000011 00000001 00000000 00000000 00000000 00000001* -L0016736 00000000 00000000 00000010 00001001 00000001 00000000 00000001 00000001* -L0016800 00001000 00000000 00000011 00000001 00000001 00000000 00000111 01000000* -L0016864 00000010 00000000 00000010 00000101 00000011 10000000 00000101 01000011* -L0016928 00000010 00000000 00000011 00001100 00000011 00000000 00000001 00000011* -L0016992 000000 000000 000000 000000 000000 000000 000000 000000* -L0017040 000000 000000 100000 000010 000000 000000 000000 010000* -L0017088 000000 000000 100000 000000 000000 000000 100001 000000* -L0017136 000000 000000 000000 000000 000000 000000 100001 000000* -L0017184 000000 000000 000000 000010 000000 000000 000000 000000* -L0017232 000000 000000 000000 000000 000000 000000 000000 000000* -L0017280 00000000 00000000 00000010 00000000 00000111 00000000 00000000 00000010* -L0017344 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00010000* -L0017408 00000000 00000000 00000001 00000000 10001100 00000000 00000000 00010000* -L0017472 00000000 00000000 00000000 00000000 10001101 00000001 00000000 00010000* -L0017536 00000000 00000000 00000000 00000000 10011100 00000000 00000000 00010000* -L0017600 00000000 00000000 00000001 00000000 10000100 00000000 00000000 00110000* -L0017664 00000000 00000000 00000000 00000000 10000100 00000001 00000000 00010001* -L0017728 00000000 00000000 00000000 00000000 10000100 00000000 00000010 00000000* -L0017792 00000000 00000000 00000000 00000001 10000100 00000001 00000000 00000000* -L0017856 000000 000000 000000 000000 100001 000000 000000 000000* -L0017904 000000 000000 000000 000000 000101 000000 000000 000000* -L0017952 000000 000000 000000 000000 000111 000000 000000 000000* -L0018000 000000 000000 000000 000000 000111 000000 000000 001000* -L0018048 000000 000000 000000 000000 000111 000000 000000 001000* -L0018096 000000 000000 000000 000000 000111 000000 000000 001000* -L0018144 00000000 00000000 00000001 10000000 00000000 00000000 00000000 00010001* -L0018208 00000000 00000000 00000011 00000000 10010010 00000000 00000000 00000011* -L0018272 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000001* -L0018336 00000000 00000000 00100001 00000000 00000000 00000000 00000000 00000001* -L0018400 00000000 00000000 00100011 00000000 00000000 00000000 00000000 00000001* -L0018464 00000000 00000000 00000010 00000000 00000001 00010000 00000000 00000001* -L0018528 00000000 00000000 00000011 00000000 00000001 00000000 00000010 00000000* -L0018592 00000000 00000000 00000010 00000001 00000001 00010000 00000000 00000011* -L0018656 00000000 00000000 00000011 00000000 00000011 00010000 00000000 00000011* -L0018720 000000 000000 100000 000001 000000 000000 000000 000000* -L0018768 000000 000000 100000 000000 000000 000000 000000 000000* -L0018816 000000 000000 100000 000000 000000 000000 000000 001000* -L0018864 000000 000000 100000 000000 000000 000000 000000 000000* +L0014688 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000001* +L0014752 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000010* +L0014816 00000000 00000000 00000011 00000000 00000000 00010000 00000000 00000000* +L0014880 00000000 00000000 00000000 00011000 00000010 00000100 00000000 00001001* +L0014944 00000000 00000000 00000011 00000000 00000001 00000000 00000000 00001000* +L0015008 00000000 00000000 00000001 00000000 00000011 00000000 00000000 00000001* +L0015072 00000000 00000000 00100010 00000000 00001001 00000000 00000000 00010000* +L0015136 00000000 00000000 00100011 00000000 00000000 00000101 00000000 10000101* +L0015200 00000000 00000000 00000001 00001000 00000011 00000000 00000000 00000011* +L0015264 000000 000000 000000 000000 000000 000000 000000 000000* +L0015312 000000 000000 001000 000000 000000 000000 000000 001100* +L0015360 000000 000000 001000 000000 000000 000000 000000 000000* +L0015408 000000 000000 001000 000000 000000 000000 000000 000000* +L0015456 000000 000000 001000 000111 000000 000001 000000 000000* +L0015504 000000 000000 000000 000001 000000 000000 000000 000000* +L0015552 00000000 00000000 00000110 00000001 00000000 00010101 00000011 00000011* +L0015616 00000000 00000000 00000001 00000000 01000000 00000101 00000000 00000001* +L0015680 00000000 00000000 00000100 00000001 00000010 00100000 00000010 00000011* +L0015744 00000000 00000000 00000101 00000001 00000000 00000001 00000011 00000010* +L0015808 00000001 00000000 00000100 00000001 00000000 00000000 00000000 00000001* +L0015872 00000001 00000000 10000010 00000001 00000000 00000000 00000001 00000000* +L0015936 00000000 00000000 10000101 00000001 00000010 00000001 00000011 00000001* +L0016000 00000000 00000000 10000100 00000001 00000001 00000010 00000011 00000000* +L0016064 00000000 00000000 10000110 00000001 00000000 00000011 00000001 00000001* +L0016128 000000 000000 100100 000000 000000 000000 000000 000010* +L0016176 000100 000000 100011 000000 000000 000101 000000 000000* +L0016224 000000 000000 100011 000000 000000 100000 000000 000000* +L0016272 000000 000000 100010 000001 000000 100100 000000 000000* +L0016320 000000 000000 000011 000000 000000 000000 000000 000000* +L0016368 000000 000000 000011 000000 000000 000000 000000 000000* +L0016416 00000000 00000000 00000001 00000001 00000001 00100000 11100111 00000001* +L0016480 00000000 00000000 10000010 00000000 00000010 00010000 00000000 00000010* +L0016544 00000000 00000000 00000001 00000001 00000100 00010000 00000010 00000010* +L0016608 00000000 00000000 00000000 00001001 00000110 00010000 00000011 00000011* +L0016672 00000001 00000000 00000011 00000001 00000100 00010000 00000000 00000000* +L0016736 00000001 00000000 00000001 00000001 00000011 00010100 00000101 00000101* +L0016800 00000000 00000000 00000010 00000001 00000001 00000100 00000011 00000000* +L0016864 00000000 00000000 00000011 00000001 00000010 00010101 00000001 00000001* +L0016928 00000000 00000000 00000001 00001001 00100011 00000100 00000001 00000011* +L0016992 000000 000000 000000 000000 001000 000001 000000 000000* +L0017040 000000 000000 000000 000000 000001 101000 100001 000000* +L0017088 000000 000000 000000 000000 000001 000001 000000 000000* +L0017136 000000 000000 000000 000000 000001 001001 000000 000000* +L0017184 000000 000000 000000 000100 010001 000001 000000 000000* +L0017232 000000 000000 000000 000001 010001 000001 000000 000000* +L0017280 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000010* +L0017344 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* +L0017408 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0017472 00000000 00000000 00000001 00011000 00000000 00000001 00000000 00000000* +L0017536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017600 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* +L0017664 00000000 00000000 00000001 00000000 00000010 00000001 00000000 00000001* +L0017728 00000000 00000000 00000000 00000000 00000001 00000000 00000010 00000000* +L0017792 00000000 00000000 00010000 00001001 00000000 00000001 00000000 00000000* +L0017856 000000 000000 000000 000000 000000 000000 000000 000000* +L0017904 000000 000000 000000 000000 000000 000000 000000 000000* +L0017952 000000 000000 000001 000000 000000 000000 000000 001000* +L0018000 000000 000000 000000 000000 000000 000000 000000 000000* +L0018048 000000 000000 000000 000111 000000 000000 000000 000000* +L0018096 000000 000000 000000 000001 000000 000000 000000 000000* +L0018144 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* +L0018208 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000010* +L0018272 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000011* +L0018336 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000001* +L0018400 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000001* +L0018464 00000000 00000000 00000001 00000000 00000011 00000000 10000000 00000001* +L0018528 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00000000* +L0018592 00000000 00000000 00010010 00000000 00000010 00000001 00000000 00000001* +L0018656 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000001* +L0018720 000000 000000 000000 000000 000000 000000 000000 000000* +L0018768 000000 000000 000000 001001 000000 000000 000000 000000* +L0018816 000000 000000 000000 000000 000100 000000 000000 000000* +L0018864 000000 000000 000000 000000 000000 000000 000000 000000* L0018912 000000 000000 000000 000000 000000 000000 000000 000000* L0018960 000000 000000 000000 000000 000000 000000 000000 000000* -L0019008 00000000 00010000 00000001 00000000 00000010 00000000 00000000 00000000* -L0019072 00000000 00000000 00000000 00000011 00000010 00000001 00000000 00000001* -L0019136 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* -L0019200 00000000 00000000 00000000 00000000 00000001 00000010 00000000 00000000* -L0019264 00000000 00000000 00000000 00000011 00000000 00000001 00000001 00000010* -L0019328 00000000 00000000 00001001 00000000 00000010 00000000 00000000 00000010* -L0019392 00000000 00000000 00000000 00000000 00000010 00000011 00000000 00000001* -L0019456 00000000 00000000 00000001 00000001 00000000 00000010 00000000 00010011* -L0019520 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0019008 00000000 00001000 00000010 00000000 00000000 00000000 00000000 00000011* +L0019072 00000000 00000000 00000001 00000011 00000010 00000001 00000000 00000000* +L0019136 00000000 00000000 00000000 00000010 00000010 00000000 00000001 00000010* +L0019200 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000010* +L0019264 00000000 00000000 00000000 00000010 00000000 00000011 00000001 00000010* +L0019328 00000000 00001000 00000000 00000001 00000010 00000010 00000000 00000010* +L0019392 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* +L0019456 00000001 00000000 00000001 00000000 00000000 00000000 00000000 00000010* +L0019520 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000011* L0019584 000000 000000 000000 000000 000000 000000 000000 000000* -L0019632 000000 001000 000000 000000 000000 000000 000000 000100* -L0019680 000000 000000 000000 000000 000000 000000 000000 000000* +L0019632 000000 000000 000000 000000 000000 000010 000000 000100* +L0019680 000000 000000 000000 000000 000000 000000 000000 000100* L0019728 000000 000000 000000 000000 000000 000000 000000 000000* -L0019776 000000 000000 000100 000000 000000 000000 000000 000000* +L0019776 000000 000000 000000 000000 000000 000000 000000 000000* L0019824 000000 000000 000000 000000 000000 000000 000000 000000* -L0019872 00000000 00000000 00000011 10000000 00000011 00000000 00000000 00000010* -L0019936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0020000 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000001* -L0020064 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0019872 00000000 00000000 00000010 00000000 00000000 00000000 11111100 00000011* +L0019936 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000001* +L0020000 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0020064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0020128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0020192 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000001* -L0020256 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000001* -L0020320 00000000 00000000 00000000 00000000 00000001 00000000 00000010 00000010* -L0020384 00000000 00000000 00000010 00000001 00000010 00000001 00000000 00010010* -L0020448 000000 000000 000000 000001 000000 000000 000000 000100* -L0020496 000000 000000 000000 000000 000000 001000 000000 000000* +L0020192 00000000 00000000 00000010 00000000 00000011 00000000 00000100 00000001* +L0020256 00000000 00000000 00000001 00000000 00000011 00000001 00000000 00000001* +L0020320 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0020384 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* +L0020448 000000 000000 000000 000000 000000 000000 000000 000000* +L0020496 000000 000000 000000 000000 000000 000000 100011 000000* L0020544 000000 000000 000000 000000 000000 000000 000000 000000* -L0020592 000000 000000 000100 000000 000000 001000 000000 000000* -L0020640 000000 000000 000000 000000 000000 001000 000000 000000* +L0020592 000000 000000 000000 000000 000000 000000 000000 000100* +L0020640 000000 000000 000000 000000 000000 000000 000000 000100* L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000010* -L0020800 00000000 00000000 00000000 00000000 00000010 01000000 00000000 00010010* -L0020864 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00010000* -L0020928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0020992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0021056 00110000 00000000 00000001 10000000 00000000 00000000 00000000 00010000* -L0021120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110001* -L0021184 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00100000* -L0021248 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00100000* -L0021312 000000 000000 000000 000000 000000 000000 000000 001000* -L0021360 000000 000000 000000 000100 000000 000000 000000 000000* -L0021408 000000 000000 000000 000100 000000 000001 000000 000000* -L0021456 000000 000000 000000 000000 000000 000000 000000 000000* -L0021504 000000 000000 000000 000000 000000 000000 000000 000000* -L0021552 000000 000000 000000 000000 000000 000000 000000 000000* -L0021600 00000000 00000000 00000000 00100010 00000000 00000000 00001000 00010000* -L0021664 00000000 00000000 00000010 00001000 00000010 00000000 11110100 00000010* -L0021728 00000000 00000000 00000010 00000000 00000010 00000000 01110100 00000010* -L0021792 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0021856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021984 00000000 00000000 00000001 00000000 00010000 00000000 00000100 00000000* -L0022048 00000000 00000000 00000001 00000000 00000000 01000000 00000100 00000001* -L0022112 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* +L0020736 00000000 00000000 00100010 00000000 00000000 00000000 00000000 00000110* +L0020800 00000000 00000000 00101001 00000000 00000000 00000000 00000000 00011011* +L0020864 00000000 00000000 00001000 00000000 00000010 00000000 00000000 00010010* +L0020928 00000000 00000000 00001001 00000000 00000000 00000000 00000000 00000000* +L0020992 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00011100* +L0021056 00000000 00000000 01000010 00000000 00000000 00000000 00000000 00001000* +L0021120 00000000 00000000 00100001 00000000 00000010 00000000 00000000 00011101* +L0021184 00000000 00000000 00100000 00000000 00000011 00000000 00000000 00011100* +L0021248 00000000 00000000 01001000 00000000 00000010 00000000 00000000 00011100* +L0021312 000000 000000 010000 000000 000000 000000 000000 000101* +L0021360 000000 000000 011000 000000 000000 000000 000000 000000* +L0021408 000001 000000 010000 000000 000000 000000 000000 000010* +L0021456 000000 000000 011000 000000 000000 000000 000000 000010* +L0021504 000000 000000 011000 000000 000000 000000 000000 000010* +L0021552 000000 000000 010000 000000 000000 000000 000000 000110* +L0021600 00000000 00000000 01000000 00000000 00000001 00000000 11110100 10000000* +L0021664 00001000 00000000 00000010 00000000 00000000 00000000 00000000 10000110* +L0021728 00000000 00000000 00100011 00000000 00000000 00000000 00000000 10001111* +L0021792 00000000 00000000 00000000 00000000 00000010 00000000 00000000 10001110* +L0021856 00000000 00000000 00000001 00000000 00000000 00000000 00000000 10000000* +L0021920 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00010000* +L0021984 00000000 00000000 00000010 00000000 00010000 00000000 00000000 00000000* +L0022048 00000000 00000000 00000001 00000000 00000010 10000000 00000000 00000001* +L0022112 00000000 00000000 00100000 00000000 00000011 00000000 00000000 00000000* L0022176 000000 000000 000000 000000 000000 000000 000000 000000* -L0022224 000000 000000 000000 000000 000000 000000 000000 001000* -L0022272 000000 000000 000000 000000 000000 000000 100001 000000* -L0022320 000000 000000 000000 000000 000000 000000 100001 000000* -L0022368 000000 000000 000000 000000 000000 000000 000000 001000* +L0022224 000000 000000 000000 000100 000000 000000 100001 000000* +L0022272 000000 000000 000000 000000 000000 000000 000000 000000* +L0022320 000001 000000 000000 000000 000000 000000 000000 000000* +L0022368 000000 000000 000000 000000 000000 000000 000000 000000* L0022416 000000 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000010* -L0022528 00010011 00000001 00000000 00000011 00000011 00000011 00000011 00000111* -L0022592 00000111 00000001 00100001 00000011 00000011 00010011 00000011 00000011* -L0022656 00000011 00000001 00000000 00000011 00000011 00000011 00000011 00000010* -L0022720 00000011 00000001 00000000 00000011 00000011 00000011 00000011 00000011* -L0022784 00000011 00000001 10001001 00001011 00000011 00000011 00000011 00000011* -L0022848 00000011 00000001 10000010 00000111 00000010 00000011 00000011 00001011* -L0022912 00000011 00000001 10000001 00000011 00000011 00100011 00000011 00000011* -L0022976 00000011 00000011 10000010 00000011 00000010 00000011 00000011 00000010* -L0023040 000000 000000 000000 000000 000000 000000 000000 000000* -L0023088 000000 000000 000000 000000 000000 000000 000000 100000* -L0023136 000000 000000 001100 000000 000000 000000 000000 000000* -L0023184 000000 000000 001000 000000 000000 010000 000000 000000* -L0023232 000001 000000 001000 000000 000000 000000 000000 000000* -L0023280 000000 000000 001000 000000 000000 000000 000000 000000* -L0023328 00000000 00000000 00000100 00000100 00000000 00010000 00000000 00000000* -L0023392 00000100 00000000 00000100 00000100 00000000 00000000 00000000 00000000* -L0023456 00001000 00000000 00000100 00000000 00000000 00100100 00000000 00000000* -L0023520 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0023584 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0023648 00000000 00000000 00000000 00000100 00000000 00100000 00000000 00000000* -L0023712 00001000 00000000 00001100 00000000 00000000 00000000 00010000 10000000* -L0023776 00000000 00000000 00001100 00000000 00000000 00000000 00000000 10010000* -L0023840 00000000 00000000 00001000 00000000 00000000 00000000 00000000 10010000* -L0023904 000000 000000 000010 000000 000000 000000 000000 100100* -L0023952 000000 000000 000000 000000 010000 010000 000000 000000* -L0024000 000000 000000 000000 000010 000000 000000 000000 000000* -L0024048 000001 000000 000010 000010 000000 000000 000000 000000* -L0024096 000000 000000 000010 000000 000000 000000 000000 000000* -L0024144 000000 000000 000010 000000 000000 000000 000000 000000* -L0024192 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0024256 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024320 00000100 00000000 00000000 00000000 00000000 00100100 00000000 00000000* +L0022464 00000011 00000000 00100010 00000011 10000001 00000011 00000011 00000011* +L0022528 00000011 00000000 00100001 00000011 00000011 00000011 00000011 00000011* +L0022592 00000011 00000000 00000100 00000011 00000011 00000011 00000011 00000011* +L0022656 00000011 00000001 00000001 00000011 00000000 00000011 00000011 00000010* +L0022720 00000011 00000001 00001001 00000011 00000011 00000011 00000011 00000111* +L0022784 00000011 00000001 00000010 00000011 00000011 00000011 00000011 00000011* +L0022848 00000011 00000001 00010001 00000011 00000011 00000011 00000011 00000011* +L0022912 00000011 00000001 00000001 00000011 00000011 00000011 00000011 00010011* +L0022976 00000011 00000001 01001011 00000011 00000010 00000011 00000011 00010011* +L0023040 000000 000000 010000 000000 000000 000000 000000 000000* +L0023088 000000 000000 000001 000000 000000 000000 000000 000000* +L0023136 000000 000000 000001 000000 000000 000000 000000 000000* +L0023184 000000 000000 001000 000000 000000 000000 000000 000001* +L0023232 000000 000000 001000 000000 000000 000000 000000 000000* +L0023280 000000 000000 000000 000000 100000 000000 000000 000011* +L0023328 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0023392 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0023456 00000000 00000000 10000000 00000000 00000100 00000000 00000000 00000000* +L0023520 00000000 00000000 10000000 00000000 00000100 00000000 00000000 00000000* +L0023584 00000000 00000000 10000000 00000000 00000100 00000000 00000000 00000000* +L0023648 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* +L0023712 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0023776 00000000 00000000 10000000 00000000 00000000 10000000 00000000 00001000* +L0023840 00000000 00000000 10000000 00000000 00100000 00000000 00000000 10001100* +L0023904 000000 000000 100000 000000 001000 000000 000000 100101* +L0023952 000000 000000 100000 000000 000001 000000 100011 000000* +L0024000 000000 000000 100000 000000 001001 000000 000000 001000* +L0024048 000000 000000 100001 000000 001001 000000 000000 000000* +L0024096 000000 000000 000001 000000 011001 000000 000000 000000* +L0024144 000000 000000 000001 000000 011001 000000 000000 000000* +L0024192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024512 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0024576 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024768 000000 000000 000000 000000 000000 000000 000000 000010* -L0024816 000000 000000 000010 000000 000000 010000 000000 000000* -L0024864 000000 000000 000010 000000 000000 000000 000000 000000* -L0024912 000010 000000 000010 000000 000000 000000 000000 000000* -L0024960 000001 000000 000010 000000 000000 000000 000000 000000* -L0025008 000000 000000 000010 000000 000000 000000 000000 000000* -L0025056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025120 00000100 00000000 00000000 00000000 00000000 00010000 11111100 00000000* -L0025184 00001000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* -L0025248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025440 00000000 00000000 00000000 00000000 00000000 00100000 00000100 00000000* -L0025504 00001000 00000000 00000000 00000000 00001000 00000000 00000100 00000000* -L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0025632 000000 000000 000000 000000 000000 000000 000000 000000* -L0025680 000000 000000 000000 000010 000000 000000 000010 000000* -L0025728 000011 000000 000000 000000 000000 010000 100001 000000* -L0025776 000000 000000 000000 000000 000000 000000 100001 000000* +L0024816 000000 000000 000000 000000 000000 000000 000000 000000* +L0024864 000000 000000 000000 000000 000000 000000 000000 000000* +L0024912 000000 000000 000000 000000 000000 000000 000000 000000* +L0024960 000000 000000 000000 000000 000000 000000 000000 000000* +L0025008 000000 000000 000000 000000 000000 000000 000000 000000* +L0025056 00000000 00000000 01000000 00000000 00000000 00000000 11111100 00000000* +L0025120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025184 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0025248 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0025312 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00000000* +L0025376 10000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0025440 00000000 00000000 00000000 00000100 00000000 00000100 00000000 00000000* +L0025504 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0025568 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0025632 000000 000000 001000 000000 000000 000001 000000 000000* +L0025680 000000 000000 000000 000000 000000 000000 100001 000010* +L0025728 000000 000000 000000 000000 000000 000010 000000 000000* +L0025776 000000 000000 000000 000000 000000 000000 000000 000000* L0025824 000000 000000 000000 000000 000000 000000 000000 000000* L0025872 000000 000000 000000 000000 000000 000000 000000 000000* -L0025920 00000000 00000000 00000000 00000000 00000000 10000100 00000000 00000000* -L0025984 00000000 00000000 00000000 00000011 00000011 00000100 00000011 00000010* -L0026048 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000001* -L0026112 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0026176 00000000 00000000 00000000 00000010 00000011 00000101 00000011 00000010* -L0026240 00000000 00000000 00000000 00000010 00000011 10000001 00000010 00000011* -L0026304 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0026368 00000000 00000000 00000001 00000000 00000000 00100001 00000010 00000010* -L0026432 00000000 00000000 00000010 00000000 00000010 00000000 00000010 00000000* -L0026496 000000 000000 000000 000000 000000 000000 000000 000000* -L0026544 000000 000000 000000 000000 000000 000000 000000 000000* -L0026592 000000 000000 000000 000000 000000 000000 000000 000000* -L0026640 000000 000000 000000 000000 000000 000000 000000 000000* -L0026688 000000 000000 000000 000000 000000 000000 000000 000000* -L0026736 000000 000000 000000 000000 000000 000000 000000 000000* -L0026784 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026848 00000000 00000000 00000000 00000000 00000000 00010000 11111100 00000000* -L0026912 00000000 00000000 00000000 10000000 00000000 00010000 01111100 00000000* -L0026976 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0027040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027168 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0027232 00000000 00000000 00000000 00000000 00000000 10000000 00000100 00000000* -L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027360 000000 000000 000000 000000 000000 000000 000000 000000* -L0027408 000000 000000 000000 000000 000000 000000 000000 000000* -L0027456 000000 000000 000000 000000 000000 010000 100011 000000* -L0027504 000000 000000 000000 000000 000000 010000 100011 000000* -L0027552 000000 000000 000000 000000 000000 000000 000000 000000* -L0027600 000000 000000 000000 000001 000000 000000 000000 000000* -L0027648 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0027712 00000000 00000000 10010000 00000001 00100011 00000000 00000011 00000010* -L0027776 00000000 00000000 10010000 00000000 00100001 00000000 00000001 00000000* -L0027840 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 10000000 00000010 00000001 00000000 00000011 00000010* -L0027968 00000000 00000000 10000000 00000010 00000011 00000001 00000010 00000010* -L0028032 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0028096 00000000 00000000 10100001 00000010 00000000 00000000 00000010 00000010* -L0028160 00000000 00000000 10100000 00000000 00000010 00000000 00000010 00000000* -L0028224 000000 000000 000000 000000 000000 000000 000000 000001* -L0028272 000000 000000 000001 000000 000000 000000 000000 000000* -L0028320 000000 000000 001000 000000 000000 000000 000000 000000* -L0028368 000000 000000 001000 000000 000000 000000 000000 000000* -L0028416 000000 000000 001000 000000 000000 000000 000000 000000* -L0028464 000000 000000 001000 000000 000000 000000 000000 000000* -L0028512 00011111 00000000 00000011 00000000 00000011 00000000 00000000 00000010* -L0028576 00000011 00000000 00000000 00000000 00000000 00000000 11111100 00000001* -L0028640 00000011 00000000 00000001 10000000 00000010 00000000 01111100 00000011* -L0028704 00000011 00000000 00000000 00000000 00000011 00000000 00000000 00000010* -L0028768 00000011 00000000 00100000 00000000 00000010 00000001 00000000 00000001* -L0028832 00001011 00000000 00000001 00000000 00000000 00000000 00000000 10000000* -L0028896 00000011 00000000 00000010 00000000 00000010 00000000 00000100 00000011* -L0028960 00000011 00000000 00000000 00000000 00000011 00000000 00000100 00000001* -L0029024 00000011 00000001 00000010 00000000 00000000 00000000 00000000 10000010* -L0029088 000000 000000 100000 000000 000000 000000 000000 100000* -L0029136 000011 000000 000000 000000 000000 000000 000000 000000* -L0029184 000000 000000 000000 000000 000000 000000 100011 000000* -L0029232 000000 000000 100000 000000 000000 000000 100011 000000* -L0029280 000000 000000 000000 000000 000000 000000 000000 000100* -L0029328 000000 000000 000000 000001 000000 000000 000000 000101* -L0029376 00000001 00000001 00000000 10000001 00000000 00000011 00000000 00000000* -L0029440 00000000 00000001 00000000 10000000 00000000 00000011 00000000 00000000* -L0029504 00000000 00000001 00000000 10000010 00000000 00000011 00000000 00000000* -L0029568 00000001 00000001 00000000 00000010 00000000 00000011 00000000 00000000* -L0029632 00000001 00000001 00000000 00000000 00000000 00000010 00000000 00000000* -L0029696 00000001 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0029760 00000001 00000001 00000000 00000000 00000000 00000011 00000000 00000000* -L0029824 00000000 00000001 00000000 00000000 00000000 00000011 00000000 00000000* -L0029888 00000001 00000011 00000000 00000001 00000000 00000011 00000000 00000000* +L0025920 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00000000* +L0025984 00000000 00000000 00101000 00000011 00000011 00000000 00000011 00010110* +L0026048 00000000 00000000 00001000 00000000 00000001 00100000 00000001 00010101* +L0026112 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00001100* +L0026176 00000000 00000000 00001000 00000010 00000011 00000001 00000011 00011110* +L0026240 00000000 00000000 01000000 00000010 00000011 00000001 00000010 10010011* +L0026304 00000000 00000000 00100000 00000000 00000000 00000000 00000000 10010100* +L0026368 00000000 00000000 00100001 00000000 00000000 00000001 00000010 10010110* +L0026432 00000000 00000000 01001010 00000000 00000010 00010000 00000010 10010100* +L0026496 000000 000000 010000 000000 000000 000000 000000 100101* +L0026544 000000 000000 011000 000000 000000 000001 000000 000001* +L0026592 000000 000000 010000 000000 001000 100000 000000 000011* +L0026640 000000 000000 011000 000000 001000 100000 000000 000011* +L0026688 000000 000000 011000 000000 001000 001000 000000 000010* +L0026736 000000 000000 010000 000000 001000 000000 000000 000111* +L0026784 01000000 00000000 00000000 00000000 00000000 00000100 10000000 00001100* +L0026848 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0026912 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0026976 00000000 00000000 00100000 00000000 00000000 00010100 00000000 10000000* +L0027040 00000000 00000000 00100000 00000000 00000000 00010100 00000000 00000000* +L0027104 00000000 00000100 00000000 00000000 00100000 00010100 00000000 00000000* +L0027168 00000000 00000000 00000000 00000000 00100000 00000100 00000000 00000000* +L0027232 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0027296 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0027360 000000 000000 001000 000000 000000 000001 000000 000000* +L0027408 000000 000000 000000 000000 000000 101100 000000 000000* +L0027456 000000 000000 000000 000000 000000 000011 000000 000000* +L0027504 000000 000000 000000 000000 000000 000001 000000 000000* +L0027552 000000 000000 000000 000000 000000 000001 000000 000000* +L0027600 000000 000000 000000 000000 000000 000001 000000 000000* +L0027648 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0027712 00000000 00000000 00000000 00000001 00000111 00000000 00000011 00000010* +L0027776 00000000 00000000 00000000 00000000 00100101 00000000 00000001 00000000* +L0027840 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00000010 00100101 00000000 00000011 00000010* +L0027968 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000010* +L0028032 00000100 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0028096 00000000 00000000 00000001 00000010 00000100 00000000 00000010 00000010* +L0028160 00000000 00000000 00000000 00000000 00100110 00000000 00000010 00000000* +L0028224 000000 000000 000000 000000 001001 000000 000000 000000* +L0028272 000000 000000 000000 000000 010001 000010 000000 000000* +L0028320 000000 000000 000000 000000 010001 000000 000000 000000* +L0028368 000000 000000 000000 000000 010001 000000 000000 000000* +L0028416 000000 000000 000000 000000 010001 000000 000000 000000* +L0028464 000000 000000 001000 000000 010001 000000 000000 000000* +L0028512 00000011 00000000 01000010 01000011 00000001 00000000 10000111 00000011* +L0028576 00000011 00000000 00100001 00000010 00000000 00000000 00000000 00000001* +L0028640 00000011 00000000 00100000 00000011 00000010 00000000 00000010 00000011* +L0028704 00000011 00000000 00100001 00000011 00000000 00000000 00000011 00000010* +L0028768 00000001 00000000 00100001 00000001 00000010 00000001 00000000 00000001* +L0028832 00000001 00000000 00000010 10000001 00000100 00000000 00000001 00000001* +L0028896 00000000 00000000 00000001 10000011 00000011 00000000 00000011 00000011* +L0028960 00000000 00000000 00000100 00000001 00000011 00000000 00000001 00000001* +L0029024 00000001 00000000 00101111 00000011 00000000 00000000 00000001 00000011* +L0029088 000000 000000 011000 000000 000000 000000 000000 000000* +L0029136 000000 000000 000000 000000 100000 000000 000000 000000* +L0029184 000000 000000 100000 000000 000000 000000 000000 000100* +L0029232 000000 000000 100000 000000 000010 000000 000000 000100* +L0029280 000000 000000 001000 000000 000010 000000 000000 000100* +L0029328 000000 000000 000000 000000 000010 000000 000000 000000* +L0029376 10000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029440 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029504 00000000 00000000 00000000 00000000 00000000 01000011 00000000 00000000* +L0029568 00000000 00000001 00000000 00000000 00000000 00000011 00000000 00000000* +L0029632 00000000 00000001 00000000 00000000 00000000 00000010 00000000 00000000* +L0029696 00000010 00000001 00000000 00000000 00000000 00000010 00000000 00000000* +L0029760 00000011 00000001 00000000 00000000 00000000 00000011 00000000 00000000* +L0029824 00000011 00000001 00000000 00000000 00000000 00100011 00000000 00000000* +L0029888 00000010 00000001 00000000 00000000 00000000 00000011 00000000 00000000* L0029952 000000 000000 000000 000000 000000 000000 000000 000000* -L0030000 000000 000000 000000 000000 000000 000000 000000 000000* -L0030048 000000 000001 000000 000000 000000 000000 000000 000000* -L0030096 000000 000000 000000 000001 000000 000000 000000 000000* +L0030000 100000 000000 000000 000010 000000 000000 000000 000000* +L0030048 000000 000000 000000 000000 000000 000000 000000 000100* +L0030096 000000 000000 000000 000000 000000 010000 000000 000000* L0030144 000000 000000 000000 000000 000000 000000 000000 000000* L0030192 000000 000000 000000 000000 000000 000000 000000 000000* -L0030240 00000000 00000001 00000000 00000000 00000000 00000000 00000011 00000000* -L0030304 00000000 00000000 00000000 00000010 00000000 00000001 10000100 00000000* -L0030368 00000000 00000001 00000000 00000001 00000000 00000001 00000110 00000000* -L0030432 00000000 00000001 00000000 00000011 00000000 00000000 00000011 00000000* -L0030496 00000000 00000000 00000000 00000001 00000010 00000000 00000000 00000000* -L0030560 00000000 00000001 00000000 00000001 00000000 00000000 00000001 00000001* -L0030624 00000010 00000000 00000000 00000011 00000000 00000000 00000111 00000000* -L0030688 00000000 00000001 00000000 00000001 00000000 10000001 00000101 00000000* -L0030752 00000000 00000000 00000000 00000010 00000000 00000010 00000001 00000000* -L0030816 000000 000000 000000 000001 000000 000000 000000 000000* -L0030864 100000 000000 000000 000001 000000 000000 000001 000000* -L0030912 000000 000000 000000 000001 000000 000000 000000 000000* -L0030960 000000 000000 000000 000000 000000 000000 000000 000000* -L0031008 000000 000000 000000 000001 000000 000000 000000 000000* +L0030240 00000000 00000000 00000000 10010000 00000000 01000000 10000100 00000000* +L0030304 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0030368 00000000 00000000 00000000 00000000 00000000 00001001 00000000 00000000* +L0030432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0030496 00000010 00000001 00000000 00000000 00000010 00000000 00000000 00000000* +L0030560 00000000 00000000 00000000 00000000 00000000 00100000 00000100 00000000* +L0030624 00000010 00000001 00000000 00000010 00000000 00000000 00000000 00000000* +L0030688 00000000 00000001 00000000 00000000 00000000 01000001 00000000 00000000* +L0030752 00000010 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0030816 000000 000000 000000 000000 000000 000000 000000 000000* +L0030864 000001 000000 000000 000000 000000 010000 000000 000000* +L0030912 000000 000000 000000 000000 000000 000000 000000 000000* +L0030960 000000 000000 000000 000000 000000 000000 000000 000100* +L0031008 000000 000000 000000 000000 000000 000000 000000 000100* L0031056 000000 000000 000000 000001 000000 000000 000000 000000* -L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031104 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031424 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -645,51 +645,51 @@ L0031776 000000 000000 000000 000000 000000 000000 000000 000000* L0031824 000000 000000 000000 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000011 00000000* -L0032032 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000001 00000000 00000000 00000000 00000000 00000000 00000010 00000000* -L0032160 00000000 00000000 00000000 00000000 00000010 00000000 00000011 00000000* -L0032224 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0032288 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0032352 00000000 00000000 00000000 00000001 00000000 00000000 00000011 00000000* -L0032416 00000010 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0032480 00000010 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0031968 00000000 00000000 00000000 00000001 00000000 00000000 00000011 00000001* +L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032096 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000010* +L0032160 00000000 00000000 00000000 00000001 00000000 00000000 00000011 00000010* +L0032224 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0032288 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0032352 00000000 00000000 00000000 10000001 00000000 00000000 00010011 00000000* +L0032416 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0032480 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000001* L0032544 000000 000000 000000 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* L0032640 000000 000000 000000 000000 000000 000000 000000 000000* L0032688 000000 000000 000000 000000 000000 000000 000000 000000* L0032736 000000 000000 000000 000000 000000 000000 000000 000000* L0032784 000000 000000 000000 000000 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032832 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00000000* L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033152 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0033216 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0033152 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033408 000000 000000 000000 000000 000000 000000 000000 000000* L0033456 000000 000000 000000 000000 000000 000000 000000 000000* L0033504 000000 000000 000000 000000 000000 000000 000000 000000* -L0033552 000000 000000 000000 000000 100000 000000 000000 000000* -L0033600 000000 000000 000000 000000 100000 000000 000000 000000* +L0033552 000000 000000 000000 000000 000000 000000 000000 000000* +L0033600 000000 000000 000000 000000 000000 000000 000000 000000* L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000000 00000000 00000000 00000000 00000000 00000001 00000011 00000010* -L0033760 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000001* -L0033824 00000000 00000000 00000001 00000000 00000000 00000000 00000010 00000001* -L0033888 00000001 00000000 00000000 00000000 00000000 00000001 00000011 00000000* -L0033952 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000001* -L0034016 00000000 00000000 00000000 00000001 00000000 00000010 00000001 00000001* -L0034080 00000000 00000000 00000000 00000011 00000000 00000000 00000011 00000000* -L0034144 00000001 00000000 00000000 00000000 00000010 00000010 00000001 00000000* -L0034208 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0034272 000000 000000 000000 000000 000000 000000 000000 000000* -L0034320 000000 000000 000000 000000 000000 000000 000000 000000* -L0034368 000000 000000 000000 000000 000000 000000 000000 000000* -L0034416 000000 000000 000000 000000 000000 000000 000000 000000* -L0034464 000000 000000 000000 000000 000000 000000 000000 000000* -L0034512 000000 000000 000000 000000 000000 000000 000000 000000* +L0033696 00000000 00000000 00000000 00000000 00000000 00000001 00000001 00000000* +L0033760 00000000 00000000 00000001 00000000 00000100 00000010 00000000 00000000* +L0033824 00000000 00000000 00000000 00000001 00000100 00000000 00000010 00000001* +L0033888 00000000 00000000 00000000 00000001 00000100 00000010 00000011 00000010* +L0033952 00000000 00000000 00000001 00000001 00000100 00000000 00000000 00000000* +L0034016 00000000 00000000 00000000 00000000 00000000 00000010 00010001 00000001* +L0034080 00000000 00000000 00000000 00000000 00000011 00000000 00000011 00000011* +L0034144 00000000 00000000 00000000 00000000 00000001 00000010 00000001 00000001* +L0034208 00000000 00000000 00000000 00000001 00100000 00000001 00000001 00000001* +L0034272 000000 000000 000000 000000 001001 000000 000000 000000* +L0034320 000000 000000 000000 000000 000001 000000 000000 000000* +L0034368 000000 000000 000000 000000 010001 000000 000000 000000* +L0034416 000000 000000 000000 000000 010001 000000 000000 000000* +L0034464 000000 000000 000000 000000 010001 000000 000000 000000* +L0034512 000000 000000 000000 000000 010001 000000 000000 000000* L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -700,184 +700,184 @@ L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035136 000000 000000 000000 000000 000000 000000 000000 000000* -L0035184 000000 000000 000000 000000 000000 000010 000000 000000* +L0035184 000000 000000 000000 000000 000000 000000 000000 000000* L0035232 000000 000000 000000 000000 000000 000000 000000 000000* L0035280 000000 000000 000000 000000 000000 000000 000000 000000* -L0035328 000000 000000 000000 000000 000000 000000 000000 000000* +L0035328 000000 000000 000100 000000 000000 000000 000000 000000* L0035376 000000 000000 000000 000000 000000 000000 000000 000000* -L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035424 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0035616 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0035680 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035808 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035872 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035936 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036000 000000 000000 000000 000000 000000 000000 000000 000000* -L0036048 000000 000000 000000 000000 000000 000000 000000 000000* -L0036096 000000 000000 000000 000000 000000 000000 000000 000000* -L0036144 000000 000000 000000 000000 000000 000000 000000 000000* -L0036192 000000 000000 000000 000000 000000 000000 000000 000000* -L0036240 000000 000000 000000 000000 000000 000000 000000 000000* -L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035808 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0035872 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0035936 00000000 00000000 01101100 00000000 00000000 00000000 00000000 00000000* +L0036000 000000 000000 111000 000000 000000 000000 000000 000000* +L0036048 000000 000000 100000 000000 000000 000000 000000 000000* +L0036096 000000 000000 100000 000000 000000 000000 000000 000000* +L0036144 000000 000000 101000 000000 000000 000000 000000 000000* +L0036192 000000 000000 001000 000000 000000 000000 000000 000000* +L0036240 000000 000000 000010 000000 000000 000000 000000 000000* +L0036288 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036736 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0036736 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036864 000000 000000 000000 000000 000000 000000 000000 000000* L0036912 000000 000000 000000 000000 000000 000000 000000 000000* -L0036960 000000 000000 000000 000000 000000 000000 000000 000010* +L0036960 000000 000000 000000 000000 000000 000000 000000 000000* L0037008 000000 000000 000000 000000 000000 000000 000000 000000* L0037056 000000 000000 000000 000000 000000 000000 000000 000000* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037344 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0037408 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0037472 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10000000* -L0037536 00000000 00000000 11000100 00000000 00000000 00000000 00000000 10000000* -L0037600 00000000 00000000 11000100 00000000 00000000 00000000 00000000 10000000* -L0037664 00000000 00000000 11000000 00000000 00000000 00000000 00000000 10000000* -L0037728 000000 000000 110000 000000 000000 000000 000000 100000* -L0037776 000000 000000 100000 000000 000000 000000 000000 000000* -L0037824 000000 000000 101000 000000 000000 000000 000000 100100* -L0037872 000000 000000 101000 000000 000000 000000 000000 000101* -L0037920 000000 000000 001000 000000 000000 000000 000000 000101* -L0037968 000000 000000 001000 000000 000000 000000 000000 000101* -L0038016 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0038080 00000000 00000000 00000000 00000011 00000111 00000011 00000011 00000010* -L0038144 00000000 00000000 00000000 00000000 00010101 00000001 00000001 00000001* -L0038208 00000000 00000000 00000000 00000000 00011100 00000000 00000000 00000000* -L0038272 00000000 00000000 00000000 00000010 10011111 00000001 00000011 00000010* -L0038336 00000000 00000000 00000000 00000010 10000111 00000001 00000010 00000011* -L0038400 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0038464 00000000 00000000 00000001 00000010 10000100 00000001 00000010 00000010* +L0037152 00000000 00000000 01100000 00001000 00000000 00000000 01111100 00000000* +L0037216 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00100000 00000000 00000100 00000000 00000000 00000000* +L0037344 00000000 00000000 00100000 00000000 00000100 00000000 00000000 00000000* +L0037408 00000000 00000000 00101000 00000000 00000100 00000000 00000000 00000000* +L0037472 00000000 00000000 00000000 00001000 00000000 00000000 00000100 00000000* +L0037536 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0037600 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0037664 00000000 00000000 01101100 00000000 00100000 00000000 00000000 00000000* +L0037728 000000 000000 111000 000000 001000 000000 000000 000000* +L0037776 000000 000000 100000 000100 000001 000000 100011 000000* +L0037824 000000 000000 100000 000000 000001 000000 000000 000000* +L0037872 000000 000000 101000 000000 000001 000000 000000 000000* +L0037920 000000 000000 001000 000000 010001 000000 000000 000000* +L0037968 000000 000000 000010 000000 010001 000000 000000 100000* +L0038016 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* +L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* +L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038272 00000000 00000000 00000000 00000010 00000011 00000001 00000011 00000010* +L0038336 00000000 00000000 00000000 00000010 00000011 10000001 00000010 00000011* +L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038464 00000000 00000000 00000001 00000010 00000000 00000001 00000010 00000010* L0038528 00000000 00000000 00000010 00000000 00000010 00000010 00000010 00000000* L0038592 000000 000000 000000 000000 000000 000000 000000 000000* -L0038640 000000 000000 000000 000000 000101 000000 000000 000000* -L0038688 000000 000000 000000 000000 000011 000000 000000 000000* -L0038736 000000 000000 000000 000000 000011 000000 000000 000000* -L0038784 000000 000000 000000 000000 000011 000000 000000 000000* -L0038832 000000 000000 000000 000000 000011 000000 000000 000000* -L0038880 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0038944 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0039008 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000011* -L0039072 00000011 00000001 00000001 00000011 00000011 00000011 00000011 00000011* -L0039136 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000011* -L0039200 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0038640 000000 000000 000000 000000 000000 000000 000000 000000* +L0038688 000000 000000 000000 000000 000000 000000 000000 000000* +L0038736 000000 000000 000000 000000 000000 000000 000000 000000* +L0038784 000000 000000 000000 000000 000000 000000 000000 000000* +L0038832 000000 000000 000000 000000 000000 000000 000000 000000* +L0038880 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* +L0038944 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* +L0039008 00000011 00000000 00000011 00000011 00000011 00000011 00000010 00000011* +L0039072 00000011 00000001 00000011 00000011 00000010 00000011 00000011 00000011* +L0039136 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000001* +L0039200 00000011 00000001 00000011 00000011 00001011 00000011 00000011 00000011* L0039264 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0039328 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000011* -L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039328 00000011 00000001 00000011 00000001 00000011 10000011 00000011 00000011* +L0039392 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* L0039456 000000 000000 000000 000000 000000 000000 000000 000000* L0039504 000000 000000 000000 000000 000000 000000 000000 000000* L0039552 000000 000000 000000 000000 000000 000000 000000 000000* L0039600 000000 000000 000000 000000 000000 000000 000000 000000* L0039648 000000 000000 000000 000000 000000 000000 000000 000000* L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000001 00000000 00001011 00000011 00000011 00000001 00000011 00000011* -L0039808 00000001 00000000 00001011 00000000 00100011 00000011 00000011 00000011* -L0039872 00000001 00000000 00001011 00000001 00100011 00000011 00000010 00000011* -L0039936 00000001 00000000 00001001 00000001 00000001 00000011 00000011 00000001* -L0040000 00000001 00000000 00011011 00000000 00000001 00000011 00100010 00000111* -L0040064 00000001 00000000 00000011 00000011 00100001 00000010 00000011 00000001* -L0040128 00000001 00000000 00011011 00000001 00100011 00000011 00000011 11100111* -L0040192 00000001 00000000 00010011 00000011 00000011 00000011 00000011 11100111* -L0040256 00000001 00000001 00010011 00000001 10000111 00000011 00000011 10100111* -L0040320 000000 000000 001100 000000 100001 000000 000000 101001* -L0040368 000000 000000 001000 000000 000000 000000 000000 000010* -L0040416 000000 000000 000001 000000 001100 000000 001000 000010* -L0040464 000000 000000 000001 000000 101100 000000 000000 000010* -L0040512 000000 000000 000011 000000 101110 000000 000000 000010* -L0040560 000000 000000 000001 000000 001110 000000 000000 000010* -L0040608 00000000 00000000 10000011 00000010 00000111 00000001 00000001 00001011* -L0040672 00000000 00000000 10010011 00000000 00000011 00000011 00000000 10010011* -L0040736 00000000 00000000 00000011 00000000 00000011 00000001 00000010 10000001* -L0040800 00000001 00000000 00100001 00000000 00000001 00000001 00000011 00000001* -L0040864 00000001 00000000 00100011 00000000 00000001 00000010 00000000 00000011* -L0040928 00000001 00000000 00000011 00000011 00000001 00000010 00100001 00000001* -L0040992 00000001 00000000 00100011 00000001 00000111 00000011 00000011 00000011* -L0041056 00000001 00000000 00001011 00000001 00000011 00000010 00000011 00001011* -L0041120 00000001 00000000 00001011 00000001 00000011 00000011 00000001 00000011* -L0041184 000000 000000 100000 000000 000000 000000 000000 000000* -L0041232 000000 000000 110000 010000 000001 000000 000000 000000* -L0041280 000000 000000 110000 000000 000001 000000 000000 000000* -L0041328 000000 000000 100000 000000 000000 000000 000000 000000* -L0041376 000000 000000 000000 000000 000000 000000 000000 000000* -L0041424 000000 000000 000000 000000 000000 000000 000000 000000* -L0041472 00000000 00000000 00000011 00000000 00000011 00000001 00000011 00000011* -L0041536 00000001 00000000 00000011 00000000 00100011 00000001 00000000 00000011* -L0041600 00000001 00000000 00000001 00000000 00000011 00000000 00000010 00000001* -L0041664 00000000 00000000 00000001 00000000 00000011 00000001 00000011 00000001* -L0041728 00000000 00000000 00000011 00000001 00000100 00000000 00100000 00000001* -L0041792 00000000 00000000 00000011 00000001 00000001 00000000 00000001 00000001* -L0041856 00000000 00000000 00000011 00000001 00000001 00000001 00000011 00000001* -L0041920 00000011 00000000 00000010 00000001 10000011 00000000 00000011 00000011* -L0041984 00000011 00000000 00000011 00000001 10000011 00000011 00000001 00000011* -L0042048 000000 000000 000000 000000 100000 000000 001000 000000* +L0039744 00000000 00000000 00000011 00000000 11000001 00000001 00000000 00000011* +L0039808 00000000 00000000 00010011 00000010 01000011 00000011 00000011 00000011* +L0039872 00000000 00000000 00010011 00000000 01000011 00000011 00000000 00000011* +L0039936 00000001 00000000 10010001 00000000 01000010 00000011 00000000 00000011* +L0040000 00000000 00000000 00010011 00000000 01000011 00000011 00000010 00000001* +L0040064 00100000 00000000 00001011 00000010 10000011 00000011 00000010 00000001* +L0040128 00000001 00000000 01001011 00000001 10000011 00000011 00000000 00000011* +L0040192 00000001 00000000 01000011 00000011 10000011 00000111 00000010 00001001* +L0040256 00000001 00000000 00000011 00000001 10000011 00000111 00000000 10001111* +L0040320 000000 000000 000000 000000 100000 000001 001000 100101* +L0040368 001000 000000 000100 000000 000000 000000 000000 000000* +L0040416 000000 000000 001100 000000 001001 000000 000000 001000* +L0040464 000000 000000 000101 000000 001001 000001 000000 000000* +L0040512 000000 000000 000100 000000 001001 000000 000000 000000* +L0040560 000000 000000 001100 000000 101001 000000 000000 000000* +L0040608 00000000 00000000 01000011 00000000 00000001 00000001 00000000 00000011* +L0040672 00000000 00000000 00001011 00000000 00000010 00000011 00000000 00010011* +L0040736 00000000 00000000 00100011 00000000 00100010 00000011 00000000 00000011* +L0040800 00000000 00000000 00100101 00000000 00100010 00000011 00000000 00000001* +L0040864 00000000 00000000 00100111 00000000 00000001 00000010 00000000 00000001* +L0040928 00000000 00000000 00000011 00000010 00000011 00000010 00100000 10000001* +L0040992 00000000 00000000 00100011 00000000 00000111 00000111 00000000 00000101* +L0041056 00000000 00000000 00000011 00000000 00000111 00000011 00000010 00000001* +L0041120 00000001 00000000 00100011 00000001 00000011 00000011 00000000 00000011* +L0041184 000000 000000 001000 000000 000000 000000 000000 000000* +L0041232 000000 000000 010000 010000 000000 000000 000000 000001* +L0041280 000000 000000 010000 000000 000000 000001 000000 000010* +L0041328 000000 000000 000000 000000 000000 000000 000000 000000* +L0041376 000000 000000 000001 000000 000000 000001 000000 000000* +L0041424 000000 000000 000001 000000 000000 000001 000000 000100* +L0041472 00000000 00000000 00000011 00000001 00000001 00000001 00000011 00000011* +L0041536 00000000 00000000 00000011 00000000 00000010 00000001 00000000 00000011* +L0041600 00000000 00000000 00000001 00000001 00000010 00000000 00000010 00000011* +L0041664 00000000 00000000 00000001 00000001 00000010 00000001 00000011 00000011* +L0041728 00000001 00000000 00000011 00000001 00000000 00000000 00000000 00000001* +L0041792 00000001 00000000 00000011 00000001 00000011 00000000 00000001 00000001* +L0041856 00000000 00000000 00000011 00000001 00000011 00000001 00000011 00000001* +L0041920 00000000 00000000 00000011 00000001 00000011 00000011 00000011 00000001* +L0041984 00000000 00000000 00000011 00000001 00000011 00000011 00000001 00000011* +L0042048 000000 000000 000000 000000 000000 000000 001000 000000* L0042096 000000 000000 000000 000000 000000 000000 000000 000000* -L0042144 000000 000000 000000 000000 001100 000000 000000 000000* -L0042192 000000 000000 000000 000000 001110 000000 000000 000000* -L0042240 000000 000000 000000 000000 000010 000000 000000 000000* -L0042288 000000 000000 000000 000000 000001 000000 000000 000000* -L0042336 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000011* -L0042400 00000000 00000000 00000011 00000000 00000110 00000000 00000000 00000011* -L0042464 00000000 00000000 00000001 00000000 00100101 00000000 00000000 00000001* -L0042528 00000000 00000000 00000001 00000000 00000101 00000001 00000000 00000001* -L0042592 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000001* -L0042656 00000000 00000000 00000011 00000000 10100001 00000000 00000000 00000001* -L0042720 00000000 00000000 00000011 00000000 10100001 00000001 00000010 00000001* -L0042784 00000000 00000000 00000010 00000001 00000001 00000000 00100010 00000011* -L0042848 00000000 00000000 00000011 00000001 00000111 00000001 00100000 00000011* -L0042912 000000 000000 000000 000000 000001 000000 000000 000000* +L0042144 000000 000000 000000 000000 000000 000000 000000 000000* +L0042192 000000 000000 000000 000000 000000 000000 000000 000000* +L0042240 000000 000000 000000 000000 000000 000000 000000 000000* +L0042288 000000 000000 000000 000000 000000 000000 000000 000000* +L0042336 00000000 00000000 00000011 00000000 00000000 00000001 00000000 00000011* +L0042400 00000000 00000000 00000011 00000000 00000010 00000000 00000000 00000011* +L0042464 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000011* +L0042528 00000000 00000000 00000001 00000000 00000010 00000001 00000000 00000001* +L0042592 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000001* +L0042656 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000001* +L0042720 00000000 00000000 00000011 00000000 00000011 00000001 00100000 00000001* +L0042784 00000000 00000000 00000010 00000000 00000011 00000001 00000010 00000001* +L0042848 00000000 00000000 00000011 00000001 00000011 00000001 00000000 00000001* +L0042912 000000 000000 000000 000000 000000 000000 000000 000000* L0042960 000000 000000 000000 000000 000000 000000 000000 000000* -L0043008 000000 000000 000000 000001 000010 000000 001000 000000* -L0043056 000000 000000 000000 000001 100001 000000 000000 000000* -L0043104 000000 000000 000000 000000 001101 000000 000000 000000* -L0043152 000000 000000 000000 000000 001110 000000 000000 000000* -L0043200 00000000 00000000 00000010 00000010 00000000 00000000 00000011 00001001* -L0043264 00000000 00000000 00000010 00000000 00000010 00000010 00000011 10001011* -L0043328 00000000 00000000 00000010 00000000 00000000 00000010 00000000 10001011* -L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001100* -L0043456 00000011 00000000 00000010 00000010 00000010 00000010 00000011 10001001* -L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0043584 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0043648 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00011000* -L0043712 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0043776 000000 000000 000000 000000 000000 000000 000000 000100* -L0043824 000000 000000 000000 000000 000000 000000 000000 000001* -L0043872 000000 000000 000000 000000 000000 000000 000000 000101* -L0043920 000000 000000 000000 000000 000000 000000 000000 000101* -L0043968 000000 000000 000000 000000 000000 000000 000000 000101* -L0044016 000000 000000 000000 000000 000000 000000 000000 000101* -L0044064 00000000 00000000 00000011 00000011 00000000 00000000 00000000 01100001* -L0044128 00000000 00000000 00000001 00000001 00000000 00000010 00000000 00000001* -L0044192 00000000 00000000 00000011 00000011 00000000 00000011 00000000 00000001* +L0043008 000000 000000 000000 000000 000000 000000 000000 000000* +L0043056 000000 000000 000000 000000 000000 000000 000000 000000* +L0043104 000000 000000 000000 000000 000000 000000 000000 000000* +L0043152 000000 000000 000000 000000 000000 000000 000000 000000* +L0043200 00000010 00000000 00000010 00000000 00000010 00000000 00000001 00000000* +L0043264 00000000 00000000 00000000 00000010 00000010 00000010 00000001 00000000* +L0043328 00000010 00000000 00000000 00000010 00000010 00000010 00000001 00000000* +L0043392 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0043456 00000010 00000000 00000010 00000000 00000010 00000010 00000001 00000010* +L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043776 000000 000000 000000 000000 000000 000000 000000 000000* +L0043824 000000 000000 000000 000000 000000 000000 000000 000000* +L0043872 000000 000000 000000 000000 000000 000000 000000 000000* +L0043920 000000 000000 000000 000000 000000 000000 000000 000000* +L0043968 000000 000000 000000 000000 000000 000000 000000 000000* +L0044016 000000 000000 000000 000000 000000 000000 000000 000000* +L0044064 00000000 00000000 00000011 00000001 00000011 00000010 00000000 00000001* +L0044128 00000000 00000000 00000001 00000001 00000001 00000001 00000000 00000001* +L0044192 00000000 00000000 00000011 00000001 00000011 00000011 00000000 00000001* L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000010* +L0044320 00000010 00000000 00000000 00000000 00000000 00000011 00000000 00000000* L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044576 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044640 000000 000000 000000 000000 000000 000000 000000 000000* -L0044688 000000 000000 000000 000000 000000 000000 000000 100000* +L0044688 000000 000000 000000 000000 000000 000000 000000 000000* L0044736 000000 000000 000000 000000 000000 000000 000000 000000* -L0044784 000000 000000 000000 000000 000000 000000 000000 000000* -L0044832 000100 000000 000000 000000 000000 000000 000000 000000* -L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000000 00000000 00000000 00000010 00000000 00000010 00000001 00000000* -L0044992 00000010 00000000 00000000 00000000 00000000 00000011 00000011 00000010* -L0045056 00000000 00000000 00000010 00000010 00000000 00000011 00000010 00000010* -L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045184 00000010 00000000 00000000 00000010 00000010 00000011 00000001 00000000* -L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044784 000000 000000 000000 000000 001000 000000 000000 000000* +L0044832 000000 000000 000000 000000 000000 000000 000000 000000* +L0044880 000000 000000 000000 000000 001000 000000 000000 000000* +L0044928 00000000 00000000 00000000 00000010 00000000 00000000 00000010 00000000* +L0044992 00000010 00000000 00000010 00000010 00000000 00000011 00000010 00000000* +L0045056 00000010 00000000 00000010 00000010 00000000 00000001 00000000 00000000* +L0045120 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0045184 00000010 00000000 00010000 00000010 00000000 00000011 00000010 00000010* +L0045248 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0045312 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045504 000000 000000 000000 000000 000000 000000 000000 000000* L0045552 000000 000000 000000 000000 000000 000000 000000 000000* @@ -885,146 +885,146 @@ L0045600 000000 000000 000000 000000 000000 000000 000000 000000* L0045648 000000 000000 000000 000000 000000 000000 000000 000000* L0045696 000000 000000 000000 000000 000000 000000 000000 000000* L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000001 00000000 00000000 00000010 00000000 00000011 00000000 00000001* -L0045856 00000001 00000000 00000011 00000001 00000001 00000001 00000011 00000000* -L0045920 00000001 00000000 00000001 00000011 00000000 00000011 00000001 00000001* +L0045792 00000001 00000000 00100010 00000010 00000000 00000000 00000010 00000000* +L0045856 00000001 00000000 00100001 00000001 00000001 00000010 00000010 00000000* +L0045920 00000001 00000000 00000011 00000010 00000000 00000010 00000000 00000000* L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000001 00000000 00000010 00000010 00000011 00000011 00000010 00000001* +L0046048 00000001 00000000 00001000 00000011 00000011 00000010 00000010 00000010* L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046368 000000 000000 000000 000000 000000 000000 000000 000000* -L0046416 000000 000000 000000 000000 000000 000000 000000 000000* -L0046464 000000 000000 000000 000000 000000 000000 000000 000000* -L0046512 000000 000000 000000 000000 000000 000000 000000 000000* -L0046560 000000 000000 000000 000000 000000 000000 000000 000000* -L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 00000000 00000010 00000000 00000000 00000010 00000000 00000001 00001000* -L0046720 00000000 00000000 00000000 00000010 00000000 00000010 00000010 10001000* -L0046784 00000000 00000000 00000011 00000010 00000000 00000010 00000001 10001000* -L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* -L0046912 00000000 00000000 00000000 00000010 00000000 00000010 00000001 10001010* -L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* -L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0047232 000000 000000 000000 000000 000000 000000 000000 100000* -L0047280 000000 000000 000000 000000 000000 000000 000000 000001* -L0047328 000000 000000 000000 000000 000000 000000 000000 000101* -L0047376 000000 000000 000000 000000 000000 000000 000000 000101* -L0047424 000000 000000 000000 000000 000000 000000 000000 000101* -L0047472 000000 000000 000000 000000 000000 000000 000000 000101* -L0047520 01000000 00000000 00000000 00000000 00000010 00000000 01000000 10000110* -L0047584 00000000 00000000 00000000 00000000 00000000 00000010 10000100 00000000* -L0047648 00000000 00000000 00000000 00000000 00000010 00000010 00000100 00000010* +L0046176 00000000 00000000 01000100 00000000 00000000 00000000 00000000 00000000* +L0046240 00000000 00000000 01000100 00000000 00000000 00000000 00000000 00000000* +L0046304 00000000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* +L0046368 000000 000000 110000 000000 000000 000000 001000 000000* +L0046416 000000 000000 100000 000000 000000 000000 000000 000000* +L0046464 000000 000000 101000 000000 000000 000000 000000 000000* +L0046512 000000 000000 101000 000000 000000 000000 000000 000000* +L0046560 000000 000000 001000 000000 000000 000000 000000 000000* +L0046608 000000 000000 000010 000000 000000 000000 000000 000000* +L0046656 00000000 00000010 00000000 00000100 00000000 00000000 00000000 00000000* +L0046720 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000010* +L0046784 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000010* +L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046912 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0046976 00001000 00000000 00000000 00000000 00000000 00000000 10000000 00100000* +L0047040 00000000 00000000 00000000 00000000 00000000 00000000 01010000 00000000* +L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0047232 000000 000000 000000 000000 000000 000000 000000 000000* +L0047280 000000 000000 000000 000000 000000 000000 010000 000000* +L0047328 000000 000000 000000 000000 000000 000000 000000 000000* +L0047376 000000 000000 000000 000000 000000 000000 000000 000000* +L0047424 000000 000000 000000 000000 000000 000000 000000 000000* +L0047472 000000 000000 000000 000000 000000 000000 000000 000000* +L0047520 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000000* +L0047584 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0047648 00000000 00000000 00000000 00000001 00000010 00000000 00000000 00000000* L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000010 00000000 00000010 00000000 00000000 00000010 00000000 00000000* -L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00010000* -L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0048096 000000 000000 000000 000000 000000 000000 000000 000100* +L0047776 00000000 00000000 00000010 00000001 00000000 00000010 00000000 00000000* +L0047840 00000000 00000000 00000000 00000000 00000000 00000000 01010000 00000000* +L0047904 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048096 000000 000000 000000 000000 000000 000000 001000 000000* L0048144 000000 000000 000000 000000 000000 000000 000000 000000* -L0048192 000000 000000 000000 000000 000000 000000 100001 000000* -L0048240 000000 000000 000000 000000 000000 000000 100001 000000* +L0048192 000000 000000 000000 000000 000000 000000 010000 000000* +L0048240 000000 000000 000000 000000 000000 000000 000000 000000* L0048288 000000 000000 000000 000000 000000 000000 000000 000000* L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00000000 00000010 00000100 00000010 00000001 00000001 00000011 00000010* -L0048448 00000000 00000000 00000100 00000000 00000001 00000010 00000011 00000010* -L0048512 00000000 00000000 00000100 00000010 00000000 00000011 00000000 00100010* -L0048576 00000000 00000000 00000101 00000001 00000000 00000000 00000000 00000001* -L0048640 00000000 00000010 00000110 00000010 00000010 00000011 00000011 00000010* -L0048704 00000000 00000000 10000100 00000000 00000000 00000000 00000000 10000000* -L0048768 00000000 00000000 11000100 00000000 00000000 00000000 00000000 10000000* -L0048832 00000000 00000000 11001100 00000000 00000000 00000000 00000000 10000000* -L0048896 00000000 00000000 11001000 00000000 00000000 00000000 00000000 10000000* -L0048960 000000 000000 010010 000000 000000 000000 000000 100000* -L0049008 000000 000000 000000 000000 000000 000000 000000 010000* -L0049056 000000 000000 001000 000000 000000 000000 000000 000100* -L0049104 000000 000000 001000 000000 000000 000000 000000 000101* -L0049152 000000 000000 001100 000000 000000 000000 000000 000101* -L0049200 000000 000000 001000 000000 000000 000000 000000 000101* -L0049248 00000000 00000000 00000010 00000000 00000001 00000000 00000010 00000010* -L0049312 00000000 00000010 00000000 00000000 00000011 00000010 00000000 00000000* -L0049376 00000000 00000000 00000010 00000000 00000000 00001010 00000010 00000010* -L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000010 00000000 00000000 00000000 00000010 00000010 00000000 00000100* -L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049632 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049760 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0049824 000000 000000 000000 000000 000000 000000 000000 000000* +L0048384 00000000 00000000 00100000 00000000 00000010 10000000 00000010 00000011* +L0048448 00000010 00000000 00100010 00000010 00000000 00001000 00000010 00000000* +L0048512 00000000 00000000 00000000 00000000 00000110 00000000 00000000 00000011* +L0048576 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0048640 00000010 00000000 00001010 00000010 00000110 00000010 00000010 00000011* +L0048704 00000000 00000000 10000100 00000000 00000000 10000000 00000000 00100000* +L0048768 00000000 00000000 10000100 00000000 00000000 01000000 00000000 00000000* +L0048832 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0048896 00000000 00000000 11011100 00000000 00100000 00000000 00000000 00000000* +L0048960 000000 000000 110000 000000 001000 000000 000000 000000* +L0049008 000000 000000 100000 000000 000001 000000 000000 010000* +L0049056 000000 000000 100000 000000 000001 010000 000000 000000* +L0049104 000000 000000 101000 000000 000001 010000 000000 000000* +L0049152 000000 000000 001001 000000 010001 000000 000000 000000* +L0049200 000000 000000 000011 000000 010001 000000 000000 000000* +L0049248 00000000 00000000 00000010 00000001 00000010 00000000 00000010 00000000* +L0049312 00000010 00000000 00000000 00000001 00000000 01000000 00000000 00000010* +L0049376 00000000 00000000 00000010 00000001 00000010 01000000 00000010 00000000* +L0049440 00000000 00000000 00010000 00000000 00000000 00001000 00000000 00000000* +L0049504 00000010 00000000 00000000 00000001 00000000 00000010 00000000 00000010* +L0049568 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0049632 00000000 00000000 00000000 00000000 01000000 00100000 00000000 00000000* +L0049696 00000000 00000000 00010000 00000000 00000000 10100000 00000000 00000000* +L0049760 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0049824 000000 000000 000000 000000 100000 000000 000000 000000* L0049872 000000 000000 000000 010000 000000 000000 000000 000000* L0049920 000000 000000 000000 010000 000000 000000 000000 000000* -L0049968 000000 000000 000100 010000 000000 000000 000000 000000* +L0049968 000000 000000 000000 010000 000000 000000 000000 000000* L0050016 000000 000000 000000 000000 000000 000000 000000 000000* L0050064 000000 000000 000000 000000 000000 000000 000000 000000* -L0050112 00000000 00000000 00000010 00000010 00000001 01001000 00000000 00000011* -L0050176 00000000 00000000 00000000 00000000 00000010 01000000 00000000 00000010* -L0050240 00000000 00000000 00000000 00000010 00000001 00000010 00000000 00000011* -L0050304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050368 00000010 00000000 00000010 00000010 00000000 00000010 00000000 00000010* -L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050496 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0050560 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050112 00000000 00000000 00000011 00000011 00000000 10001000 00000000 00000000* +L0050176 00000000 00000000 00000000 00000000 00000010 00001000 00000000 00000000* +L0050240 00000000 00000000 00000001 00000011 00000000 00000000 00000000 00000000* +L0050304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0050368 00000000 00000000 00000011 00000011 00000000 00001010 00000000 00000000* +L0050432 00000000 00000000 00000000 00000100 00000000 10000000 00000000 00000000* +L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050624 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0050688 000000 000000 000000 000000 000000 000000 000000 000000* -L0050736 001100 000000 000000 000000 000000 000101 000000 000000* -L0050784 000000 000000 000000 000000 000000 000001 000000 000000* -L0050832 000000 000000 000000 000000 000000 000100 000000 000000* +L0050736 000010 000000 000000 000000 000000 000000 000000 010000* +L0050784 000000 000000 000000 000000 000000 010000 000000 000000* +L0050832 000000 000000 000000 000000 000000 010000 000000 000000* L0050880 000000 000000 000000 000000 000000 000000 000000 000000* L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000000 00000000 00000010 00000001 00000000 00000001 00000010 00000000* -L0051040 00000000 00000000 00000000 00000000 00000000 00001000 10000110 00000010* -L0051104 00000000 00000000 00000000 00000001 00000000 00001011 00000100 00000000* -L0051168 00000001 00000000 00000000 00000000 00000001 00001000 00000000 00000000* -L0051232 00000010 00000000 00000010 00000011 00000000 00001011 00000010 00000010* -L0051296 00000000 00000000 00000000 00000000 00000000 01010100 00000100 00000000* -L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051424 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0050976 00000000 00000000 00000000 00000010 00000010 00000000 00000011 00000001* +L0051040 00000010 00000000 00000010 00000000 00000000 01000010 00000010 00000000* +L0051104 00000000 00000000 00000000 00000010 00000010 01000010 00000001 00000001* +L0051168 00000000 00000000 00000001 00000001 00000001 00001000 00000000 00000000* +L0051232 00000010 00000001 00000010 00000010 00000000 00000010 00000011 00000011* +L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051360 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0051424 00000000 00000000 00000000 00000000 00000000 10100000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* -L0051600 000000 000000 000000 000000 000000 001000 000000 000000* -L0051648 000000 000000 000000 000000 000000 100000 000000 000000* -L0051696 000000 000000 000000 000000 000000 001000 000000 000000* +L0051600 000000 000000 000000 000000 000000 000000 000000 000000* +L0051648 000000 000000 000000 000000 000000 000000 000000 000000* +L0051696 000000 000000 000000 000000 000000 000000 000000 000000* L0051744 000000 000000 000000 000000 000000 000000 000000 000000* L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00001000 00000001 00000010 00000000 00000000 00000010* -L0051904 00000001 00000000 00000000 00000000 00000011 00000000 00000000 00000010* -L0051968 00000000 00000001 00000011 00000011 00000010 00000000 00000000 00000010* -L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0052096 00000001 00000001 00001000 00000011 00000011 00000010 00000000 00000010* -L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052224 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052352 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00001000* -L0052416 000000 000000 000000 000000 100001 000000 000000 000010* -L0052464 000000 000000 000000 000000 000000 000000 000000 000000* -L0052512 000000 000000 000000 000000 000000 000000 000000 000000* -L0052560 000000 000000 000000 000000 000000 000000 000000 000000* -L0052608 000000 000000 000000 000000 000010 000000 000000 000000* -L0052656 000000 000000 000000 000000 000010 000000 000000 000000* -L0052704 00000000 00000000 00000010 00000000 00010000 00000000 00000000 00000011* -L0052768 00000000 00000010 00000100 00000000 00010000 00000000 00000000 00000010* -L0052832 00000000 00000000 00000100 00000010 00010000 00000000 00000000 00000011* -L0052896 00000000 00000000 00110100 00000000 00010000 00000000 00000000 00000000* -L0052960 00000000 00000000 10100110 00000010 00000000 00000010 00000000 00000011* -L0053024 00000000 00000000 11000000 00000000 00000000 00001000 00010000 00000000* -L0053088 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* -L0053152 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* -L0053216 00100000 00000000 11100000 00000000 00000000 00000000 00000000 00000000* -L0053280 000000 000000 110000 000000 000000 000000 000000 000000* -L0053328 000000 000000 100001 000000 000000 000000 000000 000000* -L0053376 000000 000000 101000 000000 001000 000000 000000 000000* -L0053424 000000 000000 101000 010000 001000 000000 000000 000000* -L0053472 001000 000000 001000 000000 001000 000000 000000 000000* -L0053520 000000 000000 011000 000000 001000 000000 000000 000000* -L0053568 00000000 00000000 00000000 00000010 00000000 00000000 00000011 00000000* -L0053632 00000000 00000000 00000001 00000001 00000011 00000000 00000010 00000011* -L0053696 00000000 00000000 00000001 00000011 00000000 00000010 00000000 00000001* -L0053760 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053824 00000000 00000000 00000000 00000010 00000001 00000010 00000010 00000010* +L0051840 00000000 00000000 00000010 00000001 00000110 00000001 00000000 00000011* +L0051904 00000000 00000000 00000000 00000000 00100110 00000000 00000000 00000011* +L0051968 00000000 00000000 00000000 00000000 00100100 00000001 00000010 00000011* +L0052032 00000000 00000000 00000000 00000100 00100100 00000000 00000000 00000000* +L0052096 00000000 00000000 00000010 00000011 00100100 00000001 00000000 00000011* +L0052160 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0052224 00000000 00000000 00001000 00000000 00000100 00000000 00000000 00000000* +L0052288 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0052352 00000000 00000000 00000000 00000100 00100100 00000000 00000000 00000000* +L0052416 000000 000000 000000 000000 001001 000000 000000 000000* +L0052464 000000 000000 000100 000000 010001 000000 000000 000000* +L0052512 000000 000000 000000 000000 010000 000000 000000 000000* +L0052560 000001 000000 000001 000000 010000 000000 000000 000000* +L0052608 000000 000000 000000 000000 110000 000000 000000 000000* +L0052656 000000 000000 000100 000000 010000 000000 000000 000000* +L0052704 00001000 00000000 01100000 00000000 00100000 00000000 00000011 00000011* +L0052768 00000000 00000010 00100000 00000000 00010000 00000001 00000000 00000010* +L0052832 00000000 00000000 00100000 00000000 00000000 00000001 00000011 00000011* +L0052896 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0052960 00000000 00000000 00101000 00000000 00000000 00000011 00000011 00000011* +L0053024 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0053088 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0053152 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* +L0053216 00000000 00000000 11101100 00000000 00000000 00000000 00000000 00000000* +L0053280 000000 000000 111000 000000 000000 000000 000000 000000* +L0053328 000000 000000 100000 000000 000000 000000 000000 000000* +L0053376 000001 000000 100000 000000 000000 000000 000000 000000* +L0053424 000000 000000 101010 000000 000000 000000 000000 000000* +L0053472 000000 000000 001010 000000 000000 000000 000000 000000* +L0053520 000000 000000 010010 000000 000000 000000 000000 000000* +L0053568 00000000 00000000 00000010 00000010 00000001 00000000 00000010 00000000* +L0053632 00000001 00000000 00000001 00000001 00000011 00000001 00000010 00000000* +L0053696 00000000 00000000 00000001 00000011 00000000 00000011 00000000 00000000* +L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053824 00000011 00000000 00000010 00000010 00000000 00000011 00000011 00000011* L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1035,26 +1035,26 @@ L0054240 000000 000000 000000 000000 000000 000000 000000 000000* L0054288 000000 000000 000000 000000 000000 000000 000000 000000* L0054336 000000 000000 000000 000000 000000 000000 000000 000000* L0054384 000000 000000 000000 000000 000000 000000 000000 000000* -L0054432 00000000 00000000 00000000 00000010 00000010 00000000 00000010 00000000* -L0054496 00000000 00000000 00000010 00000000 00000010 00000000 11111100 00000010* -L0054560 00000001 00000000 00000010 00000010 00000010 00000010 01111110 00000010* -L0054624 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000011 00000000 00100010 00000010 00000000 00000010 00000000 00000010* +L0054432 00000000 00000000 00000000 00000001 00000010 00000000 00000010 00000011* +L0054496 00000000 00000000 00000010 00000001 00000000 00000000 00000000 00000001* +L0054560 00000000 00000000 00000011 00000001 00000000 00000010 00000011 00000001* +L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054688 00000000 00000000 00000010 00000011 00000010 00000010 00000010 00000011* L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055008 000000 000000 100000 000000 000000 000000 000000 000000* -L0055056 000000 000000 100000 000000 000000 000000 000000 000000* -L0055104 000000 000000 100000 000000 000000 000000 100011 000000* -L0055152 000000 000000 100000 000000 000000 000000 100011 000000* +L0055008 000000 000000 000000 000000 000000 000000 000000 000000* +L0055056 000000 000000 000000 000000 000000 000000 000000 000000* +L0055104 000000 000000 000000 000000 000000 000000 000000 000000* +L0055152 000000 000000 000000 000000 000000 000000 000000 000000* L0055200 000000 000000 000000 000000 000000 000000 000000 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000001 00000000 00000010 00000010 00000010 00000000 00000010 00000010* -L0055360 00000001 00000000 00000000 00000000 00000010 00000000 00000010 00000010* -L0055424 00000001 00000000 00000000 00000010 00000000 00000010 00000000 00000010* +L0055296 00000010 00000000 00000000 00000000 00000010 00000000 00000010 00000010* +L0055360 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000010* +L0055424 00000010 00000000 00000010 00000000 00000000 00000010 00000000 00000010* L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055552 00000011 00000000 00000010 00000010 00000000 00000010 00000010 00000010* +L0055552 00000010 00000000 00000000 00000010 00000000 00000010 00000010 00000010* L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1065,12 +1065,12 @@ L0055968 000000 000000 000000 000000 000000 000000 000000 000000* L0056016 000000 000000 000000 000000 000000 000000 000000 000000* L0056064 000000 000000 000000 000000 000000 000000 000000 000000* L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000000 00000000 00000000 00000001 00000000 00000001 00000010 00000000* -L0056224 00000000 00000000 00000000 00000001 00000000 00000010 00000000 00000000* -L0056288 00000000 00000000 00000001 00000011 00000001 00000010 00000010 00000000* +L0056160 00000000 00000010 00000000 00000001 00000010 00000000 00000010 00000010* +L0056224 00000010 00000000 00000010 00000001 00000010 00000001 00000010 00000010* +L0056288 00000010 00000000 00000000 00000001 00000010 00000001 00000000 00000010* L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000010 00000000 00000000 00000011 00000000 00000011 00000010 00000000* -L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056416 00000010 00000010 00000010 00000001 00000011 00000011 00000010 00000010* +L0056480 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1080,41 +1080,41 @@ L0056832 000000 000000 000000 000000 000000 000000 000000 000000* L0056880 000000 000000 000000 000000 000000 000000 000000 000000* L0056928 000000 000000 000000 000000 000000 000000 000000 000000* L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000000 00000000 10000001 00000000 00000000 00000000 00000010 00000000* -L0057088 00000010 00000000 00000101 00000001 00000010 00000000 00000000 10001000* -L0057152 00000010 00000000 10000001 00000011 00000000 00000001 00000011 00100010* -L0057216 00000000 00000000 00000100 00000000 00000000 00000000 00000000 10001000* -L0057280 00000001 00000000 10000111 00000011 00000010 00000001 00000010 10000001* -L0057344 00000000 00000000 10000100 00010000 00000000 00000000 00000000 00000000* -L0057408 00000000 00000000 01000000 00000000 00000000 00000000 00000000 11100000* -L0057472 00000000 00000000 10001000 00000000 00000000 00000000 00000000 01001000* -L0057536 00000000 00000000 01000000 00000000 00000000 00000000 00000000 10110000* -L0057600 000000 000000 100010 000000 000000 000000 000000 000000* -L0057648 000000 000000 110000 010000 000000 000000 000000 010000* -L0057696 000000 000000 000001 010000 000000 000000 000000 000100* -L0057744 000000 000000 010000 010000 000000 000000 000000 001001* -L0057792 000000 000000 000001 000000 000000 000000 000000 000100* -L0057840 000000 000000 010000 000000 000000 000000 000000 000001* -L0057888 00000000 00000000 00010001 00000010 00000000 00000000 00000111 10000000* -L0057952 00000000 00000000 00000000 00000000 00000000 00000010 10000011 00000000* -L0058016 00000000 00000010 00000000 00000010 00000001 00000010 00000000 00010100* -L0058080 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0058144 00000010 00000010 00000001 00000010 00000000 00000010 00000011 00000001* -L0058208 00000000 00000000 00110000 00000000 00000000 00000000 00000000 00000100* +L0057024 00000000 00000000 00000000 00000010 00000000 00000001 00000010 00000001* +L0057088 00000001 00000000 00000000 00000010 00000011 00000000 00000010 00000011* +L0057152 00000010 00000000 00000011 00000010 00000000 00000001 00000001 00000001* +L0057216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057280 00000010 00000000 00000000 00000010 00000011 00000011 00000010 00000011* +L0057344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057600 000000 000000 000000 000000 000000 000000 000000 000000* +L0057648 000000 000000 000000 000000 000000 000000 000000 000000* +L0057696 000000 000000 000000 000000 000000 000000 000000 000000* +L0057744 000000 000000 000000 000000 000000 000000 000000 000000* +L0057792 000000 000000 000000 000000 000000 000000 000000 000000* +L0057840 000000 000000 000000 000000 000000 000000 000000 000000* +L0057888 00000000 00000000 00000000 00000010 00000000 00000001 00000010 00000000* +L0057952 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000010* +L0058016 00000000 00000000 00000000 00000010 00000001 00000010 00000000 00010010* +L0058080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058144 00000010 00000000 00000000 00000010 00000000 00000011 00000010 00000110* +L0058208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* L0058272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058400 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0058336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0058400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0058464 000000 000000 000000 000000 000000 000000 000000 000000* -L0058512 000000 000000 000000 000000 000000 000000 000000 000100* -L0058560 000000 000000 000000 000000 000000 000000 000000 000000* -L0058608 000000 000000 000000 000000 000000 000000 000000 000000* -L0058656 000000 000000 000000 000000 000000 000001 000000 000000* -L0058704 000000 000000 000010 000000 000000 000000 000000 000000* -L0058752 00000000 00000000 00000001 00000000 00000000 00000001 00000001 00000001* -L0058816 00000000 00000000 00000000 00000001 00000001 00000001 00000000 00000000* -L0058880 00000000 00000000 00000001 00000001 00000001 00000001 00000001 00000001* +L0058512 000000 000000 000000 000000 000000 000000 000000 000001* +L0058560 000000 000000 000000 000000 000000 000000 000000 000011* +L0058608 000000 000000 000000 000000 000000 000000 000000 000011* +L0058656 000000 000000 000000 000000 000000 000000 000000 000000* +L0058704 000000 000000 000000 000000 000000 000000 000000 000100* +L0058752 00000000 00000000 00000001 00000011 00000000 00000000 00000011 00000001* +L0058816 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000001* +L0058880 00000000 00000000 00000001 00000011 00000000 00000000 00000010 00000001* L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000001 00000000 00000000 00000000 00000000 00000001 00000001 00000000* +L0059008 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000001* L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1125,116 +1125,116 @@ L0059424 000000 000000 000000 000000 000000 000000 000000 000000* L0059472 000000 000000 000000 000000 000000 000000 000000 000000* L0059520 000000 000000 000000 000000 000000 000000 000000 000000* L0059568 000000 000000 000000 000000 000000 000000 000000 000000* -L0059616 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000000* -L0059680 00000000 00000000 00000000 00000001 00000010 00000000 00000000 00000000* -L0059744 00000000 00000000 00000001 00000000 00000011 00000001 00000000 00000000* +L0059616 00000000 00000000 00000000 00010000 00000001 00000000 00000000 00000000* +L0059680 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000000* +L0059744 00000000 00000000 00000000 00000000 00000011 00000001 00000010 00000000* L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059872 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060128 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060192 000000 000000 000000 000000 000000 000000 000000 000000* -L0060240 000000 000000 000000 000000 000000 000000 000000 000000* -L0060288 000000 000000 000000 000000 000000 000000 000000 000000* -L0060336 000000 000000 000000 000000 000000 000000 000000 000000* +L0060240 000000 000000 000000 000001 000000 000000 000000 000000* +L0060288 000000 000000 000000 000001 000000 000000 000000 000000* +L0060336 000000 000000 000000 000001 000000 000000 000000 000000* L0060384 000000 000000 000000 000000 000000 000000 000000 000000* L0060432 000000 000000 000000 000000 000000 000000 000000 000000* -L0060480 00000011 00000000 00000000 00000001 00000001 00000000 00000000 00000001* -L0060544 00000010 00000000 00000001 00000001 00000001 00000011 00000001 00000000* -L0060608 00000011 00000000 00000001 00000000 00000001 00000011 00000000 00000001* +L0060480 00000000 00000000 00011010 00000000 00000000 00000000 00000000 00000001* +L0060544 00000001 00000000 00000000 00000001 00000001 00000000 00000001 00000001* +L0060608 00000001 00000000 00000010 00000001 00000001 00000000 00000001 00000000* L0060672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060736 00000011 00000000 00000000 00000001 00000001 00000011 00000001 00000001* -L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060736 00000001 00000000 10000001 00000000 00000000 00000000 00000000 00000001* +L0060800 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061056 000000 000000 000000 000000 000000 000000 000000 000001* -L0061104 000000 000000 000000 000000 000000 000000 000000 000000* +L0061056 000000 000000 000000 000000 000000 000000 000000 000000* +L0061104 000000 000000 000000 100000 000000 000000 000000 000000* L0061152 000000 000000 000000 000000 000000 000000 000000 000000* L0061200 000000 000000 000000 000000 000000 000000 000000 000000* L0061248 000000 000000 000000 000000 000000 000000 000000 000000* L0061296 000000 000000 000000 000000 000000 000000 000000 000000* -L0061344 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* -L0061408 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000000* -L0061472 00000000 00000000 00000010 00000001 00000000 00000001 00000000 00000010* +L0061344 00000000 00000000 01100010 00000010 00000010 00000000 00000010 00000010* +L0061408 00000000 00000000 00100000 00000010 00000001 00000001 00000010 00000000* +L0061472 00000000 00000000 00000010 00000010 00000010 00000001 00000010 00000010* L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061600 00000000 00000000 00100100 00000010 00000001 00000000 00000010 00000000* +L0061664 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0061728 00000000 00000000 10001100 00000000 00000000 00000000 00000000 00000000* +L0061792 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0061856 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* L0061920 000000 000000 000000 000000 000000 000000 000000 000000* -L0061968 000000 000000 000000 000000 001000 000000 000000 000000* -L0062016 000000 000000 000000 000000 000000 000000 000000 000100* -L0062064 000000 000000 000000 000000 000000 000000 000000 000101* -L0062112 000000 000000 000000 000000 000000 000000 000000 000001* -L0062160 000000 000000 000000 000000 000000 000000 000000 000000* -L0062208 00100000 00000000 00000000 00000001 00000000 00001000 00000000 00000001* -L0062272 00000001 00000000 00000001 00000000 00001000 00000001 00000000 00000000* -L0062336 00000001 00000000 00000000 00000000 10000000 00000001 00000001 00000001* -L0062400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062464 00000001 00000000 00000001 00000000 00000010 00000001 00000000 00000001* -L0062528 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0062592 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0062656 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0062720 00000000 00000000 00000000 00000000 10000100 00010000 00000000 00000000* -L0062784 000000 000000 000000 000000 000000 000000 000000 000000* -L0062832 000000 000000 000000 000001 010000 000000 000000 000000* -L0062880 000000 000000 000000 000000 001100 000000 000000 000000* -L0062928 000000 000000 000000 000000 000000 000000 000000 000000* -L0062976 000000 000000 000000 000000 001100 001000 000000 000000* -L0063024 000000 000000 000000 000000 000000 000000 000000 000000* -L0063072 00000000 00000000 00000000 10010001 00100000 01000000 00000001 00000000* -L0063136 00000000 00000000 00000011 10000010 00000011 00001000 00000010 00000010* -L0063200 00000000 00000000 00000010 10000011 00000010 00001000 00000011 00000010* -L0063264 00000000 00000000 00000000 00000000 01000000 00001000 00000000 00000000* -L0063328 00000000 00000000 00000001 00000001 10010001 00001000 00000000 00000000* -L0063392 00000100 00000000 00000000 00000000 00000000 01000100 00000000 00000000* -L0063456 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0063520 00000000 00000000 00000000 00000000 00000100 00010000 00000000 00000000* -L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063648 000000 000000 000000 000001 000000 000000 000000 000000* -L0063696 000000 000000 000000 000000 000100 000001 000000 000000* -L0063744 000000 000000 000000 000001 000000 000000 000000 000000* -L0063792 000000 000000 000000 000001 000000 001101 000000 000000* -L0063840 000000 000000 000000 000001 000000 000001 000000 000000* -L0063888 000000 000000 000000 000001 000000 000001 000000 000000* -L0063936 00000001 00000000 00000001 00000000 00000100 00000001 00000000 00000000* -L0064000 00000001 00000000 00000001 00000011 00000110 00000000 00000010 00000000* -L0064064 00000001 00000000 00000001 00000011 00000110 00000001 00000010 00000000* -L0064128 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00000001* -L0064192 00000001 00000001 00010001 00000011 10011100 00000001 00000001 00000000* -L0064256 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0064320 00000000 00000000 00000000 00000100 10000100 00000000 00000000 00000000* -L0064384 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0064448 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0064512 000000 000000 000000 000000 100001 000000 000000 000000* -L0064560 000000 000000 000000 000000 000101 000000 000000 010000* -L0064608 000000 000000 000000 000000 000111 000000 000000 000000* -L0064656 000000 000000 000000 000000 000111 000000 000000 000000* -L0064704 000000 000000 000000 000000 000111 000000 000000 000000* -L0064752 000000 000000 000000 000000 000111 000000 000000 000000* -L0064800 00000010 00000000 00000000 00000100 10011000 00000000 00000000 00000010* -L0064864 00000010 00000001 00000000 00000100 10000001 00000000 00000000 00000011* -L0064928 00000010 00000001 00000000 00000000 00000000 00000001 00000001 00000010* -L0064992 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0065056 00000011 00000000 00100100 00000000 00000001 00000001 00000000 00000011* -L0065120 01000000 00001000 00000000 00000100 00000000 00000000 00000000 00000000* +L0061968 000000 000000 100000 000000 000000 000000 000000 000000* +L0062016 000000 000000 010010 000000 000000 000000 000000 000000* +L0062064 000000 000000 100000 000000 000000 000000 000000 000000* +L0062112 000000 000000 010010 000000 000000 000000 000000 000000* +L0062160 000000 000000 000001 000000 000000 000000 000000 000000* +L0062208 00000001 00000000 00000000 00100000 00000000 00000000 00000001 00000000* +L0062272 00000000 00000000 00000001 00000001 00000001 00000011 00000000 00000001* +L0062336 00000000 00000000 00100000 00000001 00100111 00000011 00000001 00000001* +L0062400 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0062464 00000000 00000000 00000001 00000001 00100101 00000011 00000001 00000001* +L0062528 00000000 00000000 10001100 00010000 00000000 00000000 00000000 00000000* +L0062592 00000000 00000000 01000000 00000000 01000100 00000000 00000000 00000000* +L0062656 00000000 00000000 10000100 00000000 00100000 00000000 00000000 00000000* +L0062720 00000000 00000000 01000000 00000000 00000100 00000000 00000000 00000000* +L0062784 000000 000000 110000 000000 000001 000000 000000 000000* +L0062832 000000 000000 010010 010000 000000 000000 000000 000000* +L0062880 000000 000000 100000 010000 011001 000000 000000 000000* +L0062928 000000 000000 010010 010000 001000 000000 000000 000000* +L0062976 000000 000000 000001 000000 010011 000000 000000 000000* +L0063024 000000 000000 010010 000000 010000 000000 000000 000000* +L0063072 00000000 00000000 00011001 00000000 00000000 00000001 00000001 01100000* +L0063136 00000010 00000000 00000011 00000010 00000011 00000010 00000010 00100011* +L0063200 00000000 00000000 00000011 00000010 00000010 00000000 00000011 00000010* +L0063264 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0063328 00000001 00000000 10000001 00000000 00000001 00000001 00000000 00000001* +L0063392 00000000 00000000 00100000 00000000 00000100 00000000 00000000 00000100* +L0063456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0063648 000000 000000 000000 000000 000000 000000 000000 000000* +L0063696 000000 000001 000000 100000 000000 000000 000000 000000* +L0063744 000000 000000 000000 000000 000010 000000 000000 000000* +L0063792 000000 000000 000000 000000 100000 000000 000000 100000* +L0063840 000000 000000 000000 000000 000000 000000 100011 001001* +L0063888 000000 000000 000000 000000 000000 000000 000000 000000* +L0063936 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000001* +L0064000 00000000 00000000 00000000 00000000 00000000 00000001 00000010 00000001* +L0064064 00000000 00000000 00000000 00000001 00000000 00000001 00000010 00000001* +L0064128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064192 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000001* +L0064256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064512 000000 000000 000000 000000 000000 000000 000000 000000* +L0064560 000000 000000 000000 000000 000000 000000 000000 100000* +L0064608 000000 000000 000000 000000 000000 000000 000000 100000* +L0064656 000000 000000 000000 000000 000000 000000 000000 000000* +L0064704 000000 000000 000000 000000 000000 000000 000000 000000* +L0064752 000000 000000 000000 000000 000000 000000 000000 000000* +L0064800 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000001* +L0064864 00000001 00000000 00000000 00000000 00000010 00000000 00000000 01000001* +L0064928 00000001 00000000 00000001 00000000 00000010 00000001 00000000 00000001* +L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065056 00000000 00000000 00000000 00000000 00000011 00000001 00000000 00000001* +L0065120 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065248 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0065312 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0065376 000000 000000 110000 000000 000000 000000 000000 000000* -L0065424 000000 000000 100000 000000 000000 000000 000000 000000* -L0065472 000000 000000 100000 000010 100000 000000 000000 000000* -L0065520 000000 000000 101000 000010 000000 000000 000000 000000* -L0065568 000000 000000 000000 000000 000000 000000 000000 000000* -L0065616 000000 000000 001000 000000 000000 000000 000000 000000* -L0065664 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000000* -L0065728 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0065792 00000001 00000000 00000001 00000001 00000001 00000000 00000001 00000000* +L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065376 000000 000000 000000 000000 000000 000000 000000 000000* +L0065424 000000 000000 000000 000000 000000 001000 000000 000000* +L0065472 000000 000000 000000 000000 000000 000000 000000 000000* +L0065520 000000 000000 000000 000000 000000 001000 000000 000000* +L0065568 000000 000000 000000 000000 000000 001000 000000 000000* +L0065616 000000 000000 000000 000000 000000 000000 000000 000000* +L0065664 00000000 00000000 00000011 00000000 00000000 00000000 00000010 00000001* +L0065728 00000000 00000000 00000001 00000000 00000001 00000001 00000000 00000001* +L0065792 00000000 00000000 00000001 00000000 00000001 00000001 00000001 00000001* L0065856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065920 00000001 00000000 00000000 00000001 00000001 00000000 00000000 00000000* +L0065920 00000000 00000000 00000011 00000000 00000001 00000001 00000010 00000001* L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1245,54 +1245,54 @@ L0066336 000000 000000 000000 000000 000000 000000 000000 000000* L0066384 000000 000000 000000 000000 000000 000000 000000 000000* L0066432 000000 000000 000000 000000 000000 000000 000000 000000* L0066480 000000 000000 000000 000000 000000 000000 000000 000000* -L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100000* +L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066720 00000000 00000000 00000000 00000000 00000000 00000000 11111100 01010000* L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067104 000000 000000 000000 000000 000000 000000 000000 000010* +L0066976 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0067104 000000 000000 000000 000000 000000 000000 000000 000000* L0067152 000000 000000 000000 000000 000000 000000 000000 000000* L0067200 000000 000000 000000 000000 000000 000000 000000 000000* -L0067248 000000 000000 000000 000000 000000 000000 000000 000000* -L0067296 000000 000000 000000 000000 000000 000000 000000 000000* +L0067248 000000 000000 000000 000000 000000 000000 000000 100000* +L0067296 000000 000000 000000 000000 000000 000000 100011 101001* L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00000010 00001010 00000010 00000010 00000010 00000010 00000010* -L0067456 00000000 00000000 00001010 00000010 00000010 00000010 00000010 00000010* -L0067520 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0067584 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0067648 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000100* -L0067712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067776 00000010 00000010 00010010 00000010 00000010 00000010 00000010 11110110* -L0067840 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10100100* -L0067904 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* -L0067968 000000 000000 001100 000000 000000 000000 000000 100001* -L0068016 000000 000000 000000 000000 000000 000000 000000 000010* -L0068064 000000 000000 000001 000000 000000 000000 000000 000010* -L0068112 000000 000000 000001 000000 000000 000000 000000 000010* -L0068160 000000 000000 000010 000000 000000 000000 000000 000010* -L0068208 000000 000000 000000 000000 000000 000000 000000 000010* -L0068256 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0068320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00001000* -L0068384 00000000 00000000 10010100 00000000 00000000 00000000 00000000 00001000* -L0068448 00000000 00000000 10110000 00000000 00000000 00000000 00000000 10001000* -L0068512 00000000 00000000 10100000 00000000 00000000 00000000 00000000 10011000* -L0068576 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00010000* -L0068640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068704 00000000 00000000 00100000 00000000 00000000 00000000 00000000 01000000* -L0068768 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100000* -L0068832 000000 000000 100010 000000 000000 000000 000000 001000* -L0068880 000000 000000 101001 000000 100000 000000 000000 000001* -L0068928 000000 000000 100000 010000 000000 000000 010000 000001* -L0068976 000000 000000 110000 010000 000000 000000 000000 000000* -L0069024 000000 000000 010001 000000 000000 000000 000000 000000* -L0069072 000000 000000 010001 000000 000000 000000 000000 000000* -L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069184 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069248 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067392 00000010 00000010 00000010 00000010 00000010 10001010 00000010 01100010* +L0067456 00000000 00000000 00010010 00000000 00000010 00000010 00000000 00100010* +L0067520 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0067584 00000000 00000000 10010000 00000000 00000000 00000000 11111100 01010000* +L0067648 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0067712 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000100* +L0067776 00000010 00000010 01000010 00000010 00000010 01000010 00000010 00000010* +L0067840 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0067904 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0067968 000000 000000 000000 000000 000000 000000 000000 000000* +L0068016 000000 000000 000100 000000 000000 000000 000000 000000* +L0068064 000000 000000 001100 000000 000000 010000 000000 000000* +L0068112 000000 000000 000100 000000 000000 010000 000000 100000* +L0068160 000000 000000 000100 000000 000000 000000 100011 101001* +L0068208 000000 000000 001000 000000 000000 000000 000000 000000* +L0068256 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0068320 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0068384 00000000 00000000 00101000 00000000 00000000 01000000 00000000 00000000* +L0068448 00000000 00000000 00101000 00000000 00010000 00001000 00000000 00000000* +L0068512 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0068576 00000000 00000000 11001000 00000000 00000000 01000000 00000000 00000000* +L0068640 00000000 00000000 10001000 00000000 00000000 00100000 00000000 00000000* +L0068704 00000000 00000000 10100000 00000000 00000000 10100000 00000000 00000000* +L0068768 00001000 00000000 10100000 00000000 00000000 00100000 00000000 00000000* +L0068832 000000 000000 001000 000000 000000 000000 000000 000000* +L0068880 000000 000000 001010 000000 000000 010000 000000 000000* +L0068928 000000 000000 000010 010000 000000 000000 000000 000000* +L0068976 000000 000000 010010 010000 000000 000000 000000 000000* +L0069024 000010 000000 010010 000000 000000 000000 000000 000000* +L0069072 000000 000000 010000 000000 000000 000000 000000 000000* +L0069120 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1300,24 +1300,24 @@ L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069696 000000 000000 000000 000000 000000 000000 000000 000000* -L0069744 000000 000000 000000 000000 000000 000000 000000 000000* -L0069792 000010 000000 000000 000000 000000 000000 000000 000000* -L0069840 000000 000000 000000 000000 000000 000000 000000 000000* -L0069888 000001 000000 000000 000000 000000 000000 000000 000000* +L0069744 000000 000000 000000 000010 000000 000000 000000 000000* +L0069792 000000 000000 000000 000000 000000 000000 000000 100000* +L0069840 000000 000000 000000 000000 000000 000000 000000 001000* +L0069888 000000 000000 000000 000000 000000 000000 000000 000000* L0069936 000000 000000 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070112 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069984 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00010000* +L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0070112 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0070176 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0070240 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070432 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070560 000000 000000 000000 000000 000000 000000 000000 000000* -L0070608 000000 000000 000000 000000 000000 000000 000000 000000* -L0070656 000000 000000 000000 000000 000000 000000 000000 000000* -L0070704 000010 000000 000000 000000 000000 000000 000000 000000* +L0070432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070496 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0070560 000000 000000 001000 000000 000000 000000 000000 000000* +L0070608 000000 000000 000000 000001 000000 000000 000000 000000* +L0070656 000000 000000 000000 000001 000000 000000 000000 000000* +L0070704 000000 000000 000000 000001 000000 000000 000000 000000* L0070752 000000 000000 000000 000000 000000 000000 000000 000000* L0070800 000000 000000 000000 000000 000000 000000 000000 000000* L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1325,7 +1325,7 @@ L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071168 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1355,124 +1355,124 @@ L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072896 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073088 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0072896 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0072960 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0073024 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073152 000000 000000 000000 000000 000000 000000 000000 000000* L0073200 000000 000000 000000 000000 000000 000000 000000 000000* -L0073248 000000 000000 000000 000000 000000 000000 000000 000000* -L0073296 000000 000000 000000 000000 000000 000000 000000 000000* -L0073344 000000 000000 000000 000000 000000 001000 000000 000000* +L0073248 000000 000000 000000 000000 000000 010000 000000 000000* +L0073296 000000 000000 000000 000000 000000 010000 000000 000000* +L0073344 000000 000000 000000 000000 000000 000000 000000 000000* L0073392 000000 000000 000000 000000 000000 000000 000000 000000* -L0073440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073440 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073632 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0073696 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0073760 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0073632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073696 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0073760 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0073824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074016 000000 000000 100000 000000 000000 000000 000000 000000* -L0074064 000000 000000 100000 000000 000000 100000 000000 000000* -L0074112 000000 000000 100000 000000 000000 100000 000000 000000* -L0074160 000000 000000 100000 000000 000000 000000 000000 000000* -L0074208 000000 000000 000000 000000 000000 000000 000000 000000* +L0073888 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0073952 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0074016 000000 000000 000000 000000 000000 000000 000000 000000* +L0074064 000000 000000 000000 000000 001000 000000 000000 000000* +L0074112 000000 000000 000000 000000 001000 000000 000000 000000* +L0074160 000000 000000 000000 000000 000000 000000 000000 000000* +L0074208 000000 000000 000000 000000 001000 000000 000000 000000* L0074256 000000 000000 000000 000000 000000 000000 000000 000000* -L0074304 00000000 00000000 00000100 00000000 00000000 00000000 00000000 10000000* -L0074368 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0074432 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00010100* -L0074496 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0074560 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0074624 00000100 00000000 00001000 00000000 00000000 00000000 00000000 00000100* -L0074688 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0074752 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0074816 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0074880 000000 000000 000010 000000 000000 000000 000000 000000* -L0074928 000000 000000 000000 000001 000000 000000 000000 000100* +L0074304 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0074880 000000 000000 000000 000000 000000 000000 000000 100101* +L0074928 010000 000000 000000 000000 000000 000000 000000 000000* L0074976 000000 000000 000000 000000 000000 000000 000000 000000* L0075024 000000 000000 000000 000000 000000 000000 000000 000000* -L0075072 000000 000000 000000 000000 000000 000000 000000 000000* -L0075120 000000 000000 000100 000000 000000 000000 000000 000000* -L0075168 00000000 00000000 00100000 10000000 00000000 00000000 00000000 00001000* -L0075232 00000000 00000000 00100000 10010000 00000000 00000000 00000000 00000000* -L0075296 00000000 00000000 00000000 10000000 00000000 00001000 00000000 10001000* -L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0075552 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0075680 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075744 000000 000000 000000 000001 000000 000000 000000 101100* -L0075792 000000 000000 000010 000000 000000 000000 010000 000001* -L0075840 000000 000000 000000 000001 000000 000000 000000 000001* -L0075888 000000 000000 000000 000001 000000 000000 000000 000100* -L0075936 000000 000000 000000 000001 000000 000000 000000 000001* -L0075984 000000 000000 000000 000001 000000 000000 000000 000100* -L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075072 000000 000000 000000 000000 000010 000000 000000 000000* +L0075120 000000 000000 000000 000000 000010 000000 000000 000000* +L0075168 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00001000* +L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0075296 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00001000* +L0075360 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0075424 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0075488 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00101000* +L0075552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0075616 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00001000* +L0075680 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00001000* +L0075744 000000 000000 001000 000000 000000 000000 000000 000000* +L0075792 000000 000000 000000 000000 000000 000000 010000 010000* +L0075840 000000 000000 000000 000000 000010 000000 000000 000000* +L0075888 000000 000000 000000 000000 000010 000000 000000 000000* +L0075936 000000 000000 000000 000000 000000 000000 000000 000000* +L0075984 000000 000000 000000 000000 000000 000000 000000 000000* +L0076032 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0076096 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076288 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0076608 000000 000000 000000 000000 000000 000000 000000 000100* +L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076608 000000 000000 000000 000000 000000 000000 000000 000000* L0076656 000000 000000 000000 000000 000000 000000 000000 000000* L0076704 000000 000000 000000 000000 000000 000000 000000 000000* L0076752 000000 000000 000000 000000 000000 000000 000000 000000* L0076800 000000 000000 000000 000000 000000 000000 000000 000000* L0076848 000000 000000 000000 000000 000000 000000 000000 000000* -L0076896 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0076960 00000000 00000000 10000000 00000000 00000000 00000000 10000100 00100000* -L0077024 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* -L0077088 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0077152 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0077216 00000000 00000000 10000000 00000000 00010000 00000000 00000000 00001000* -L0077280 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* -L0077344 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* -L0077408 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0077472 000000 000000 000000 000000 000000 000000 000000 000000* -L0077520 000000 000000 000001 000000 000000 000000 100000 000000* -L0077568 000000 000000 000001 000000 000000 000000 000001 000000* -L0077616 000000 000000 000001 000000 000000 000000 000001 000000* -L0077664 000000 000000 000001 000000 000000 000000 000000 000000* -L0077712 000000 000000 000001 000000 000000 000000 000000 000000* -L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 00001000 00000000 00000100 00000000 00000000 00000000* -L0077888 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077152 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0077216 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077408 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0077472 000000 000000 000000 000000 001000 000000 000000 000000* +L0077520 000000 000000 000000 000000 000001 000000 000000 000000* +L0077568 000000 000000 000000 000000 000000 000000 000000 000000* +L0077616 000000 000000 000000 000000 000000 000000 000000 000000* +L0077664 000000 000000 000000 000000 000001 001000 000000 000000* +L0077712 000000 000000 000000 000000 010001 000000 000000 000000* +L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00000000 00000000 10100000 00000000 00000000 00000000* -L0078144 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0078208 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0078272 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078336 000000 000000 000000 000000 000000 000000 000000 000000* -L0078384 000000 000000 000000 000000 000000 000010 000000 000000* -L0078432 000000 000000 000000 000000 000000 000000 000000 000000* -L0078480 000000 000000 000000 000000 100001 000000 000000 000000* -L0078528 000000 000000 000000 000000 100000 000000 000000 000000* +L0078384 000000 000000 000000 000000 000000 000000 000000 100000* +L0078432 000000 000000 000100 000000 000000 000000 000000 000000* +L0078480 000000 000000 000000 000000 000000 000000 000000 001000* +L0078528 000000 000000 000000 000000 000000 000000 000000 000000* L0078576 000000 000000 000000 000000 000000 000000 000000 000000* -L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0078752 00000000 00000000 00000000 00000000 00100000 00000000 01111100 00000000* -L0078816 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0078880 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0078944 00000100 00000000 10000000 00000000 00000000 00000000 00000000 10000000* -L0079008 00000100 00000000 11000100 00000000 00000000 00000000 00000100 10000000* -L0079072 00000000 00000000 11000100 00000000 00000000 00000000 00000100 10000000* -L0079136 00000000 00000000 11000000 00000000 00000100 00000000 00000000 10000000* -L0079200 000000 000000 110000 000000 000001 000000 000000 100000* -L0079248 000000 000000 100000 000000 000000 000000 000000 000000* -L0079296 000000 000000 101000 010000 000000 000000 100011 000100* -L0079344 000000 000000 101000 000000 000000 000000 100011 000101* -L0079392 000000 000000 001000 000000 001100 000000 000000 000101* -L0079440 000000 000000 001000 000000 001110 000000 000000 000101* -L0079488 00000000 00000000 00000000 11000000 00000000 00000000 00000000 00000000* -L0079552 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0079616 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0078624 00000000 00000000 01100000 00001000 00000000 00000000 00000000 00010000* +L0078688 00000000 00000000 00100000 00000000 00000000 00000000 00000000 01000000* +L0078752 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0078816 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0078880 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079008 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0079072 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0079136 00000000 00000000 01101100 00000000 00000000 00000000 00000000 00000000* +L0079200 000000 000000 111000 000000 000000 000000 000000 000000* +L0079248 000000 000000 100000 000100 000000 000000 000000 000000* +L0079296 000000 000000 100000 000000 000000 000000 010000 000000* +L0079344 000000 000000 101000 000000 000000 000000 000000 000000* +L0079392 000000 000000 001000 000000 000000 000000 000000 000000* +L0079440 000000 000000 000010 000000 000000 000000 000000 000000* +L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079552 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0079616 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1480,56 +1480,56 @@ L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080064 000000 000000 000000 000000 000000 000000 000000 000000* -L0080112 000000 000000 000000 100000 000000 000000 000000 000000* +L0080112 000000 000000 000000 000000 000000 000000 000000 000000* L0080160 000000 000000 000000 000000 000000 000000 000000 000000* -L0080208 000000 000000 000000 000001 000000 000000 000000 000000* +L0080208 000000 000000 000000 000000 000000 000000 000000 000000* L0080256 000000 000000 000000 000000 000000 000000 000000 000000* L0080304 000000 000000 000000 000000 000000 000000 000000 000000* L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080544 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0080608 00000000 00000000 00100000 00000000 00000000 00001000 00000000 00000000* +L0080544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080800 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080928 000000 000000 100000 000001 000000 000000 000000 000000* -L0080976 000000 000000 100000 000000 000000 000000 000000 000000* -L0081024 000000 000000 100000 000001 000000 000000 000000 000000* -L0081072 000000 000000 100000 000000 000000 000000 000000 000000* -L0081120 000000 000000 000000 000001 000000 000000 000000 000000* -L0081168 000000 000000 000000 000001 000000 000001 000000 000000* -L0081216 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0081280 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0080928 000000 000000 000000 000000 000000 000000 000000 000000* +L0080976 000000 000000 000000 000000 000100 000000 000000 000000* +L0081024 000000 000000 000000 000000 000000 000000 000000 000000* +L0081072 000000 000000 000000 000000 000000 100000 000000 000000* +L0081120 000000 000000 000000 000000 000000 000000 000000 000000* +L0081168 000000 000000 000000 000000 000000 000000 000000 000000* +L0081216 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0081280 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081472 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0081536 00000000 00000000 00000000 00000000 00000000 10000000 10000000 00000000* -L0081600 00000000 00000000 00011000 00000000 00000000 00100000 01110000 00000000* -L0081664 00000100 00000000 00000000 00000000 00000000 10100000 00000000 00010000* -L0081728 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081408 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081536 00000100 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0081600 00000100 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0081664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0081792 000000 000000 000000 000000 000000 000000 000000 000000* -L0081840 000000 000000 000000 000000 000000 000000 010000 000000* -L0081888 000000 000000 000000 000010 000000 000000 000000 000000* +L0081840 000000 000000 000000 000000 000000 000000 000000 000000* +L0081888 000000 000000 000000 000000 000000 000000 000000 000000* L0081936 000000 000000 000000 000000 000000 000000 000000 000000* L0081984 000000 000000 000000 000000 000000 000000 000000 000000* L0082032 000000 000000 000000 000000 000000 000000 000000 000000* -L0082080 00000000 00000000 00000100 00101000 00000000 10010000 00000000 00000000* -L0082144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082208 00000000 00000000 10010000 00000100 00000100 00000000 00000000 00000000* -L0082272 00000000 00000000 10100100 00000000 00010100 00010000 00000000 00000000* -L0082336 00000000 00000000 00100100 00000000 00001100 00000100 00100000 00000000* -L0082400 00000000 00000000 10000000 00001000 00000000 00000000 01010000 00000000* -L0082464 00000100 00000000 11000100 00000000 00000100 00000000 10000000 00000000* -L0082528 00000000 00000000 11100100 00000100 00000000 00000000 00000000 00000000* -L0082592 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00010000* -L0082656 000000 000000 110010 000000 000000 000000 000000 000100* -L0082704 000000 000000 101000 000110 000000 000000 000000 000000* -L0082752 000000 000000 101000 000000 000001 000000 011000 000000* -L0082800 000000 000000 111000 000000 000001 000000 000000 000000* -L0082848 000000 000000 011001 000000 000001 000000 000000 000000* -L0082896 000000 000000 001001 000000 000001 000000 000000 000000* +L0082080 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00100000* +L0082144 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0082208 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0082272 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0082336 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0082400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0082464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082592 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0082656 000000 000000 000000 000000 001000 000000 000000 000000* +L0082704 000000 000000 000000 000000 010001 000000 001000 000000* +L0082752 000000 000000 000000 010000 000001 000000 000000 000000* +L0082800 000000 000000 000000 000000 000001 000000 000000 000000* +L0082848 000000 000000 000000 000000 010001 000000 000000 000000* +L0082896 000000 000000 000000 000000 010001 000000 000000 000000* L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1537,178 +1537,178 @@ L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083392 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083520 000000 000000 000000 000000 000000 000000 000000 000000* L0083568 000000 000000 000000 000000 000000 000000 000000 000000* -L0083616 000000 000000 000000 000000 000000 000000 000000 000000* -L0083664 000000 000000 000000 000000 000000 000000 000000 000000* -L0083712 000000 000000 000000 000000 000000 000000 000000 000000* -L0083760 000000 000000 000000 000000 000000 000000 000000 000000* -L0083808 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0083872 00000000 00000000 00000000 10000000 00000000 00001000 00000000 00000000* -L0083936 00000000 00000000 00000000 10000000 00000000 00001000 00000000 00000000* -L0084000 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0084064 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0084128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083616 000000 000000 000000 000000 001000 000000 000000 000000* +L0083664 000000 000000 000000 000000 001000 100000 000000 000000* +L0083712 000000 000000 000000 000000 001000 000000 000000 000000* +L0083760 000000 000000 000000 000000 001000 000000 000000 000000* +L0083808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083872 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0083936 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0084000 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0084064 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0084128 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* L0084192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0084256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084384 000000 000000 000000 000001 000000 000000 000000 000000* -L0084432 000000 000000 000000 000000 000000 000000 000000 000000* -L0084480 000000 000000 000000 000001 000000 000000 000000 000000* -L0084528 000000 000000 000000 000001 000000 000001 000000 000000* -L0084576 000000 000000 000000 000001 000000 000001 000000 000000* -L0084624 000000 000000 000000 000001 000000 000001 000000 000000* +L0084256 00000000 00000000 00000000 00000000 00100000 00000100 00000000 00000000* +L0084320 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0084384 000000 000000 000000 000000 000000 000001 000000 000000* +L0084432 000000 000000 000000 000000 001000 000000 000000 000000* +L0084480 000000 000000 000000 000000 000000 000011 000000 000000* +L0084528 000000 000000 000000 000000 000000 000001 000000 000000* +L0084576 000000 000000 000000 000000 000000 000001 000000 000000* +L0084624 000000 000000 000000 000000 000000 000001 000000 000000* L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084736 00000000 00000000 00000000 00000100 00100000 00000000 00000000 00000000* L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085056 00010000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0085248 000000 000000 001000 000000 000000 000000 000000 000000* -L0085296 000000 000000 001000 001000 000000 000000 000000 000000* -L0085344 000100 000000 000001 000000 000000 000000 000000 000000* -L0085392 000000 000000 000001 000000 000000 000000 000000 000000* -L0085440 000000 000000 000001 000000 000000 000000 000000 000000* -L0085488 000000 000000 000001 000000 000000 000000 000000 000000* -L0085536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084864 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0084928 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0084992 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0085056 00000000 00000000 10000100 00000100 00000000 00001000 00000000 00000000* +L0085120 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0085184 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0085248 000000 000000 100000 000000 000000 000000 000000 000000* +L0085296 000000 000000 100010 000000 000000 000000 000000 000000* +L0085344 000000 000000 100010 000000 100000 000000 000000 000000* +L0085392 000000 000000 100010 000000 000000 000000 000000 000000* +L0085440 000000 000000 000011 000000 000000 000000 000000 000000* +L0085488 000000 000000 000011 000000 000000 000000 000000 000000* +L0085536 00010000 00000000 00000000 00000100 00000000 00000000 11111100 00000000* L0085600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085664 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* L0085728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0085792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085856 00010000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* -L0085920 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085984 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086048 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086112 000000 000000 000000 000000 000000 000000 000000 000010* -L0086160 000100 000000 000000 000000 000000 000000 000000 000000* -L0086208 000000 000000 000000 000000 000000 000000 000000 000000* -L0086256 000000 000000 000000 000000 000000 000000 000000 000000* -L0086304 000000 000000 000000 000000 000000 000000 000000 000000* -L0086352 000000 000000 000000 000000 000000 000000 000000 000000* -L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086464 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0086528 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085856 00000000 00000000 00010000 00000100 00000000 00000000 00000100 00000000* +L0085920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086112 000000 000000 000000 000000 000000 000000 000000 000000* +L0086160 000000 000000 000000 000000 000000 000000 100011 000000* +L0086208 000000 000000 000000 000000 000001 000000 000000 000100* +L0086256 000000 000000 000000 000000 000011 000000 000000 000100* +L0086304 000000 000000 000000 000000 000011 000000 000000 000100* +L0086352 000000 000000 000000 000000 000011 000000 000000 000000* +L0086400 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0086464 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0086528 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0086592 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0086656 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086976 000000 000000 001000 000000 000000 000000 000000 000000* -L0087024 000000 000000 001000 000000 000000 000000 000000 000000* -L0087072 000000 000000 000001 000000 000000 000000 000000 000000* -L0087120 000000 000000 000001 000000 000000 000000 000000 000000* -L0087168 000000 000000 000001 000000 000000 000000 000000 000000* -L0087216 000000 000000 000001 000000 000000 000000 000000 000000* -L0087264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086784 00000000 00000000 01000000 00000000 00000100 00001000 00000000 00000000* +L0086848 00000000 00000000 01000000 00000000 00000100 00000000 00000000 00000000* +L0086912 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0086976 000000 000000 000000 000000 001001 000000 000000 000000* +L0087024 000000 000000 000000 000000 010001 000000 000000 000000* +L0087072 000000 000000 001000 000000 010000 000000 000000 000100* +L0087120 000000 000000 000000 000000 010010 000000 000000 000100* +L0087168 000000 000000 000000 000000 110010 000000 000000 000100* +L0087216 000000 000000 000000 000000 010010 000000 000000 000000* +L0087264 00000100 00000000 00000000 00000000 00010000 00000000 00000000 00000000* L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087392 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0087584 00000100 00000000 00000000 00000000 00000000 00000000 00100000 00000000* L0087648 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* L0087776 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0087840 000000 000000 000000 000000 000000 000000 001000 000000* +L0087840 000000 000000 000000 000000 000000 000000 000000 000000* L0087888 000000 000000 000000 000000 000000 000000 000000 000000* -L0087936 000000 000000 000000 000010 000000 000000 000000 000000* -L0087984 000000 000000 000000 000010 000000 000000 000000 000000* +L0087936 000000 000000 000000 000000 000000 000000 000000 000000* +L0087984 000000 000000 000000 000000 000000 000000 000000 000000* L0088032 000000 000000 000000 000000 000000 000000 000000 000000* L0088080 000000 000000 000000 000000 000000 000000 000000 000000* -L0088128 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0088192 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0088256 00000000 00000000 00100100 00000000 00000000 00000000 01111100 00100000* -L0088320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0088384 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0088448 00000000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* -L0088512 00000000 00000000 01000100 00000000 00000000 10010000 00000000 00000000* -L0088576 00000000 00000000 01001100 00000000 00000000 01000000 00000100 00000000* -L0088640 00010000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* -L0088704 000000 000000 010010 000000 000000 000000 000000 000000* -L0088752 000000 000000 010000 000000 000000 000000 000000 000000* -L0088800 000000 000001 010000 000000 000000 001100 000000 000000* -L0088848 000000 000000 010000 000000 000000 000000 100011 000000* -L0088896 000100 000000 010000 000000 000000 000000 000000 000000* -L0088944 000000 000000 010100 000000 000000 000000 000000 000000* -L0088992 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089184 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089312 00000000 00000000 00000000 01000000 00000000 00000000 00000100 00000000* -L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0089568 000000 000000 000000 000000 000000 000000 000000 000000* -L0089616 000000 000000 000000 000000 000000 000000 100011 000000* -L0089664 000000 000000 000010 000000 000000 000000 000000 000000* -L0089712 000000 000000 000000 000000 000000 000000 000000 000000* -L0089760 000000 000000 000000 000000 000000 000000 100011 000000* -L0089808 000000 000000 000000 000000 000000 000000 000000 000000* +L0088128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00100000* +L0088256 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088448 00000000 00000000 00000000 11000000 00000000 00000000 00000000 00000000* +L0088512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088576 00000000 00000000 00001000 00000000 00000000 00010000 00000000 00000000* +L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088704 000000 000000 000000 000000 000000 000000 000000 000000* +L0088752 000000 000000 000000 000000 000000 000000 000000 000010* +L0088800 000000 000000 000000 000000 000000 000000 000000 000000* +L0088848 000000 000000 000000 000000 000000 001100 000000 000000* +L0088896 000000 000000 000000 000000 000000 000000 000000 000000* +L0088944 000000 000000 000000 000000 000000 000000 000000 000000* +L0088992 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00100000* +L0089056 00000000 00000000 00000000 00000000 00000000 00110000 00000000 00000000* +L0089120 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0089184 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0089248 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0089376 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0089440 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0089504 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0089568 000000 000000 000000 000000 000000 000001 000000 000010* +L0089616 000000 000000 000000 000000 000000 000001 000000 000000* +L0089664 000000 000000 000000 000000 000000 100011 000000 000000* +L0089712 000000 000000 000000 000000 000000 000001 000000 000000* +L0089760 000000 000000 000000 000000 000000 001001 000000 000000* +L0089808 000000 000000 000000 000000 000000 000001 000000 000000* L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089920 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089984 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090112 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0090304 00000000 00000000 00000000 00000000 10000000 00000000 00000000 01000000* -L0090368 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00100000* -L0090432 000000 000000 000000 000000 000001 000000 000000 001000* -L0090480 000000 000000 000000 000000 000000 000000 000000 000000* -L0090528 000001 000000 000000 000000 000000 000000 000000 000000* -L0090576 000001 000000 000000 000000 000010 000000 000000 000000* -L0090624 000000 000000 000000 000000 101100 000000 000000 000000* -L0090672 000000 000000 000000 000000 001111 000000 000000 000010* -L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090784 00011000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0090848 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090912 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0090976 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0091040 00000000 00000000 00000000 00000000 00000000 00000000 10000000 10010000* -L0091104 00000000 00000000 00000000 00000000 10000000 00000000 00000000 11100000* -L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0091232 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10000000* -L0091296 000000 000000 000000 000000 100000 000000 000000 100000* -L0091344 000000 000011 000000 000000 000000 000000 000000 000000* -L0091392 000000 000000 000000 000000 001110 000000 000000 000100* -L0091440 000000 000000 000000 000000 001100 000000 000000 000101* -L0091488 000001 000000 000000 000000 000011 000000 000000 000101* -L0091536 000000 000000 000000 000000 000000 000001 000000 000101* +L0090112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090432 000000 000000 000000 000000 000000 000000 000000 000010* +L0090480 000000 000000 000000 000000 000000 000000 000000 000010* +L0090528 000000 000000 000000 000000 001000 000000 000000 000000* +L0090576 000000 000000 000000 000000 001000 000000 000000 000000* +L0090624 000000 000000 000000 000000 001000 000000 000000 000000* +L0090672 000000 000000 000000 000000 001000 000000 000000 000000* +L0090720 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00100000* +L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090848 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0090912 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0090976 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00000000* +L0091040 01010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091104 00000000 00000000 00000000 00000000 00100000 00000100 00000000 00000000* +L0091168 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0091232 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0091296 000000 000000 001000 000000 000000 000001 000000 000000* +L0091344 000000 000000 000000 000000 001000 000000 000000 000000* +L0091392 000000 000000 000000 000000 000000 000010 000000 000000* +L0091440 000000 000000 000000 000000 000000 000000 000000 000000* +L0091488 000000 000000 000000 000000 000000 000000 000000 000000* +L0091536 000000 000000 000000 000000 000000 000000 000000 000000* L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091712 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0091776 00000000 00000000 00001000 00000000 00010000 00000000 00000000 00000000* +L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091968 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092160 000000 000000 000000 000000 000000 000000 000000 000000* +L0091968 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0092032 00000000 00000000 01000000 00000000 00000000 00000100 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0092160 000000 000000 000000 000000 000000 000001 000000 000000* L0092208 000000 000000 000000 000000 000000 000000 000000 000000* -L0092256 000000 000000 000000 000000 101000 000000 000000 000000* -L0092304 000000 000000 000000 000000 001000 000000 000000 000000* -L0092352 000000 000000 000000 000000 001000 000000 000000 000000* -L0092400 000000 000000 000000 000000 001000 000000 000000 000000* -L0092448 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* -L0092512 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0092576 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* -L0092640 00000000 00000000 00100100 00000000 10000000 00000000 00000000 00000000* -L0092704 00000000 00000000 00100100 00000000 00000000 00000000 00100000 00000000* -L0092768 00000000 00000000 10000000 00000000 00000100 00000000 00000000 00000000* -L0092832 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* -L0092896 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* -L0092960 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0093024 000000 000000 110000 000000 000000 000000 000000 000000* -L0093072 010000 000000 100000 000000 000000 000000 000000 000000* -L0093120 000000 000000 101000 000100 000000 000000 001000 000000* -L0093168 000000 000000 101000 000000 000000 000000 000000 000000* -L0093216 000000 000000 001000 000000 000000 000000 000000 000000* -L0093264 000000 000000 001000 000000 000000 000000 000000 000000* -C81CE* -2BA9 +L0092256 000000 000000 001000 000000 000000 000000 000000 001000* +L0092304 000000 000000 000000 000000 000000 000001 000000 000000* +L0092352 000000 000000 000000 000000 000010 000000 000000 000000* +L0092400 000000 000000 000000 000000 000010 000000 000000 000000* +L0092448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0092896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0093024 000000 000000 000000 000000 000000 000000 001000 000000* +L0093072 000000 000000 000000 000000 000000 000001 000000 000000* +L0093120 000000 000000 000000 000000 000000 000001 000000 000000* +L0093168 000000 000000 000000 000000 000010 000000 000000 000000* +L0093216 000000 000000 000000 000000 000000 000001 000000 000000* +L0093264 000000 000000 000000 000000 000000 000001 000000 000000* +C3D12* +2A70 diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index 2fe7f52..d03a122 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -1,54 +1,23 @@ MDF Database: version 1.0 MDF_INFO | WarpSE | XC95144XL-10-TQ100 -MACROCELL | 5 | 1 | iobm/nVMA +MACROCELL | 5 | 1 | nVMA_IOBout ATTRIBUTES | 4622082 | 0 OUTPUTMC | 2 | 5 | 1 | 5 | 0 -INPUTS | 10 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | iobm/IOACT | iobm/VPArf | iobm/VPArr | nAoutOE -INPUTMC | 10 | 5 | 1 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 | 5 | 17 | 1 | 9 | 1 | 8 | 3 | 1 -EQ | 7 | +INPUTS | 10 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | nAoutOE +INPUTMC | 10 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 1 | 13 | 1 | 12 | 3 | 1 +EQ | 6 | nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & iobm/IOACT & iobm/VPArf & - iobm/VPArr; + !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr; nVMA_IOB.CLK = C16M; // GCK nVMA_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 8 | iobm/nLDS -ATTRIBUTES | 8685314 | 0 -INPUTS | 6 | iobs/IOL0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobs/IORW0 | nAoutOE -INPUTMC | 6 | 0 | 11 | 5 | 4 | 5 | 12 | 3 | 9 | 2 | 14 | 3 | 1 -EQ | 8 | - !nLDS_IOB.D = iobs/IOL0 & !iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd2 - # iobs/IOL0 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 - # !iobs/IORW0 & iobs/IOL0 & iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd1; - !nLDS_IOB.CLK = C16M; // GCK - nLDS_IOB.OE = !nAoutOE; -GLOBALS | 1 | 2 | C16M - -MACROCELL | 5 | 10 | iobm/nUDS -ATTRIBUTES | 8685314 | 0 -INPUTS | 6 | iobs/IOU0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobs/IORW0 | nAoutOE -INPUTMC | 6 | 0 | 10 | 5 | 4 | 5 | 12 | 3 | 9 | 2 | 14 | 3 | 1 -EQ | 8 | - !nUDS_IOB.D = iobs/IOU0 & !iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd2 - # iobs/IOU0 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 - # !iobs/IORW0 & iobs/IOU0 & iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd1; - !nUDS_IOB.CLK = C16M; // GCK - nUDS_IOB.OE = !nAoutOE; -GLOBALS | 1 | 2 | C16M - -MACROCELL | 5 | 11 | iobm/nASout +MACROCELL | 5 | 11 | nAS_IOBout ATTRIBUTES | 8685314 | 0 INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | nAoutOE -INPUTMC | 4 | 3 | 9 | 5 | 12 | 5 | 4 | 3 | 1 +INPUTMC | 4 | 0 | 15 | 5 | 9 | 5 | 2 | 3 | 1 EQ | 4 | nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 # iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2; @@ -56,100 +25,39 @@ EQ | 4 | nAS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 13 | cnt/LTimer<0> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 14 | 3 | 13 | 6 | 0 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 -INPUTS | 3 | cnt/LTimer<0> | cnt/LTimer<13> | cnt/TimerTC -INPUTMC | 3 | 3 | 13 | 6 | 0 | 0 | 9 -EQ | 3 | - !cnt/LTimer<0>.T = !cnt/LTimer<0> & cnt/LTimer<13> & cnt/TimerTC; - !cnt/LTimer<0>.CLK = C8M; // GCK - cnt/LTimer<0>.CE = cnt/TimerTC; -GLOBALS | 1 | 2 | C8M +MACROCELL | 5 | 8 | nLDS_IOBout +ATTRIBUTES | 8685314 | 0 +INPUTS | 6 | IOL0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IORW0 | nAoutOE +INPUTMC | 6 | 3 | 16 | 5 | 2 | 5 | 9 | 0 | 15 | 2 | 0 | 3 | 1 +EQ | 6 | + !nLDS_IOB.D = IOL0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 + # IOL0 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 + # IORW0 & IOL0 & iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd1; + !nLDS_IOB.CLK = C16M; // GCK + nLDS_IOB.OE = !nAoutOE; +GLOBALS | 1 | 2 | C16M -MACROCELL | 6 | 0 | cnt/LTimer<13> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 17 | 3 | 13 | 6 | 0 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 3 | 6 | 3 | 12 | 3 | 11 -INPUTS | 15 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<9> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<8> | cnt/LTimer<12> | cnt/LTimer<13> | cnt/TimerTC -INPUTMC | 15 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 6 | 0 | 0 | 9 -EQ | 7 | - cnt/LTimer<13>.T = cnt/LTimer<13> & cnt/TimerTC - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<10> & cnt/LTimer<11> & - cnt/LTimer<8> & cnt/LTimer<12>; - !cnt/LTimer<13>.CLK = C8M; // GCK - cnt/LTimer<13>.CE = cnt/TimerTC; -GLOBALS | 1 | 2 | C8M +MACROCELL | 5 | 10 | nUDS_IOBout +ATTRIBUTES | 8685314 | 0 +INPUTS | 6 | IOU0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IORW0 | nAoutOE +INPUTMC | 6 | 3 | 15 | 5 | 2 | 5 | 9 | 0 | 15 | 2 | 0 | 3 | 1 +EQ | 6 | + !nUDS_IOB.D = IOU0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 + # IOU0 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 + # IORW0 & IOU0 & iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd1; + !nUDS_IOB.CLK = C16M; // GCK + nUDS_IOB.OE = !nAoutOE; +GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 16 | cnt/LTimer<1> -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 14 | 6 | 0 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 3 | 17 -INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<13> | cnt/TimerTC | C8M | nBERR_IOB | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOBERR | iobm/RESrf | iobm/RESrr -INPUTMC | 10 | 3 | 13 | 3 | 16 | 6 | 0 | 0 | 9 | 5 | 4 | 3 | 9 | 5 | 12 | 3 | 17 | 1 | 11 | 1 | 10 -INPUTP | 2 | 35 | 123 -EXPORTS | 1 | 3 | 17 -EQ | 8 | - !cnt/LTimer<1>.D = cnt/LTimer<0> & cnt/LTimer<1> - # !cnt/LTimer<0> & !cnt/LTimer<1> - # cnt/LTimer<13> & cnt/TimerTC; - !cnt/LTimer<1>.CLK = C8M; // GCK - cnt/LTimer<1>.CE = cnt/TimerTC; - cnt/LTimer<1>.EXP = C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/RESrf & - iobm/RESrr -GLOBALS | 1 | 2 | C8M - -MACROCELL | 3 | 15 | cnt/LTimer<2> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 12 | 6 | 0 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 -INPUTS | 5 | cnt/LTimer<0> | cnt/LTimer<13> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC -INPUTMC | 5 | 3 | 13 | 6 | 0 | 3 | 16 | 3 | 15 | 0 | 9 -EQ | 5 | - cnt/LTimer<2>.T = cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> - # cnt/LTimer<0> & cnt/LTimer<1> & !cnt/TimerTC - # cnt/LTimer<13> & cnt/LTimer<2> & cnt/TimerTC; - !cnt/LTimer<2>.CLK = C8M; // GCK - cnt/LTimer<2>.CE = cnt/TimerTC; -GLOBALS | 1 | 2 | C8M - -MACROCELL | 3 | 14 | cnt/LTimer<3> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 11 | 6 | 0 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 -INPUTS | 6 | cnt/LTimer<13> | cnt/LTimer<3> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> -INPUTMC | 6 | 6 | 0 | 3 | 14 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 -EQ | 7 | - cnt/LTimer<3>.T = cnt/LTimer<13> & cnt/LTimer<3> & cnt/TimerTC - # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & - cnt/LTimer<2> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - !cnt/TimerTC; - !cnt/LTimer<3>.CLK = C8M; // GCK - cnt/LTimer<3>.CE = cnt/TimerTC; -GLOBALS | 1 | 2 | C8M - -MACROCELL | 6 | 12 | cnt/LTimer<5> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 9 | 6 | 0 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 -INPUTS | 8 | cnt/LTimer<13> | cnt/LTimer<5> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> -INPUTMC | 8 | 6 | 0 | 6 | 12 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 14 -EQ | 7 | - cnt/LTimer<5>.T = cnt/LTimer<13> & cnt/LTimer<5> & cnt/TimerTC - # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & !cnt/TimerTC; - !cnt/LTimer<5>.CLK = C8M; // GCK - cnt/LTimer<5>.CE = cnt/TimerTC; -GLOBALS | 1 | 2 | C8M - -MACROCELL | 7 | 0 | iobs/Once +MACROCELL | 4 | 16 | iobs/Once ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 7 | 0 | 2 | 14 | 2 | 11 | 0 | 16 | 7 | 10 | 7 | 13 | 7 | 15 | 2 | 5 | 2 | 4 | 2 | 13 | 2 | 15 | 2 | 16 | 7 | 1 | 7 | 11 | 7 | 12 | 7 | 17 -INPUTS | 11 | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB_19_IBUF$BUF0.EXP | EXP29_.EXP -INPUTMC | 6 | 7 | 0 | 0 | 6 | 7 | 13 | 7 | 6 | 7 | 1 | 7 | 17 +OUTPUTMC | 17 | 4 | 16 | 2 | 0 | 2 | 10 | 4 | 14 | 7 | 11 | 4 | 6 | 4 | 2 | 2 | 15 | 2 | 1 | 2 | 2 | 2 | 14 | 2 | 16 | 2 | 17 | 4 | 5 | 4 | 7 | 4 | 15 | 4 | 17 +INPUTS | 11 | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | iobs/IOReady.EXP | EXP21_.EXP +INPUTMC | 6 | 4 | 16 | 3 | 6 | 4 | 6 | 4 | 9 | 4 | 15 | 4 | 17 INPUTP | 5 | 54 | 36 | 30 | 29 | 28 -IMPORTS | 2 | 7 | 1 | 7 | 17 +IMPORTS | 2 | 4 | 15 | 4 | 17 EQ | 40 | iobs/Once.T = iobs/Once & nAS_FSB & !fsb/ASrf # A_FSB<23> & !iobs/Once & !nAS_FSB & @@ -160,324 +68,474 @@ EQ | 40 | !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB8_2 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Once & cs/nOverlay1 & !nAS_FSB & nADoutLE1 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Once & cs/nOverlay1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Once & cs/nOverlay1 & !nAS_FSB & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Once & cs/nOverlay1 & fsb/ASrf & nADoutLE1 -;Imported pterms FB8_18 +;Imported pterms FB5_16 # A_FSB<22> & A_FSB<21> & !iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<22> & A_FSB<20> & !iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +;Imported pterms FB5_18 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !iobs/Once & cs/nOverlay & !nWE_FSB & !nAS_FSB & nADoutLE1 + # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !iobs/Once & cs/nOverlay & !nWE_FSB & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !iobs/Once & cs/nOverlay & !nWE_FSB & !nAS_FSB & nADoutLE1 + # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !iobs/Once & cs/nOverlay & !nWE_FSB & fsb/ASrf & nADoutLE1; iobs/Once.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 14 | cnt/LTimer<4> +MACROCELL | 3 | 17 | RefUrg ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 10 | 6 | 0 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 -INPUTS | 7 | cnt/LTimer<13> | cnt/LTimer<4> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> -INPUTMC | 7 | 6 | 0 | 6 | 14 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 -EQ | 7 | - cnt/LTimer<4>.T = cnt/LTimer<13> & cnt/LTimer<4> & cnt/TimerTC - # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & - cnt/LTimer<2> & cnt/LTimer<3> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & !cnt/TimerTC; - !cnt/LTimer<4>.CLK = C8M; // GCK - cnt/LTimer<4>.CE = cnt/TimerTC; -GLOBALS | 1 | 2 | C8M - -MACROCELL | 6 | 9 | cnt/LTimer<6> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 8 | 6 | 0 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 -INPUTS | 9 | cnt/LTimer<13> | cnt/LTimer<6> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> -INPUTMC | 9 | 6 | 0 | 6 | 9 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 -EQ | 7 | - cnt/LTimer<6>.T = cnt/LTimer<13> & cnt/LTimer<6> & cnt/TimerTC - # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & !cnt/TimerTC; - !cnt/LTimer<6>.CLK = C8M; // GCK - cnt/LTimer<6>.CE = cnt/TimerTC; -GLOBALS | 1 | 2 | C8M - -MACROCELL | 6 | 6 | cnt/LTimer<7> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 8 | 6 | 0 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 6 | 7 -INPUTS | 15 | cnt/LTimer<13> | cnt/LTimer<7> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | A_FSB<9> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RASEL -INPUTMC | 12 | 6 | 0 | 6 | 6 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 4 | 3 | 4 | 0 -INPUTP | 3 | 12 | 36 | 30 -EXPORTS | 1 | 6 | 7 -EQ | 11 | - cnt/LTimer<7>.T = cnt/LTimer<13> & cnt/LTimer<7> & cnt/TimerTC - # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & - cnt/LTimer<6> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & - !cnt/TimerTC; - !cnt/LTimer<7>.CLK = C8M; // GCK - cnt/LTimer<7>.CE = cnt/TimerTC; - cnt/LTimer<7>.EXP = A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - ram/RASEL -GLOBALS | 1 | 2 | C8M - -MACROCELL | 6 | 2 | cnt/LTimer<9> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 5 | 6 | 0 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 15 -INPUTS | 12 | cnt/LTimer<13> | cnt/LTimer<9> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> -INPUTMC | 12 | 6 | 0 | 6 | 2 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 3 +OUTPUTMC | 4 | 3 | 17 | 3 | 9 | 3 | 14 | 3 | 0 +INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | RefUrg | cnt/TimerTC +INPUTMC | 7 | 7 | 3 | 7 | 9 | 7 | 2 | 0 | 10 | 3 | 8 | 3 | 17 | 3 | 9 EQ | 9 | - cnt/LTimer<9>.T = cnt/LTimer<13> & cnt/LTimer<9> & cnt/TimerTC - # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & - cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8> & !cnt/TimerTC; - !cnt/LTimer<9>.CLK = C8M; // GCK - cnt/LTimer<9>.CE = cnt/TimerTC; -GLOBALS | 1 | 2 | C8M + RefUrg.T = RefUrg & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & + !cnt/TimerTC + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & + cnt/Er<0> + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & + !cnt/Er<1>; + RefUrg.CLK = FCLK; // GCK + RefUrg.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 3 | cs/nOverlay1 +MACROCELL | 7 | 17 | cnt/LTimer<0> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 14 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 | 7 | 0 +INPUTS | 11 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/BACTr | A_FSB<22> | cs/nOverlay | ram/RefReq +INPUTMC | 9 | 3 | 9 | 0 | 10 | 3 | 8 | 4 | 7 | 7 | 15 | 0 | 0 | 3 | 2 | 2 | 13 | 0 | 2 +INPUTP | 2 | 36 | 30 +EXPORTS | 1 | 7 | 0 +EQ | 11 | + cnt/LTimer<0>.T = Vcc; + cnt/LTimer<0>.CLK = FCLK; // GCK + cnt/LTimer<0>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<0>.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & ram/BACTr + # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & ram/BACTr + # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & ram/BACTr + # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !ram/RefReq +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 3 | cnt/Timer<0> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 6 | 3 | 17 | 7 | 3 | 7 | 9 | 7 | 2 | 3 | 9 | 7 | 8 +INPUTS | 6 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | cnt/Timer<1> | cnt/Timer<2> +INPUTMC | 6 | 7 | 3 | 3 | 9 | 0 | 10 | 3 | 8 | 7 | 9 | 7 | 2 +EXPORTS | 1 | 7 | 2 +EQ | 5 | + !cnt/Timer<0>.T = !cnt/Timer<0> & cnt/TimerTC & !cnt/Er<0> & + cnt/Er<1>; + cnt/Timer<0>.CLK = FCLK; // GCK + cnt/Timer<0>.CE = !cnt/Er<0> & cnt/Er<1>; + cnt/Timer<0>.EXP = cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 9 | cnt/Timer<1> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 27 | 6 | 8 | 2 | 1 | 4 | 6 | 2 | 7 | 2 | 16 | 2 | 10 | 2 | 8 | 2 | 17 | 7 | 7 | 4 | 11 | 7 | 11 | 7 | 10 | 4 | 8 | 7 | 14 | 2 | 4 | 4 | 15 | 6 | 7 | 7 | 4 | 2 | 15 | 4 | 1 | 4 | 2 | 4 | 14 | 4 | 16 | 4 | 17 | 6 | 6 | 7 | 1 | 7 | 17 -INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 4 | 7 | 0 | 6 -INPUTP | 1 | 54 -EQ | 3 | - cs/nOverlay1.D = cs/nOverlay0; - cs/nOverlay1.CLK = FCLK; // GCK - cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; +OUTPUTMC | 8 | 3 | 17 | 7 | 9 | 7 | 2 | 3 | 9 | 3 | 14 | 7 | 3 | 7 | 8 | 7 | 10 +INPUTS | 13 | cnt/Timer<0> | cnt/Timer<1> | A_FSB<23> | cnt/Er<0> | cnt/Er<1> | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RefReq | A_FSB<22> | ram/BACTr | fsb/Ready0r.EXP +INPUTMC | 11 | 7 | 3 | 7 | 9 | 0 | 10 | 3 | 8 | 0 | 12 | 4 | 7 | 7 | 15 | 0 | 0 | 0 | 2 | 3 | 2 | 7 | 8 +INPUTP | 2 | 36 | 30 +EXPORTS | 1 | 7 | 10 +IMPORTS | 1 | 7 | 8 +EQ | 12 | + !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> +;Imported pterms FB8_9 + # !cnt/Timer<0> & !cnt/Timer<1> + # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + cnt/Timer<1>.CLK = FCLK; // GCK + cnt/Timer<1>.CE = !cnt/Er<0> & cnt/Er<1>; + cnt/Timer<1>.EXP = A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq + # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr + # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 2 | cnt/Timer<2> +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 6 | 3 | 17 | 7 | 2 | 3 | 9 | 3 | 14 | 7 | 3 | 7 | 1 +INPUTS | 8 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<19> | cnt/Timer<0>.EXP +INPUTMC | 7 | 7 | 3 | 7 | 2 | 7 | 9 | 3 | 9 | 0 | 10 | 3 | 8 | 7 | 3 +INPUTP | 1 | 26 +EXPORTS | 1 | 7 | 1 +IMPORTS | 1 | 7 | 3 +EQ | 8 | + !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> + # !cnt/Timer<1> & !cnt/Timer<2> + # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> +;Imported pterms FB8_4 + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2>; + cnt/Timer<2>.CLK = FCLK; // GCK + cnt/Timer<2>.CE = !cnt/Er<0> & cnt/Er<1>; + cnt/Timer<2>.EXP = A_FSB<19> GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 17 | cnt/LTimer<10> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 4 | 6 | 0 | 6 | 17 | 6 | 16 | 6 | 15 -INPUTS | 13 | cnt/LTimer<13> | cnt/LTimer<10> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<9> | cnt/LTimer<8> -INPUTMC | 13 | 6 | 0 | 6 | 17 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 3 -EQ | 9 | - cnt/LTimer<10>.T = cnt/LTimer<13> & cnt/LTimer<10> & cnt/TimerTC - # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & - cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<8> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<8> & !cnt/TimerTC; - !cnt/LTimer<10>.CLK = C8M; // GCK - cnt/LTimer<10>.CE = cnt/TimerTC; -GLOBALS | 1 | 2 | C8M +OUTPUTMC | 3 | 6 | 16 | 6 | 15 | 6 | 0 +INPUTS | 13 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 13 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 5 | + cnt/LTimer<10>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & + cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9>; + cnt/LTimer<10>.CLK = FCLK; // GCK + cnt/LTimer<10>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 16 | cnt/LTimer<11> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 3 | 6 | 0 | 6 | 16 | 6 | 15 -INPUTS | 14 | cnt/LTimer<13> | cnt/LTimer<11> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<9> | cnt/LTimer<10> | cnt/LTimer<8> -INPUTMC | 14 | 6 | 0 | 6 | 16 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 3 -EQ | 11 | - cnt/LTimer<11>.T = cnt/LTimer<13> & cnt/LTimer<11> & cnt/TimerTC - # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & - cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<10> & - cnt/LTimer<8> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<10> & cnt/LTimer<8> & - !cnt/TimerTC; - !cnt/LTimer<11>.CLK = C8M; // GCK - cnt/LTimer<11>.CE = cnt/TimerTC; -GLOBALS | 1 | 2 | C8M +OUTPUTMC | 2 | 6 | 15 | 6 | 0 +INPUTS | 14 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 14 | 7 | 17 | 6 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 5 | + cnt/LTimer<11>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9>; + cnt/LTimer<11>.CLK = FCLK; // GCK + cnt/LTimer<11>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 13 | cnt/LTimer<1> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 12 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +INPUTS | 4 | cnt/LTimer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 4 | 7 | 17 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 3 | + cnt/LTimer<1>.T = cnt/LTimer<0>; + cnt/LTimer<1>.CLK = FCLK; // GCK + cnt/LTimer<1>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 12 | cnt/LTimer<2> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 11 | 6 | 17 | 6 | 16 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +INPUTS | 5 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 5 | 7 | 17 | 3 | 13 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 3 | + cnt/LTimer<2>.T = cnt/LTimer<0> & cnt/LTimer<1>; + cnt/LTimer<2>.CLK = FCLK; // GCK + cnt/LTimer<2>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 11 | cnt/LTimer<3> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 10 | 6 | 17 | 6 | 16 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +INPUTS | 6 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 3 | + cnt/LTimer<3>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2>; + cnt/LTimer<3>.CLK = FCLK; // GCK + cnt/LTimer<3>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 14 | cnt/LTimer<4> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 9 | 6 | 17 | 6 | 16 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +INPUTS | 7 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 7 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 4 | + cnt/LTimer<4>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3>; + cnt/LTimer<4>.CLK = FCLK; // GCK + cnt/LTimer<4>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 12 | cnt/LTimer<5> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 8 | 6 | 17 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 8 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 4 | + cnt/LTimer<5>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4>; + cnt/LTimer<5>.CLK = FCLK; // GCK + cnt/LTimer<5>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 9 | cnt/LTimer<6> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 7 | 6 | 17 | 6 | 16 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +INPUTS | 9 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 9 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 4 | + cnt/LTimer<6>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5>; + cnt/LTimer<6>.CLK = FCLK; // GCK + cnt/LTimer<6>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 6 | cnt/LTimer<7> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 6 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +INPUTS | 10 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 10 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 4 | + cnt/LTimer<7>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6>; + cnt/LTimer<7>.CLK = FCLK; // GCK + cnt/LTimer<7>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 3 | cnt/LTimer<8> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 6 | 6 | 0 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 -INPUTS | 11 | cnt/LTimer<13> | cnt/LTimer<8> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> -INPUTMC | 11 | 6 | 0 | 6 | 3 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 +OUTPUTMC | 5 | 6 | 17 | 6 | 16 | 6 | 2 | 6 | 15 | 6 | 0 +INPUTS | 11 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 11 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 5 | + cnt/LTimer<8>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & + cnt/LTimer<7>; + cnt/LTimer<8>.CLK = FCLK; // GCK + cnt/LTimer<8>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 2 | cnt/LTimer<9> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 4 | 6 | 17 | 6 | 16 | 6 | 15 | 6 | 0 +INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 12 | 7 | 17 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 5 | + cnt/LTimer<9>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & + cnt/LTimer<7> & cnt/LTimer<8>; + cnt/LTimer<9>.CLK = FCLK; // GCK + cnt/LTimer<9>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 13 | cs/nOverlay +ATTRIBUTES | 4358928 | 0 +OUTPUTMC | 26 | 4 | 15 | 2 | 13 | 4 | 0 | 7 | 8 | 2 | 12 | 2 | 4 | 2 | 9 | 2 | 8 | 4 | 17 | 2 | 16 | 7 | 15 | 4 | 5 | 7 | 13 | 4 | 2 | 2 | 14 | 7 | 10 | 6 | 7 | 2 | 1 | 2 | 2 | 2 | 6 | 4 | 7 | 4 | 8 | 7 | 1 | 7 | 14 | 7 | 16 | 7 | 17 +INPUTS | 17 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | nAS_FSB | fsb/ASrf | nRES.PIN | A_FSB<13> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready1r | nWE_FSB | iobs/IOReady | nADoutLE1 +INPUTMC | 5 | 2 | 13 | 3 | 6 | 2 | 12 | 4 | 15 | 5 | 13 +INPUTP | 12 | 36 | 30 | 29 | 28 | 54 | 145 | 18 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 2 | 12 EQ | 9 | - cnt/LTimer<8>.T = cnt/LTimer<13> & cnt/LTimer<8> & cnt/TimerTC - # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & - cnt/LTimer<6> & cnt/LTimer<7> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & - cnt/LTimer<7> & !cnt/TimerTC; - !cnt/LTimer<8>.CLK = C8M; // GCK - cnt/LTimer<8>.CE = cnt/TimerTC; -GLOBALS | 1 | 2 | C8M + cs/nOverlay.T = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay & !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay & fsb/ASrf; + cs/nOverlay.CLK = FCLK; // GCK + cs/nOverlay.AR = !nRES.PIN; + cs/nOverlay.EXP = A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & !nWE_FSB & !iobs/IOReady & !nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 0 | IORW0 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 5 | 8 | 5 | 10 | 2 | 0 | 5 | 6 | 2 | 17 +INPUTS | 11 | IORW0 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf | EXP10_.EXP | iobs/Clear1.EXP +INPUTMC | 8 | 2 | 0 | 4 | 6 | 4 | 9 | 4 | 16 | 5 | 13 | 3 | 6 | 2 | 1 | 2 | 17 +INPUTP | 3 | 36 | 30 | 54 +IMPORTS | 2 | 2 | 1 | 2 | 17 +EQ | 41 | + !IORW0.D = !IORW0 & iobs/PS_FSM_FFd2 + # !IORW0 & iobs/PS_FSM_FFd1 + # iobs/Once & !IORW0 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !IORW0 & nADoutLE1 + # !IORW0 & nAS_FSB & !fsb/ASrf & nADoutLE1 +;Imported pterms FB3_2 + # A_FSB<22> & A_FSB<21> & !iobs/Once & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & A_FSB<21> & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Once & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 +;Imported pterms FB3_3 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 +;Imported pterms FB3_18 + # !iobs/IORW1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + !nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !IORW0 & + nADoutLE1 + # A_FSB<23> & !iobs/Once & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<23> & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1; + IORW0.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 15 | cnt/LTimer<12> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 2 | 6 | 0 | 6 | 15 -INPUTS | 15 | cnt/LTimer<13> | cnt/LTimer<12> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<9> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<8> -INPUTMC | 15 | 6 | 0 | 6 | 15 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 -EQ | 11 | - cnt/LTimer<12>.T = cnt/LTimer<13> & cnt/LTimer<12> & cnt/TimerTC - # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & - cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<10> & - cnt/LTimer<11> & cnt/LTimer<8> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<5> & cnt/LTimer<4> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<9> & cnt/LTimer<10> & cnt/LTimer<11> & - cnt/LTimer<8> & !cnt/TimerTC; - !cnt/LTimer<12>.CLK = C8M; // GCK - cnt/LTimer<12>.CE = cnt/TimerTC; -GLOBALS | 1 | 2 | C8M - -MACROCELL | 2 | 14 | iobs/IORW0 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 5 | 8 | 5 | 10 | 2 | 14 | 5 | 2 | 2 | 13 -INPUTS | 11 | iobs/IORW0 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf | EXP21_.EXP | EXP22_.EXP -INPUTMC | 8 | 2 | 14 | 7 | 13 | 7 | 6 | 7 | 0 | 5 | 13 | 0 | 6 | 2 | 13 | 2 | 15 -INPUTP | 3 | 36 | 30 | 54 -IMPORTS | 2 | 2 | 13 | 2 | 15 -EQ | 41 | - !iobs/IORW0.D = !iobs/IORW0 & iobs/PS_FSM_FFd2 - # !iobs/IORW0 & iobs/PS_FSM_FFd1 - # iobs/Once & !iobs/IORW0 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/IORW0 & nADoutLE1 - # !iobs/IORW0 & nAS_FSB & !fsb/ASrf & nADoutLE1 -;Imported pterms FB3_14 - # !iobs/IORW1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - !nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !iobs/IORW0 & - nADoutLE1 - # A_FSB<23> & !nWE_FSB & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<23> & !nWE_FSB & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<21> & !nWE_FSB & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB3_16 - # A_FSB<22> & A_FSB<21> & !nWE_FSB & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !nWE_FSB & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !nWE_FSB & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 -;Imported pterms FB3_17 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1; - iobs/IORW0.CLK = FCLK; // GCK +OUTPUTMC | 1 | 6 | 0 +INPUTS | 15 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 15 | 7 | 17 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 6 | + cnt/LTimer<12>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<11> & + cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & + cnt/LTimer<5> & cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & + cnt/LTimer<9>; + cnt/LTimer<12>.CLK = FCLK; // GCK + cnt/LTimer<12>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 2 | ram/RefDone +MACROCELL | 3 | 9 | cnt/TimerTC +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 20 | 3 | 17 | 7 | 17 | 7 | 3 | 7 | 8 | 7 | 2 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 | 7 | 16 | 7 | 6 +INPUTS | 6 | RefUrg | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 3 | 17 | 7 | 3 | 7 | 9 | 7 | 2 | 0 | 10 | 3 | 8 +EQ | 4 | + cnt/TimerTC.D = RefUrg & cnt/Timer<0> & !cnt/Timer<1> & + !cnt/Timer<2>; + cnt/TimerTC.CLK = FCLK; // GCK + cnt/TimerTC.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 17 | ram/RefDone ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 2 | 0 | 1 | 0 | 3 | 4 | 1 -INPUTS | 9 | ram/RefDone | ram/RefReqSync | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 -INPUTMC | 5 | 4 | 2 | 0 | 2 | 7 | 8 | 4 | 12 | 4 | 3 -INPUTP | 4 | 36 | 30 | 29 | 28 -EXPORTS | 1 | 4 | 1 -EQ | 7 | +OUTPUTMC | 3 | 0 | 17 | 0 | 0 | 0 | 2 +INPUTS | 5 | ram/RefDone | ram/RefReqSync | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 +INPUTMC | 5 | 0 | 17 | 0 | 1 | 0 | 12 | 4 | 7 | 7 | 15 +EQ | 6 | ram/RefDone.D = ram/RefDone & ram/RefReqSync - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - ram/RefReqSync; + # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & ram/RefReqSync + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd3 & ram/RefReqSync; ram/RefDone.CLK = FCLK; // GCK - ram/RefDone.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 7 | cs/nOverlay0 -ATTRIBUTES | 4358928 | 0 -OUTPUTMC | 3 | 4 | 3 | 4 | 7 | 4 | 8 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf | nRES.PIN | ram/RASEL | A_FSB<5> -INPUTMC | 3 | 4 | 7 | 0 | 6 | 4 | 0 -INPUTP | 7 | 36 | 30 | 29 | 28 | 54 | 145 | 4 -EXPORTS | 1 | 4 | 8 -EQ | 7 | - cs/nOverlay0.T = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay0 & !nAS_FSB - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay0 & fsb/ASrf; - cs/nOverlay0.CLK = FCLK; // GCK - cs/nOverlay0.AR = !nRES.PIN; - cs/nOverlay0.EXP = ram/RASEL & A_FSB<5> +MACROCELL | 3 | 16 | IOL0 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 5 | 8 +INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 4 | 0 | 14 | 5 | 13 | 4 | 6 | 4 | 9 +INPUTP | 1 | 49 +EQ | 4 | + IOL0.D = !nLDS_FSB & nADoutLE1 + # iobs/IOL1 & !nADoutLE1; + IOL0.CLK = FCLK; // GCK + IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 6 | fsb/Ready0r +MACROCELL | 3 | 15 | IOU0 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 5 | 10 +INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 4 | 0 | 13 | 5 | 13 | 4 | 6 | 4 | 9 +INPUTP | 1 | 56 +EQ | 4 | + IOU0.D = !nUDS_FSB & nADoutLE1 + # iobs/IOU1 & !nADoutLE1; + IOU0.CLK = FCLK; // GCK + IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 14 | RefReq +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 0 | 1 +INPUTS | 5 | RefUrg | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 5 | 3 | 17 | 7 | 9 | 7 | 2 | 0 | 10 | 3 | 8 +EQ | 3 | + !RefReq.D = !RefUrg & !cnt/Timer<1> & !cnt/Timer<2>; + RefReq.CLK = FCLK; // GCK + RefReq.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 0 | cnt/LTimerTC +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 2 | 7 | 16 | 7 | 6 +INPUTS | 16 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<12> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 16 | 7 | 17 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 3 | 9 | 0 | 10 | 3 | 8 +EQ | 6 | + cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<11> & + cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & + cnt/LTimer<5> & cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & + cnt/LTimer<9> & cnt/LTimer<12>; + cnt/LTimerTC.CLK = FCLK; // GCK + cnt/LTimerTC.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 8 | fsb/Ready0r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 6 | 2 | 8 | 2 | 7 | 2 | 17 -INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf -INPUTMC | 4 | 4 | 3 | 4 | 6 | 4 | 16 | 0 | 6 -INPUTP | 4 | 36 | 30 | 29 | 54 +OUTPUTMC | 4 | 7 | 8 | 2 | 4 | 2 | 8 | 7 | 9 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 9 | 2 | 13 | 7 | 8 | 7 | 10 | 3 | 6 | 7 | 3 | 7 | 9 | 3 | 9 | 0 | 10 | 3 | 8 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 7 | 9 EQ | 6 | !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady; + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !fsb/Ready0r & !ram/RAMReady; fsb/Ready0r.CLK = FCLK; // GCK + fsb/Ready0r.EXP = !cnt/Timer<0> & !cnt/Timer<1> + # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 9 | fsb/Ready1r +MACROCELL | 2 | 12 | fsb/Ready1r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 2 | 9 | 2 | 0 | 2 | 8 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 17 | 2 | 10 -INPUTS | 11 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<13> | fsb/nDTACK.EXP -INPUTMC | 4 | 0 | 6 | 2 | 9 | 0 | 16 | 2 | 8 -INPUTP | 7 | 54 | 36 | 30 | 29 | 28 | 19 | 18 -EXPORTS | 1 | 2 | 10 -IMPORTS | 1 | 2 | 8 -EQ | 15 | +OUTPUTMC | 8 | 2 | 12 | 2 | 5 | 2 | 8 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 13 +INPUTS | 17 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | nADoutLE1 | cs/nOverlay.EXP +INPUTMC | 6 | 3 | 6 | 2 | 12 | 4 | 15 | 2 | 13 | 5 | 13 | 2 | 13 +INPUTP | 11 | 54 | 36 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 +IMPORTS | 1 | 2 | 13 +EQ | 14 | !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & !iobs/IOReady # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & !iobs/IOReady -;Imported pterms FB3_9 # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & !nWE_FSB & !iobs/IOReady & !nADoutLE1 +;Imported pterms FB3_14 # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & !nADoutLE1; + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & !nWE_FSB & !iobs/IOReady & !nADoutLE1; fsb/Ready1r.CLK = FCLK; // GCK - fsb/Ready1r.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 0 | fsb/VPA +MACROCELL | 2 | 5 | fsb/VPA ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 2 | 0 | 3 | 10 | 2 | 1 | 2 | 17 -INPUTS | 11 | A_FSB<23> | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | A_FSB<20> | EXP14_.EXP | EXP23_.EXP -INPUTMC | 6 | 2 | 9 | 2 | 0 | 0 | 16 | 0 | 6 | 2 | 1 | 2 | 17 +OUTPUTMC | 4 | 2 | 5 | 3 | 10 | 2 | 4 | 2 | 6 +INPUTS | 11 | A_FSB<23> | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | A_FSB<20> | EXP13_.EXP | EXP14_.EXP +INPUTMC | 6 | 2 | 12 | 2 | 5 | 4 | 15 | 3 | 6 | 2 | 4 | 2 | 6 INPUTP | 5 | 36 | 54 | 30 | 29 | 28 -IMPORTS | 2 | 2 | 1 | 2 | 17 +IMPORTS | 2 | 2 | 4 | 2 | 6 EQ | 52 | fsb/VPA.D = A_FSB<23> & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB @@ -489,24 +547,35 @@ EQ | 52 | !iobs/IOReady & fsb/ASrf # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB -;Imported pterms FB3_2 +;Imported pterms FB3_5 + # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & !nADoutLE1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & !nADoutLE1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & !nAS_FSB & + !nADoutLE1 # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & !nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & !nADoutLE1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & !nAS_FSB & + !nADoutLE1 +;Imported pterms FB3_4 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB -;Imported pterms FB3_3 + A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf +;Imported pterms FB3_7 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & fsb/ASrf & + !nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & fsb/ASrf & + !nADoutLE1 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & @@ -514,43 +583,19 @@ EQ | 52 | # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf + A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf -;Imported pterms FB3_18 - # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady; + A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf; fsb/VPA.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 11 | iobs/IOL0 +MACROCELL | 0 | 14 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 8 -INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 0 | 8 | 5 | 13 | 7 | 13 | 7 | 6 -INPUTP | 1 | 49 -EQ | 4 | - iobs/IOL0.D = !nLDS_FSB & nADoutLE1 - # iobs/IOL1 & !nADoutLE1; - iobs/IOL0.CLK = FCLK; // GCK - iobs/IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 8 | iobs/IOL1 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 0 | 11 +OUTPUTMC | 1 | 3 | 16 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 7 | 15 +INPUTMC | 1 | 4 | 2 INPUTP | 1 | 49 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; @@ -558,28 +603,28 @@ EQ | 3 | iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 11 | iobs/IORW1 +MACROCELL | 2 | 10 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 2 | 2 | 13 | 2 | 11 -INPUTS | 8 | nADoutLE1 | iobs/Once | nWE_FSB | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | EXP19_.EXP | EXP20_.EXP -INPUTMC | 7 | 5 | 13 | 7 | 0 | 2 | 11 | 7 | 13 | 7 | 6 | 2 | 10 | 2 | 12 +OUTPUTMC | 2 | 2 | 10 | 2 | 17 +INPUTS | 8 | nADoutLE1 | iobs/Once | nWE_FSB | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | EXP16_.EXP | EXP17_.EXP +INPUTMC | 7 | 5 | 13 | 4 | 16 | 2 | 10 | 4 | 6 | 4 | 9 | 2 | 9 | 2 | 11 INPUTP | 1 | 47 -IMPORTS | 2 | 2 | 10 | 2 | 12 +IMPORTS | 2 | 2 | 9 | 2 | 11 EQ | 20 | !iobs/IORW1.T = iobs/Once # !nADoutLE1 # nWE_FSB & iobs/IORW1 # !nWE_FSB & !iobs/IORW1 # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB3_11 +;Imported pterms FB3_10 # nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay # !A_FSB<23> & !A_FSB<22> & nWE_FSB - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB3_10 +;Imported pterms FB3_9 # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> -;Imported pterms FB3_13 +;Imported pterms FB3_12 # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> @@ -588,41 +633,44 @@ EQ | 20 | iobs/IORW1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 16 | iobs/IOReady +MACROCELL | 4 | 15 | iobs/IOReady ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 2 | 9 | 2 | 0 | 0 | 16 | 2 | 8 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 17 -INPUTS | 8 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | iobm/IOBERR | nADoutLE1 -INPUTMC | 7 | 0 | 16 | 0 | 6 | 7 | 0 | 7 | 13 | 0 | 5 | 3 | 17 | 5 | 13 -INPUTP | 1 | 54 -EQ | 8 | - iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf +OUTPUTMC | 9 | 2 | 12 | 2 | 5 | 4 | 14 | 2 | 8 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 13 | 4 | 16 +INPUTS | 17 | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | nAS_FSB | A_FSB<13> | RA_6_OBUF.EXP +INPUTMC | 6 | 4 | 16 | 4 | 6 | 4 | 9 | 3 | 6 | 2 | 13 | 4 | 14 +INPUTP | 11 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 | 54 | 18 +EXPORTS | 1 | 4 | 16 +IMPORTS | 1 | 4 | 14 +EQ | 22 | + iobs/IOReady.T = ;Imported pterms FB5_15 + iobs/IOReady & nAS_FSB & !fsb/ASrf # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & iobm/IOBERR & nADoutLE1 + !iobs/IOACTr & IOBERR & nADoutLE1 # iobs/Once & !iobs/IOReady & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !iobm/IOBERR & nADoutLE1 + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & nADoutLE1 # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !iobm/IOBERR & fsb/ASrf & nADoutLE1; + !iobs/IOACTr & !IOBERR & fsb/ASrf & nADoutLE1; iobs/IOReady.CLK = FCLK; // GCK + iobs/IOReady.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<20> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 10 | iobs/IOU0 +MACROCELL | 0 | 13 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 10 -INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 0 | 7 | 5 | 13 | 7 | 13 | 7 | 6 -INPUTP | 1 | 56 -EQ | 4 | - iobs/IOU0.D = !nUDS_FSB & nADoutLE1 - # iobs/IOU1 & !nADoutLE1; - iobs/IOU0.CLK = FCLK; // GCK - iobs/IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 7 | iobs/IOU1 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 0 | 10 +OUTPUTMC | 1 | 3 | 15 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 7 | 15 +INPUTMC | 1 | 4 | 2 INPUTP | 1 | 56 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; @@ -630,34 +678,51 @@ EQ | 3 | iobs/IOU1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 8 | fsb/nDTACK +MACROCELL | 7 | 11 | nBERR_FSB_OBUF +ATTRIBUTES | 4621058 | 0 +OUTPUTMC | 2 | 7 | 11 | 7 | 10 +INPUTS | 14 | nBERR_FSB | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 | A_FSB<23> | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/BACTr +INPUTMC | 12 | 7 | 11 | 3 | 6 | 4 | 16 | 4 | 6 | 0 | 3 | 5 | 17 | 5 | 13 | 0 | 12 | 4 | 7 | 7 | 15 | 0 | 0 | 3 | 2 +INPUTP | 2 | 54 | 36 +EXPORTS | 1 | 7 | 10 +EQ | 10 | + nBERR_FSB.T = !nBERR_FSB & nAS_FSB & !fsb/ASrf + # iobs/Once & !nBERR_FSB & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !IOBERR & nADoutLE1 + # iobs/Once & nBERR_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & IOBERR & nADoutLE1 + # iobs/Once & nBERR_FSB & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & IOBERR & fsb/ASrf & nADoutLE1; + nBERR_FSB.CLK = FCLK; // GCK + nBERR_FSB_OBUF.EXP = A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 8 | nDTACK_FSB_OBUF ATTRIBUTES | 8815362 | 0 OUTPUTMC | 3 | 2 | 8 | 2 | 7 | 2 | 9 -INPUTS | 19 | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | nADoutLE1 | A_FSB<13> | A_FSB<23> | A_FSB<22> | fsb/Ready0r | ram/RAMReady | EXP18_.EXP -INPUTMC | 8 | 4 | 3 | 2 | 9 | 0 | 16 | 2 | 8 | 5 | 13 | 4 | 6 | 4 | 16 | 2 | 7 -INPUTP | 11 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 36 | 30 +INPUTS | 19 | A_FSB<13> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | fsb/Ready1r | nWE_FSB | iobs/IOReady | nDTACK_FSB | nADoutLE1 | A_FSB<14> | A_FSB<23> | A_FSB<22> | fsb/Ready0r | ram/RAMReady | EXP15_.EXP +INPUTMC | 8 | 2 | 13 | 2 | 12 | 4 | 15 | 2 | 8 | 5 | 13 | 7 | 8 | 7 | 10 | 2 | 7 +INPUTP | 11 | 18 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 36 | 30 EXPORTS | 1 | 2 | 9 IMPORTS | 1 | 2 | 7 -EQ | 34 | - nDTACK_FSB.D = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady +EQ | 26 | + nDTACK_FSB.D = A_FSB<22> & A_FSB<21> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & !nWE_FSB & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & !nWE_FSB & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 ;Imported pterms FB3_8 # nAS_FSB & !fsb/ASrf # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady -;Imported pterms FB3_7 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & @@ -667,129 +732,121 @@ EQ | 34 | A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & iobs/IOReady; nDTACK_FSB.CLK = FCLK; // GCK - fsb/nDTACK.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 + nDTACK_FSB_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 11 | iobs/nBERR_FSB +MACROCELL | 7 | 14 | nBR_IOB_OBUF ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 3 | 7 | 11 | 7 | 10 | 7 | 12 -INPUTS | 17 | nAS_FSB | nBERR_FSB | fsb/ASrf | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | iobs/Once | cs/nOverlay1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<13> | ram/RS_FSM_FFd3.EXP -INPUTMC | 7 | 7 | 11 | 0 | 6 | 7 | 0 | 4 | 3 | 7 | 13 | 7 | 6 | 7 | 10 -INPUTP | 10 | 54 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 7 | 12 -IMPORTS | 1 | 7 | 10 -EQ | 21 | - nBERR_FSB.T = nAS_FSB & !nBERR_FSB & !fsb/ASrf -;Imported pterms FB8_11 - # iobs/Once & !nBERR_FSB & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !iobm/IOBERR & nADoutLE1 - # iobs/Once & !nAS_FSB & nBERR_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & iobm/IOBERR & nADoutLE1 - # iobs/Once & nBERR_FSB & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & iobm/IOBERR & fsb/ASrf & nADoutLE1; - nBERR_FSB.CLK = FCLK; // GCK - iobs/nBERR_FSB.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 7 | 14 | cnt/nBR_IOB -ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 3 | 7 | 13 | 3 | 1 | 7 | 15 -INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<19> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/PS_FSM_FFd2.EXP -INPUTMC | 2 | 4 | 3 | 7 | 13 -INPUTP | 6 | 36 | 30 | 29 | 26 | 23 | 22 +OUTPUTMC | 4 | 7 | 14 | 3 | 1 | 7 | 13 | 7 | 15 +INPUTS | 13 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | A_FSB<23> | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/BACTr | A_FSB<22> | ram/RefReq | cs/nOverlay | ram/RAMEN.EXP +INPUTMC | 11 | 7 | 14 | 7 | 16 | 7 | 6 | 0 | 12 | 4 | 7 | 7 | 15 | 0 | 0 | 3 | 2 | 0 | 2 | 2 | 13 | 7 | 13 +INPUTP | 2 | 36 | 30 EXPORTS | 1 | 7 | 15 IMPORTS | 1 | 7 | 13 -EQ | 10 | - nBR_IOB.T = ;Imported pterms FB8_14 - nBR_IOB & !cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2 - # !nBR_IOB & !cnt/PORS_FSM_FFd1 & cnt/PORS_FSM_FFd2 & - cnt/IPL2r; - !nBR_IOB.CLK = C8M; // GCK - cnt/nBR_IOB.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -GLOBALS | 1 | 2 | C8M +EQ | 14 | + nBR_IOB.T = nBR_IOB & !cnt/INITS_FSM_FFd1 & + !cnt/INITS_FSM_FFd2 +;Imported pterms FB8_14 + # !nBR_IOB & !cnt/INITS_FSM_FFd1 & + cnt/INITS_FSM_FFd2 & !cnt/nIPL2r; + nBR_IOB.CLK = FCLK; // GCK + nBR_IOB_OBUF.EXP = A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr + # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr + # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq + # !cs/nOverlay & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq +GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 0 | ram/RASEL +MACROCELL | 7 | 0 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 6 | 5 | 6 | 1 | 4 | 13 | 4 | 10 | 4 | 8 | 4 | 7 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 4 | 4 | 6 | 6 | 6 | 8 -INPUTS | 8 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | fsb/ASrf | ram/RefUrgent | nROMCS_OBUF.EXP | EXP26_.EXP -INPUTMC | 7 | 7 | 8 | 4 | 12 | 7 | 10 | 0 | 6 | 0 | 1 | 4 | 1 | 4 | 17 +OUTPUTMC | 11 | 6 | 5 | 6 | 1 | 4 | 13 | 4 | 10 | 4 | 4 | 4 | 11 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 4 | 9 +INPUTS | 8 | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | ram/RefUrg | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | A_FSB_19_IBUF$BUF0.EXP | cnt/LTimer<0>.EXP +INPUTMC | 7 | 0 | 12 | 3 | 6 | 0 | 0 | 4 | 7 | 7 | 15 | 7 | 1 | 7 | 17 INPUTP | 1 | 54 -IMPORTS | 2 | 4 | 1 | 4 | 17 -EQ | 36 | - !ram/RASEL.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 - # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 - # !nAS_FSB & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !fsb/ASrf -;Imported pterms FB5_2 - # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & +IMPORTS | 2 | 7 | 1 | 7 | 17 +EQ | 26 | + !ram/RASEL.D = !nAS_FSB & ram/RS_FSM_FFd1 + # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 + # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd1 & !ram/RefUrg + # ram/RS_FSM_FFd1 & fsb/ASrf +;Imported pterms FB8_2 + # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !ram/RefReq + # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !ram/RefReq + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf -;Imported pterms FB5_18 - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & ram/BACTr - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !ram/RefReq - # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr -;Imported pterms FB5_17 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RAMEN - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & - fsb/ASrf; +;Imported pterms FB8_18 + # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & ram/BACTr + # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & ram/BACTr + # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & ram/BACTr + # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !ram/RefReq; ram/RASEL.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 9 | cnt/TimerTC -ATTRIBUTES | 8520480 | 0 -OUTPUTMC | 18 | 3 | 13 | 6 | 0 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 0 | 14 | 0 | 13 | 1 | 17 | 0 | 12 -INPUTS | 5 | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<0> | cnt/Timer<3> | E -INPUTMC | 4 | 0 | 14 | 0 | 13 | 1 | 17 | 0 | 12 -INPUTP | 1 | 37 -EQ | 3 | - cnt/TimerTC.D = !cnt/Timer<1> & !cnt/Timer<2> & !cnt/Timer<0> & - cnt/Timer<3>; - cnt/TimerTC.CLK = E; - -MACROCELL | 5 | 4 | iobm/IOS_FSM_FFd3 +MACROCELL | 0 | 12 | ram/RS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 5 | 8 | 5 | 10 | 5 | 11 | 5 | 4 | 3 | 9 | 5 | 12 | 3 | 17 | 5 | 17 | 5 | 3 | 5 | 2 | 3 | 0 | 3 | 16 | 5 | 16 +OUTPUTMC | 13 | 0 | 17 | 7 | 0 | 0 | 12 | 4 | 7 | 7 | 15 | 7 | 13 | 7 | 10 | 4 | 8 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 16 +INPUTS | 3 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 +INPUTMC | 3 | 7 | 15 | 0 | 12 | 4 | 7 +EQ | 3 | + ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2; + ram/RS_FSM_FFd1.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 4 | 7 | ram/RS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 15 | 0 | 17 | 7 | 0 | 0 | 12 | 4 | 7 | 7 | 15 | 7 | 12 | 7 | 10 | 4 | 8 | 7 | 1 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 16 | 7 | 17 | 4 | 6 +INPUTS | 20 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | nAS_FSB | ram/RefUrg | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<13> | RA_4_OBUF.EXP +INPUTMC | 10 | 0 | 12 | 7 | 15 | 4 | 7 | 0 | 0 | 4 | 16 | 2 | 13 | 4 | 6 | 4 | 9 | 3 | 6 | 4 | 8 +INPUTP | 10 | 54 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 +EXPORTS | 1 | 4 | 6 +IMPORTS | 1 | 4 | 8 +EQ | 24 | + ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # !nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + ram/RefUrg +;Imported pterms FB5_9 + # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & ram/RefUrg & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RefUrg + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RefUrg & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN & + fsb/ASrf; + ram/RS_FSM_FFd2.CLK = FCLK; // GCK + ram/RS_FSM_FFd2.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd3 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 15 | 5 | 17 | 0 | 16 | 5 | 6 | 5 | 14 | 5 | 16 INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | C8M | iobm/IOREQr | nAoutOE -INPUTMC | 5 | 3 | 9 | 5 | 12 | 5 | 4 | 1 | 12 | 3 | 1 +INPUTMC | 5 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 16 | 3 | 1 INPUTP | 1 | 35 EQ | 6 | iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 @@ -800,56 +857,22 @@ EQ | 6 | iobm/IOS_FSM_FFd3.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 8 | ram/RS_FSM_FFd1 +MACROCELL | 0 | 15 | iobm/IOS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 4 | 2 | 4 | 0 | 7 | 8 | 4 | 12 | 7 | 10 | 4 | 9 | 4 | 16 | 0 | 4 | 4 | 8 | 7 | 7 | 7 | 9 -INPUTS | 6 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | ram/RefUrgent | nRAMUWE_OBUF.EXP -INPUTMC | 5 | 7 | 8 | 4 | 12 | 7 | 10 | 0 | 1 | 7 | 7 -INPUTP | 1 | 54 -EXPORTS | 1 | 7 | 9 -IMPORTS | 1 | 7 | 7 -EQ | 23 | - ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 - # !nAS_FSB & ram/RS_FSM_FFd1 & ram/RefUrgent & - !ram/RS_FSM_FFd3 -;Imported pterms FB8_8 - # ram/RS_FSM_FFd1 & ram/RefUrgent & - !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN & - fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - ram/RefUrgent & !ram/RAMEN - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - ram/RefUrgent & !ram/RAMEN & fsb/ASrf; - ram/RS_FSM_FFd1.CLK = FCLK; // GCK - ram/RS_FSM_FFd1.EXP = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd1 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 9 | iobm/IOS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 5 | 8 | 5 | 10 | 5 | 11 | 5 | 4 | 3 | 9 | 5 | 12 | 3 | 17 | 5 | 17 | 5 | 3 | 5 | 16 | 3 | 0 | 3 | 16 +OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 15 | 5 | 17 | 0 | 16 | 5 | 6 | 5 | 16 | 5 | 14 INPUTS | 3 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 -INPUTMC | 3 | 5 | 4 | 5 | 12 | 3 | 9 +INPUTMC | 3 | 5 | 2 | 5 | 9 | 0 | 15 EQ | 3 | iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 # !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2; iobm/IOS_FSM_FFd1.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 12 | iobm/IOS_FSM_FFd2 +MACROCELL | 5 | 9 | iobm/IOS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 13 | 5 | 8 | 5 | 10 | 5 | 11 | 5 | 4 | 3 | 9 | 5 | 12 | 3 | 17 | 5 | 17 | 5 | 3 | 5 | 2 | 5 | 16 | 3 | 0 | 3 | 16 +OUTPUTMC | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 15 | 5 | 17 | 0 | 16 | 5 | 6 | 5 | 16 INPUTS | 11 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | C8M | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 10 | 5 | 4 | 3 | 9 | 5 | 12 | 5 | 0 | 3 | 3 | 3 | 2 | 1 | 16 | 1 | 15 | 1 | 11 | 1 | 10 +INPUTMC | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 0 | 0 | 8 | 0 | 7 | 0 | 6 | 0 | 5 | 1 | 15 | 1 | 14 INPUTP | 1 | 35 EQ | 11 | iobm/IOS_FSM_FFd2.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & @@ -857,139 +880,108 @@ EQ | 11 | # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/ETACK # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/BERRrf & iobm/BERRrr - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/DTACKrf & iobm/DTACKrr # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/IOS_FSM_FFd2 & iobm/BERRrf & iobm/BERRrr + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/RESrf & iobm/RESrr; iobm/IOS_FSM_FFd2.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 4 | 12 | ram/RS_FSM_FFd2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 15 | 4 | 2 | 4 | 0 | 7 | 8 | 4 | 12 | 7 | 10 | 4 | 9 | 4 | 16 | 0 | 4 | 4 | 1 | 4 | 11 | 4 | 14 | 4 | 15 | 4 | 17 | 7 | 7 | 7 | 9 -INPUTS | 9 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | nAS_FSB | ram/RS_FSM_FFd3 | fsb/ASrf | ram/RefUrgent | ram/RefReq | ram/BACTr | RA_5_OBUF.EXP -INPUTMC | 8 | 7 | 8 | 4 | 12 | 7 | 10 | 0 | 6 | 0 | 1 | 0 | 3 | 7 | 16 | 4 | 11 +MACROCELL | 7 | 15 | ram/RS_FSM_FFd3 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 14 | 0 | 17 | 7 | 0 | 0 | 12 | 4 | 7 | 7 | 15 | 7 | 12 | 7 | 10 | 4 | 8 | 7 | 1 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 16 | 7 | 17 +INPUTS | 10 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | fsb/ASrf | ram/RefUrg | cs/nOverlay | ram/BACTr | nBR_IOB_OBUF.EXP | cnt/INITS_FSM_FFd1.EXP +INPUTMC | 9 | 0 | 12 | 4 | 7 | 7 | 15 | 3 | 6 | 0 | 0 | 2 | 13 | 3 | 2 | 7 | 14 | 7 | 16 INPUTP | 1 | 54 -IMPORTS | 1 | 4 | 11 -EQ | 19 | - !ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 - # !nAS_FSB & ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & ram/BACTr - # !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !ram/RefReq -;Imported pterms FB5_12 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & fsb/ASrf; - ram/RS_FSM_FFd2.CLK = FCLK; // GCK +IMPORTS | 2 | 7 | 14 | 7 | 16 +EQ | 30 | + !ram/RS_FSM_FFd3.T = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd3 + # !nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg + # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & fsb/ASrf + # !cs/nOverlay & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr +;Imported pterms FB8_15 + # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr + # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr + # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq + # !cs/nOverlay & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq +;Imported pterms FB8_17 + # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & + fsb/ASrf; + ram/RS_FSM_FFd3.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 13 | iobs/PS_FSM_FFd2 +MACROCELL | 4 | 6 | iobs/PS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 21 | 7 | 0 | 2 | 14 | 0 | 11 | 2 | 11 | 0 | 16 | 0 | 10 | 7 | 10 | 7 | 13 | 7 | 6 | 7 | 15 | 7 | 3 | 7 | 2 | 2 | 6 | 2 | 13 | 2 | 15 | 2 | 16 | 7 | 1 | 7 | 11 | 7 | 12 | 7 | 17 | 7 | 14 -INPUTS | 12 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<23> | iobs/Once | nAS_FSB | nBR_IOB | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 | cnt/IPL2r | EXP28_.EXP -INPUTMC | 10 | 7 | 6 | 0 | 5 | 7 | 13 | 5 | 13 | 7 | 0 | 7 | 14 | 3 | 6 | 3 | 12 | 3 | 8 | 7 | 12 -INPUTP | 2 | 36 | 54 -EXPORTS | 1 | 7 | 14 -IMPORTS | 1 | 7 | 12 -EQ | 32 | +OUTPUTMC | 19 | 4 | 16 | 2 | 0 | 3 | 16 | 3 | 15 | 2 | 10 | 4 | 14 | 7 | 11 | 4 | 6 | 4 | 9 | 4 | 2 | 0 | 11 | 2 | 15 | 2 | 17 | 2 | 1 | 2 | 2 | 4 | 5 | 4 | 7 | 4 | 15 | 4 | 17 +INPUTS | 12 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | nOE_OBUF.EXP | ram/RS_FSM_FFd2.EXP +INPUTMC | 8 | 4 | 9 | 0 | 3 | 4 | 6 | 5 | 13 | 4 | 16 | 3 | 6 | 4 | 5 | 4 | 7 +INPUTP | 4 | 36 | 54 | 30 | 29 +IMPORTS | 2 | 4 | 5 | 4 | 7 +EQ | 29 | iobs/PS_FSM_FFd2.T = iobs/PS_FSM_FFd1 & iobs/IOACTr # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 # A_FSB<23> & !iobs/Once & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB8_13 # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +;Imported pterms FB5_6 # A_FSB<22> & A_FSB<21> & !iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 # A_FSB<22> & A_FSB<20> & !iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf -;Imported pterms FB8_12 # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +;Imported pterms FB5_8 # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf; + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf; iobs/PS_FSM_FFd2.CLK = FCLK; // GCK - iobs/PS_FSM_FFd2.EXP = nBR_IOB & !cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2 - # !nBR_IOB & !cnt/PORS_FSM_FFd1 & cnt/PORS_FSM_FFd2 & - cnt/IPL2r GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 1 | ram/RefUrgent +MACROCELL | 0 | 0 | ram/RefUrg ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 4 | 0 | 7 | 8 | 4 | 12 | 7 | 9 | 4 | 9 | 4 | 16 | 4 | 1 | 4 | 11 | 4 | 14 | 4 | 15 | 4 | 17 | 7 | 7 -INPUTS | 2 | ram/RefDone | ram/RegUrgentSync -INPUTMC | 2 | 4 | 2 | 0 | 0 +OUTPUTMC | 13 | 7 | 0 | 4 | 7 | 7 | 15 | 7 | 13 | 7 | 10 | 4 | 8 | 7 | 1 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 16 | 7 | 17 +INPUTS | 2 | ram/RefDone | ram/RegUrgSync +INPUTMC | 2 | 0 | 17 | 3 | 0 EQ | 2 | - ram/RefUrgent.D = !ram/RefDone & ram/RegUrgentSync; - ram/RefUrgent.CLK = FCLK; // GCK + ram/RefUrg.D = !ram/RefDone & ram/RegUrgSync; + ram/RefUrg.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 10 | ram/RS_FSM_FFd3 +MACROCELL | 5 | 5 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 14 | 4 | 0 | 7 | 8 | 4 | 12 | 7 | 10 | 4 | 9 | 4 | 16 | 4 | 1 | 4 | 11 | 4 | 14 | 4 | 15 | 4 | 17 | 7 | 7 | 7 | 9 | 7 | 11 -INPUTS | 14 | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | iobs/Once | nBERR_FSB | iobs/PS_FSM_FFd2 | iobs/IOACTr | iobm/IOBERR | nADoutLE1 | nAS_FSB | fsb/ASrf | EXP27_.EXP -INPUTMC | 12 | 4 | 3 | 7 | 8 | 4 | 12 | 7 | 10 | 7 | 0 | 7 | 11 | 7 | 13 | 0 | 5 | 3 | 17 | 5 | 13 | 0 | 6 | 7 | 9 -INPUTP | 2 | 30 | 54 -EXPORTS | 1 | 7 | 11 -IMPORTS | 1 | 7 | 9 -EQ | 27 | - !ram/RS_FSM_FFd3.T = A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 -;Imported pterms FB8_10 - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd3 - # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !fsb/ASrf - # !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !ram/RAMEN - # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 -;Imported pterms FB8_9 - # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd1 & !ram/RefUrgent & - !ram/RS_FSM_FFd3; - ram/RS_FSM_FFd3.CLK = FCLK; // GCK - ram/RS_FSM_FFd3.EXP = iobs/Once & !nBERR_FSB & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !iobm/IOBERR & nADoutLE1 - # iobs/Once & !nAS_FSB & nBERR_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & iobm/IOBERR & nADoutLE1 - # iobs/Once & nBERR_FSB & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & iobm/IOBERR & fsb/ASrf & nADoutLE1 -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 5 | 7 | iobm/ES<0> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 5 | 1 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 | 5 | 0 +OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 7 | 1 | 14 | 1 | 13 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 +INPUTMC | 7 | 5 | 5 | 0 | 4 | 1 | 17 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & @@ -999,75 +991,11 @@ EQ | 6 | iobm/ES<0>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 6 | iobs/PS_FSM_FFd1 +MACROCELL | 5 | 4 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 21 | 7 | 0 | 2 | 14 | 0 | 11 | 2 | 11 | 0 | 10 | 7 | 13 | 7 | 6 | 7 | 15 | 7 | 3 | 7 | 2 | 2 | 6 | 2 | 4 | 2 | 5 | 2 | 13 | 2 | 15 | 2 | 16 | 7 | 1 | 7 | 11 | 7 | 12 | 7 | 17 | 7 | 7 -INPUTS | 7 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | nWE_FSB | nUDS_FSB | nAS_FSB | ram/RAMEN -INPUTMC | 4 | 7 | 13 | 7 | 6 | 0 | 5 | 4 | 9 -INPUTP | 3 | 47 | 56 | 54 -EXPORTS | 1 | 7 | 7 -EQ | 4 | - iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 & iobs/IOACTr; - iobs/PS_FSM_FFd1.CLK = FCLK; // GCK - iobs/PS_FSM_FFd1.EXP = !nWE_FSB & !nUDS_FSB & !nAS_FSB & ram/RAMEN -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 4 | 9 | ram/RAMEN -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 7 | 6 | 7 | 7 | 7 | 9 | 4 | 9 | 4 | 14 | 7 | 4 | 7 | 5 | 4 | 1 | 4 | 8 | 4 | 16 -INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RAMEN | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RefUrgent | ram/BACTr | ram/RefReq | nAS_FSB | fsb/ASrf | RA_4_OBUF.EXP -INPUTMC | 9 | 4 | 12 | 4 | 9 | 7 | 10 | 7 | 8 | 0 | 1 | 7 | 16 | 0 | 3 | 0 | 6 | 4 | 8 -INPUTP | 1 | 54 -IMPORTS | 1 | 4 | 8 -EQ | 18 | - ram/RAMEN.D = ram/RS_FSM_FFd2 & ram/RAMEN - # ram/RS_FSM_FFd3 & ram/RAMEN - # !ram/RS_FSM_FFd1 & !ram/RefUrgent & ram/RAMEN & - ram/BACTr - # !ram/RS_FSM_FFd1 & !ram/RefUrgent & ram/RAMEN & - !ram/RefReq - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !fsb/ASrf -;Imported pterms FB5_9 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & ram/RAMEN - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf; - ram/RAMEN.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 14 | cnt/Timer<1> -ATTRIBUTES | 8520480 | 0 -OUTPUTMC | 6 | 0 | 9 | 0 | 14 | 0 | 13 | 0 | 12 | 0 | 17 | 0 | 15 -INPUTS | 4 | cnt/TimerTC | cnt/Timer<1> | cnt/Timer<0> | E -INPUTMC | 3 | 0 | 9 | 0 | 14 | 1 | 17 -INPUTP | 1 | 37 -EQ | 3 | - cnt/Timer<1>.D = !cnt/TimerTC & cnt/Timer<1> & !cnt/Timer<0> - # !cnt/TimerTC & !cnt/Timer<1> & cnt/Timer<0>; - cnt/Timer<1>.CLK = E; - -MACROCELL | 0 | 13 | cnt/Timer<2> -ATTRIBUTES | 4326176 | 0 -OUTPUTMC | 5 | 0 | 9 | 0 | 13 | 0 | 12 | 0 | 17 | 0 | 15 -INPUTS | 5 | cnt/TimerTC | cnt/Timer<1> | cnt/Timer<0> | cnt/Timer<2> | E -INPUTMC | 4 | 0 | 9 | 0 | 14 | 1 | 17 | 0 | 13 -INPUTP | 1 | 37 -EQ | 3 | - cnt/Timer<2>.T = cnt/TimerTC & cnt/Timer<2> - # !cnt/TimerTC & cnt/Timer<1> & cnt/Timer<0>; - cnt/Timer<2>.CLK = E; - -MACROCELL | 5 | 6 | iobm/ES<1> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 5 | 1 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 | 5 | 0 +OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 -INPUTMC | 4 | 5 | 7 | 5 | 6 | 1 | 14 | 1 | 13 +INPUTMC | 4 | 5 | 5 | 5 | 4 | 0 | 4 | 1 | 17 EQ | 4 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> # !iobm/ES<0> & !iobm/ES<1> @@ -1075,33 +1003,11 @@ EQ | 4 | iobm/ES<1>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 17 | cnt/Timer<0> -ATTRIBUTES | 8520480 | 0 -OUTPUTMC | 7 | 0 | 9 | 0 | 14 | 0 | 13 | 1 | 17 | 0 | 12 | 0 | 17 | 0 | 15 -INPUTS | 3 | cnt/TimerTC | cnt/Timer<0> | E -INPUTMC | 2 | 0 | 9 | 1 | 17 -INPUTP | 1 | 37 -EQ | 2 | - cnt/Timer<0>.D = !cnt/TimerTC & !cnt/Timer<0>; - cnt/Timer<0>.CLK = E; - -MACROCELL | 0 | 12 | cnt/Timer<3> -ATTRIBUTES | 4326176 | 0 -OUTPUTMC | 4 | 0 | 9 | 0 | 12 | 0 | 17 | 0 | 15 -INPUTS | 6 | cnt/TimerTC | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<0> | cnt/Timer<3> | E -INPUTMC | 5 | 0 | 9 | 0 | 14 | 0 | 13 | 1 | 17 | 0 | 12 -INPUTP | 1 | 37 -EQ | 4 | - cnt/Timer<3>.T = cnt/TimerTC & cnt/Timer<3> - # !cnt/TimerTC & cnt/Timer<1> & cnt/Timer<2> & - cnt/Timer<0>; - cnt/Timer<3>.CLK = E; - -MACROCELL | 5 | 15 | iobm/ES<2> +MACROCELL | 5 | 12 | iobm/ES<2> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 7 | 5 | 15 | 5 | 5 | 5 | 9 | 5 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 7 | 5 | 15 | 5 | 6 | 1 | 14 | 1 | 13 | 5 | 5 | 5 | 9 +INPUTMC | 7 | 5 | 5 | 5 | 12 | 5 | 4 | 0 | 4 | 1 | 17 | 5 | 3 | 5 | 7 EQ | 6 | !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> # !iobm/ES<1> & !iobm/ES<2> @@ -1111,11 +1017,56 @@ EQ | 6 | iobm/ES<2>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 5 | iobm/ES<3> +MACROCELL | 4 | 9 | iobs/PS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 20 | 4 | 16 | 2 | 0 | 3 | 16 | 3 | 15 | 2 | 10 | 4 | 6 | 4 | 9 | 4 | 2 | 0 | 11 | 2 | 15 | 2 | 17 | 2 | 1 | 2 | 2 | 2 | 14 | 2 | 16 | 4 | 5 | 4 | 7 | 4 | 15 | 4 | 17 | 4 | 8 +INPUTS | 6 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | A_FSB<14> | ram/RASEL | A_FSB<5> +INPUTMC | 4 | 4 | 6 | 4 | 9 | 0 | 3 | 7 | 0 +INPUTP | 2 | 19 | 4 +EXPORTS | 1 | 4 | 8 +EQ | 5 | + iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 & iobs/IOACTr; + iobs/PS_FSM_FFd1.CLK = FCLK; // GCK + iobs/PS_FSM_FFd1.EXP = A_FSB<14> & !ram/RASEL + # ram/RASEL & A_FSB<5> +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 13 | ram/RAMEN +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 4 | 8 | 7 | 7 | 7 | 12 | 7 | 13 | 7 | 10 | 7 | 5 | 7 | 1 | 7 | 16 | 7 | 14 +INPUTS | 15 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd1 | ram/RAMEN | fsb/ASrf | ram/RefUrg | ram/RefReq | ram/BACTr | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/nIPL2r | EXP22_.EXP +INPUTMC | 12 | 2 | 13 | 0 | 12 | 7 | 13 | 3 | 6 | 0 | 0 | 0 | 2 | 3 | 2 | 7 | 14 | 7 | 16 | 7 | 6 | 0 | 9 | 7 | 12 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 7 | 14 +IMPORTS | 1 | 7 | 12 +EQ | 19 | + ram/RAMEN.D = !ram/RS_FSM_FFd1 & !ram/RefUrg & ram/RAMEN & + ram/BACTr + # !ram/RS_FSM_FFd1 & !ram/RefUrg & ram/RAMEN & + !ram/RefReq + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd1 & ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf +;Imported pterms FB8_13 + # ram/RS_FSM_FFd3 & ram/RAMEN + # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RAMEN + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & ram/RAMEN + # nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf; + ram/RAMEN.CLK = FCLK; // GCK + ram/RAMEN.EXP = !nBR_IOB & !cnt/INITS_FSM_FFd1 & + cnt/INITS_FSM_FFd2 & !cnt/nIPL2r +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 5 | 3 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 7 | 5 | 15 | 5 | 5 | 5 | 9 | 5 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> -INPUTMC | 6 | 5 | 5 | 1 | 14 | 1 | 13 | 5 | 7 | 5 | 6 | 5 | 15 +INPUTMC | 6 | 5 | 3 | 0 | 4 | 1 | 17 | 5 | 5 | 5 | 4 | 5 | 12 EQ | 4 | iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er @@ -1123,11 +1074,11 @@ EQ | 4 | iobm/ES<3>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 9 | iobm/ES<4> +MACROCELL | 5 | 7 | iobm/ES<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 5 | 1 | 5 | 7 | 5 | 15 | 5 | 9 | 5 | 0 +OUTPUTMC | 5 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 7 | 5 | 0 INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> -INPUTMC | 7 | 5 | 9 | 1 | 14 | 1 | 13 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 +INPUTMC | 7 | 5 | 7 | 0 | 4 | 1 | 17 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 EQ | 8 | iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & @@ -1139,185 +1090,150 @@ EQ | 8 | iobm/ES<4>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 6 | cnt/PORS_FSM_FFd1 +MACROCELL | 7 | 16 | cnt/INITS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 7 | 13 | 3 | 6 | 3 | 12 | 3 | 11 | 3 | 1 -INPUTS | 4 | cnt/LTimer<13> | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 | cnt/IPL2r -INPUTMC | 4 | 6 | 0 | 3 | 6 | 3 | 12 | 3 | 8 -EQ | 3 | - cnt/PORS_FSM_FFd1.T = cnt/LTimer<13> & !cnt/PORS_FSM_FFd1 & - cnt/PORS_FSM_FFd2 & !cnt/IPL2r; - !cnt/PORS_FSM_FFd1.CLK = C8M; // GCK -GLOBALS | 1 | 2 | C8M +OUTPUTMC | 7 | 7 | 14 | 7 | 16 | 7 | 6 | 3 | 3 | 3 | 1 | 7 | 13 | 7 | 15 +INPUTS | 18 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> | A_FSB<23> | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RefReq | nAS_FSB | fsb/ASrf | A_FSB<22> | cs/nOverlay | ram/RAMEN +INPUTMC | 15 | 3 | 9 | 6 | 0 | 7 | 16 | 7 | 6 | 0 | 10 | 0 | 9 | 3 | 8 | 0 | 12 | 4 | 7 | 7 | 15 | 0 | 0 | 0 | 2 | 3 | 6 | 2 | 13 | 7 | 13 +INPUTP | 3 | 36 | 54 | 30 +EXPORTS | 1 | 7 | 15 +EQ | 12 | + cnt/INITS_FSM_FFd1.T = cnt/TimerTC & cnt/LTimerTC & !cnt/INITS_FSM_FFd1 & + cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/nIPL2r & cnt/Er<1>; + cnt/INITS_FSM_FFd1.CLK = FCLK; // GCK + cnt/INITS_FSM_FFd1.EXP = A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & + fsb/ASrf +GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 5 | iobs/IOACTr +MACROCELL | 7 | 6 | cnt/INITS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 7 | 14 | 7 | 16 | 7 | 6 | 3 | 3 | 3 | 1 | 7 | 13 +INPUTS | 6 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 3 | 9 | 6 | 0 | 7 | 16 | 7 | 6 | 0 | 10 | 3 | 8 +EQ | 5 | + cnt/INITS_FSM_FFd2.T = cnt/TimerTC & cnt/LTimerTC & cnt/INITS_FSM_FFd1 & + cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1> + # cnt/TimerTC & cnt/LTimerTC & !cnt/INITS_FSM_FFd1 & + !cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; + cnt/INITS_FSM_FFd2.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 3 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 0 | 16 | 7 | 10 | 7 | 13 | 7 | 6 | 2 | 6 -INPUTS | 1 | iobm/IOACT -INPUTMC | 1 | 5 | 17 +OUTPUTMC | 5 | 4 | 14 | 7 | 11 | 4 | 6 | 4 | 9 | 2 | 15 +INPUTS | 1 | IOACT +INPUTMC | 1 | 5 | 15 EQ | 2 | - iobs/IOACTr.D = iobm/IOACT; + iobs/IOACTr.D = IOACT; iobs/IOACTr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 12 | cnt/PORS_FSM_FFd2 +MACROCELL | 1 | 16 | iobm/IOREQr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 7 | 13 | 3 | 6 | 3 | 12 | 3 | 11 | 3 | 1 -INPUTS | 3 | cnt/LTimer<13> | cnt/PORS_FSM_FFd2 | cnt/PORS_FSM_FFd1 -INPUTMC | 3 | 6 | 0 | 3 | 12 | 3 | 6 -EQ | 3 | - cnt/PORS_FSM_FFd2.D = cnt/LTimer<13> & !cnt/PORS_FSM_FFd1 - # !cnt/LTimer<13> & cnt/PORS_FSM_FFd2; - !cnt/PORS_FSM_FFd2.CLK = C8M; // GCK -GLOBALS | 1 | 2 | C8M +OUTPUTMC | 4 | 5 | 2 | 5 | 15 | 0 | 16 | 5 | 6 +INPUTS | 1 | IOREQ +INPUTMC | 1 | 2 | 15 +EQ | 2 | + iobm/IOREQr.D = IOREQ; + !iobm/IOREQr.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 15 | iobs/Load1 +MACROCELL | 4 | 2 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 8 | 0 | 7 | 5 | 13 -INPUTS | 11 | nADoutLE1 | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | nWE_FSB | cnt/nBR_IOB.EXP | ram/BACTr.EXP -INPUTMC | 7 | 5 | 13 | 7 | 0 | 7 | 13 | 7 | 6 | 0 | 6 | 7 | 14 | 7 | 16 -INPUTP | 4 | 54 | 36 | 30 | 47 -IMPORTS | 2 | 7 | 14 | 7 | 16 +OUTPUTMC | 3 | 0 | 14 | 0 | 13 | 5 | 13 +INPUTS | 11 | nADoutLE1 | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | nROMCS_OBUF.EXP | EXP20_.EXP +INPUTMC | 8 | 5 | 13 | 4 | 16 | 4 | 6 | 4 | 9 | 3 | 6 | 2 | 13 | 4 | 1 | 4 | 3 +INPUTP | 3 | 54 | 36 | 30 +IMPORTS | 2 | 4 | 1 | 4 | 3 EQ | 17 | !iobs/Load1.D = iobs/Once # !nADoutLE1 # nAS_FSB & !fsb/ASrf # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB -;Imported pterms FB8_15 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay +;Imported pterms FB5_2 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB8_17 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB +;Imported pterms FB5_4 # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22>; iobs/Load1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 16 | ram/BACTr +MACROCELL | 5 | 15 | IOACT ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 4 | 16 | 4 | 12 | 4 | 9 | 4 | 15 | 4 | 17 | 7 | 15 -INPUTS | 9 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<20> | A_FSB<18> | A_FSB<21> | A_FSB<14> | A_FSB<13> -INPUTMC | 1 | 0 | 6 -INPUTP | 8 | 54 | 36 | 30 | 28 | 24 | 29 | 19 | 18 -EXPORTS | 1 | 7 | 15 -EQ | 6 | - !ram/BACTr.D = nAS_FSB & !fsb/ASrf; - ram/BACTr.CLK = FCLK; // GCK - ram/BACTr.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> -GLOBALS | 1 | 2 | FCLK +OUTPUTMC | 2 | 5 | 1 | 0 | 3 +INPUTS | 11 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr | C8M | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | nADoutLE0_OBUF.EXP +INPUTMC | 10 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 16 | 5 | 0 | 0 | 8 | 0 | 7 | 0 | 6 | 0 | 5 | 5 | 14 +INPUTP | 1 | 35 +IMPORTS | 1 | 5 | 14 +EQ | 13 | + !IOACT.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & + !iobm/IOREQr + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/ETACK + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/DTACKrf & iobm/DTACKrr + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/BERRrf & iobm/BERRrr +;Imported pterms FB6_15 + # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/RESrf & iobm/RESrr; + IOACT.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 3 | ram/RefReq -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 4 | 15 | 4 | 12 | 4 | 9 | 4 | 1 | 4 | 14 | 4 | 17 -INPUTS | 2 | ram/RefDone | ram/RefReqSync -INPUTMC | 2 | 4 | 2 | 0 | 2 -EQ | 2 | - ram/RefReq.D = !ram/RefDone & ram/RefReqSync; - ram/RefReq.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 17 | iobm/IOBERR +MACROCELL | 5 | 17 | IOBERR ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 0 | 16 | 7 | 10 | 3 | 17 | 3 | 0 | 3 | 16 -INPUTS | 13 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOBERR | C8M | nBERR_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | EXP24_.EXP | cnt/LTimer<1>.EXP -INPUTMC | 11 | 5 | 4 | 3 | 9 | 5 | 12 | 3 | 17 | 5 | 0 | 3 | 3 | 3 | 2 | 1 | 16 | 1 | 15 | 3 | 0 | 3 | 16 +OUTPUTMC | 4 | 4 | 14 | 7 | 11 | 5 | 17 | 5 | 16 +INPUTS | 12 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IOBERR | C8M | nBERR_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | nDinLE_OBUF.EXP +INPUTMC | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 5 | 0 | 0 | 8 | 0 | 7 | 0 | 6 | 0 | 5 | 5 | 16 INPUTP | 2 | 35 | 123 -IMPORTS | 2 | 3 | 0 | 3 | 16 -EQ | 27 | - iobm/IOBERR.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & - !iobm/IOS_FSM_FFd2 & iobm/IOBERR +IMPORTS | 1 | 5 | 16 +EQ | 26 | + IOBERR.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & + !iobm/IOS_FSM_FFd2 & IOBERR # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/ETACK + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/ETACK # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/ETACK + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/ETACK # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/BERRrf & - iobm/BERRrr - # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/DTACKrf & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/DTACKrf & iobm/DTACKrr -;Imported pterms FB4_1 - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/BERRrf & + # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/BERRrf & iobm/BERRrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/DTACKrf & - iobm/DTACKrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/RESrf & +;Imported pterms FB6_17 + # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/RESrf & iobm/RESrr -;Imported pterms FB4_17 - # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/RESrf & + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/DTACKrf & + iobm/DTACKrr + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/BERRrf & + iobm/BERRrr + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/RESrf & iobm/RESrr; - iobm/IOBERR.CLK = C16M; // GCK + IOBERR.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 12 | iobm/IOREQr +MACROCELL | 0 | 4 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 4 | 5 | 17 | 5 | 3 -INPUTS | 1 | iobs/IOREQ -INPUTMC | 1 | 2 | 6 -EQ | 2 | - iobm/IOREQr.D = iobs/IOREQ; - !iobm/IOREQr.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 0 | 2 | ram/RefReqSync -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 4 | 2 | 0 | 3 -INPUTS | 1 | cnt/RefReq -INPUTMC | 1 | 0 | 17 -EQ | 2 | - ram/RefReqSync.D = cnt/RefReq; - ram/RefReqSync.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 8 | cnt/IPL2r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 13 | 3 | 6 -INPUTS | 1 | nIPL2 -INPUTP | 1 | 146 -EQ | 2 | - cnt/IPL2r.D = !nIPL2; - !cnt/IPL2r.CLK = C8M; // GCK -GLOBALS | 1 | 2 | C8M - -MACROCELL | 3 | 11 | cnt/nRESout -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 3 | 11 | 3 | 7 -INPUTS | 4 | cnt/LTimer<13> | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 | cnt/nRESout -INPUTMC | 4 | 6 | 0 | 3 | 6 | 3 | 12 | 3 | 11 -EQ | 5 | - cnt/nRESout.D = cnt/LTimer<13> & cnt/PORS_FSM_FFd1 & - !cnt/PORS_FSM_FFd2 - # cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2 & - cnt/nRESout; - !cnt/nRESout.CLK = C8M; // GCK -GLOBALS | 1 | 2 | C8M - -MACROCELL | 5 | 0 | iobm/ETACK -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 12 | 3 | 17 | 5 | 17 -INPUTS | 6 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 6 | 5 | 1 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 -EQ | 3 | - iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & iobm/ES<4>; - iobm/ETACK.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 1 | 14 | iobm/Er -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 | 1 | 13 +OUTPUTMC | 6 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 1 | 17 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1325,99 +1241,60 @@ EQ | 2 | !iobm/Er.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 5 | 17 | iobm/IOACT +MACROCELL | 3 | 2 | ram/BACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 1 | 0 | 5 -INPUTS | 11 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr | C8M | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/nDinLE.EXP -INPUTMC | 10 | 3 | 9 | 5 | 12 | 5 | 4 | 1 | 12 | 5 | 0 | 3 | 3 | 3 | 2 | 1 | 16 | 1 | 15 | 5 | 16 -INPUTP | 1 | 35 -IMPORTS | 1 | 5 | 16 -EQ | 13 | - !iobm/IOACT.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & - !iobm/IOREQr - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/ETACK - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/BERRrf & iobm/BERRrr - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/DTACKrf & iobm/DTACKrr -;Imported pterms FB6_17 - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/RESrf & iobm/RESrr; - iobm/IOACT.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 0 | 17 | cnt/RefReq -ATTRIBUTES | 8520480 | 0 -OUTPUTMC | 1 | 0 | 2 -INPUTS | 5 | cnt/Timer<1> | cnt/Timer<3> | cnt/Timer<2> | cnt/Timer<0> | E -INPUTMC | 4 | 0 | 14 | 0 | 12 | 0 | 13 | 1 | 17 -INPUTP | 1 | 37 -EQ | 5 | - cnt/RefReq.D = cnt/Timer<1> & !cnt/Timer<3> - # cnt/Timer<2> & !cnt/Timer<3> - # !cnt/Timer<1> & !cnt/Timer<2> & !cnt/Timer<0> & - cnt/Timer<3>; - cnt/RefReq.CLK = E; - -MACROCELL | 0 | 15 | cnt/RefUrgent -ATTRIBUTES | 8520480 | 0 -OUTPUTMC | 1 | 0 | 0 -INPUTS | 5 | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Timer<0> | E -INPUTMC | 4 | 0 | 14 | 0 | 13 | 0 | 12 | 1 | 17 -INPUTP | 1 | 37 -EQ | 4 | - cnt/RefUrgent.D = cnt/Timer<1> & cnt/Timer<2> & !cnt/Timer<3> - # !cnt/Timer<1> & !cnt/Timer<2> & !cnt/Timer<0> & - cnt/Timer<3>; - cnt/RefUrgent.CLK = E; - -MACROCELL | 0 | 6 | fsb/ASrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 34 | 7 | 0 | 4 | 3 | 2 | 14 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 0 | 2 | 10 | 0 | 16 | 2 | 7 | 7 | 11 | 4 | 0 | 7 | 7 | 4 | 12 | 7 | 12 | 7 | 9 | 4 | 9 | 7 | 15 | 7 | 16 | 2 | 5 | 4 | 16 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 13 | 2 | 15 | 2 | 16 | 2 | 17 | 4 | 1 | 4 | 8 | 4 | 11 | 7 | 1 | 7 | 10 | 7 | 17 -INPUTS | 1 | nAS_FSB +OUTPUTMC | 7 | 7 | 10 | 7 | 15 | 7 | 13 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 17 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 3 | 6 INPUTP | 1 | 54 EQ | 2 | - fsb/ASrf.D = !nAS_FSB; - !fsb/ASrf.CLK = FCLK; // GCK + !ram/BACTr.D = nAS_FSB & !fsb/ASrf; + ram/BACTr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 3 | iobm/ALE0 +MACROCELL | 0 | 2 | ram/RefReq ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 5 | 14 -INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr -INPUTMC | 4 | 3 | 9 | 5 | 12 | 5 | 4 | 1 | 12 -EQ | 4 | - !iobm/ALE0.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & - !iobm/IOREQr; - iobm/ALE0.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 3 | 3 | iobm/BERRrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 12 | 3 | 17 | 5 | 17 | 3 | 0 -INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 123 +OUTPUTMC | 7 | 7 | 10 | 7 | 14 | 7 | 13 | 7 | 1 | 7 | 9 | 7 | 16 | 7 | 17 +INPUTS | 2 | ram/RefDone | ram/RefReqSync +INPUTMC | 2 | 0 | 17 | 0 | 1 EQ | 2 | - iobm/BERRrf.D = !nBERR_IOB; - !iobm/BERRrf.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M + ram/RefReq.D = !ram/RefDone & ram/RefReqSync; + ram/RefReq.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 2 | iobm/BERRrr +MACROCELL | 0 | 1 | ram/RefReqSync ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 12 | 3 | 17 | 5 | 17 | 3 | 0 -INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 123 +OUTPUTMC | 2 | 0 | 17 | 0 | 2 +INPUTS | 1 | RefReq +INPUTMC | 1 | 3 | 14 EQ | 2 | - iobm/BERRrr.D = !nBERR_IOB; - iobm/BERRrr.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M + ram/RefReqSync.D = RefReq; + ram/RefReqSync.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 16 | iobm/DTACKrf +MACROCELL | 0 | 10 | cnt/Er<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 12 | 3 | 17 | 5 | 17 | 3 | 0 +OUTPUTMC | 24 | 3 | 17 | 7 | 17 | 7 | 3 | 7 | 9 | 7 | 2 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 3 | 9 | 3 | 14 | 6 | 0 | 7 | 16 | 7 | 6 | 3 | 8 | 7 | 8 +INPUTS | 1 | E +INPUTP | 1 | 37 +EQ | 2 | + cnt/Er<0>.D = E; + cnt/Er<0>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 9 | cnt/nIPL2r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 13 | 7 | 16 +INPUTS | 1 | nIPL2 +INPUTP | 1 | 146 +EQ | 2 | + cnt/nIPL2r.D = nIPL2; + cnt/nIPL2r.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 6 | iobm/DTACKrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 INPUTS | 1 | nDTACK_IOB INPUTP | 1 | 127 EQ | 2 | @@ -1425,9 +1302,9 @@ EQ | 2 | !iobm/DTACKrf.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 15 | iobm/DTACKrr +MACROCELL | 0 | 5 | iobm/DTACKrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 12 | 3 | 17 | 5 | 17 | 3 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 INPUTS | 1 | nDTACK_IOB INPUTP | 1 | 127 EQ | 2 | @@ -1435,48 +1312,28 @@ EQ | 2 | iobm/DTACKrr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 2 | iobm/DoutOE +MACROCELL | 5 | 0 | iobm/ETACK ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 3 | 4 -INPUTS | 3 | iobs/IORW0 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 -INPUTMC | 3 | 2 | 14 | 5 | 12 | 5 | 4 +OUTPUTMC | 3 | 5 | 9 | 5 | 15 | 5 | 17 +INPUTS | 6 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> +INPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 EQ | 3 | - iobm/DoutOE.D = iobs/IORW0 & iobm/IOS_FSM_FFd3 - # iobs/IORW0 & iobm/IOS_FSM_FFd2; - iobm/DoutOE.CLK = C16M; // GCK + iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & iobm/ES<4>; + iobm/ETACK.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 13 | iobm/Er2 +MACROCELL | 1 | 17 | iobm/Er2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 +OUTPUTMC | 5 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 INPUTS | 1 | iobm/Er -INPUTMC | 1 | 1 | 14 +INPUTMC | 1 | 0 | 4 EQ | 2 | iobm/Er2.D = iobm/Er; iobm/Er2.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 11 | iobm/RESrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 12 | 3 | 16 | 5 | 16 | 3 | 0 -INPUTS | 1 | nRES.PIN -INPUTP | 1 | 145 -EQ | 2 | - iobm/RESrf.D = !nRES.PIN; - !iobm/RESrf.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 1 | 10 | iobm/RESrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 12 | 3 | 16 | 5 | 16 | 3 | 0 -INPUTS | 1 | nRES.PIN -INPUTP | 1 | 145 -EQ | 2 | - iobm/RESrr.D = !nRES.PIN; - iobm/RESrr.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 1 | 9 | iobm/VPArf +MACROCELL | 1 | 13 | iobm/VPArf ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB @@ -1486,7 +1343,7 @@ EQ | 2 | !iobm/VPArf.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 8 | iobm/VPArr +MACROCELL | 1 | 12 | iobm/VPArr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB @@ -1496,147 +1353,217 @@ EQ | 2 | iobm/VPArr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 3 | iobs/ALE0 +MACROCELL | 0 | 16 | ALE0M +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 5 | 14 +INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr +INPUTMC | 4 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 16 +EQ | 4 | + !ALE0M.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & + !iobm/IOREQr; + ALE0M.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 0 | 11 | ALE0S ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 INPUTS | 2 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 2 | 7 | 13 | 7 | 6 +INPUTMC | 2 | 4 | 6 | 4 | 9 EQ | 2 | - iobs/ALE0.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; - iobs/ALE0.CLK = FCLK; // GCK + ALE0S.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; + ALE0S.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 2 | iobs/Clear1 +MACROCELL | 2 | 15 | IOREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 13 | 7 | 1 -INPUTS | 4 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<19> -INPUTMC | 3 | 7 | 13 | 7 | 6 | 5 | 13 -INPUTP | 1 | 26 -EXPORTS | 1 | 7 | 1 -EQ | 3 | - iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; - iobs/Clear1.CLK = FCLK; // GCK - iobs/Clear1.EXP = A_FSB<19> -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 6 | iobs/IOREQ -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 1 | 12 | 2 | 7 -INPUTS | 23 | iobs/PS_FSM_FFd1 | nADoutLE1 | iobs/PS_FSM_FFd2 | iobs/IOACTr | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready1r | iobs/IOReady | EXP17_.EXP -INPUTMC | 7 | 7 | 6 | 5 | 13 | 7 | 13 | 0 | 5 | 2 | 9 | 0 | 16 | 2 | 5 -INPUTP | 16 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 2 | 7 -IMPORTS | 1 | 2 | 5 -EQ | 38 | - iobs/IOREQ.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +OUTPUTMC | 1 | 1 | 16 +INPUTS | 10 | iobs/PS_FSM_FFd1 | nADoutLE1 | iobs/PS_FSM_FFd2 | iobs/IOACTr | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | EXP18_.EXP | nROMWE_OBUF.EXP +INPUTMC | 8 | 4 | 9 | 5 | 13 | 4 | 6 | 0 | 3 | 4 | 16 | 3 | 6 | 2 | 14 | 2 | 16 +INPUTP | 2 | 36 | 54 +IMPORTS | 2 | 2 | 14 | 2 | 16 +EQ | 30 | + IOREQ.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 # iobs/PS_FSM_FFd2 & !iobs/IOACTr # !iobs/PS_FSM_FFd1 & !nADoutLE1 -;Imported pterms FB3_6 # A_FSB<23> & !iobs/Once & !nAS_FSB & !iobs/PS_FSM_FFd1 # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd1 & fsb/ASrf +;Imported pterms FB3_15 # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & !iobs/PS_FSM_FFd1 # A_FSB<22> & A_FSB<21> & !iobs/Once & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & !iobs/PS_FSM_FFd1 -;Imported pterms FB3_5 # A_FSB<22> & A_FSB<20> & !iobs/Once & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 +;Imported pterms FB3_17 # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd1 & fsb/ASrf + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd1 & fsb/ASrf; - iobs/IOREQ.CLK = FCLK; // GCK - iobs/IOREQ.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf; + IOREQ.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 16 | ram/RAMReady +MACROCELL | 3 | 8 | cnt/Er<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 6 | 2 | 8 | 2 | 7 | 2 | 17 | 4 | 17 -INPUTS | 13 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | nAS_FSB | fsb/ASrf | A_FSB<23> | ram/BACTr | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/RAMEN | EXP25_.EXP -INPUTMC | 9 | 7 | 8 | 4 | 12 | 0 | 1 | 7 | 10 | 0 | 6 | 7 | 16 | 4 | 3 | 4 | 9 | 4 | 15 -INPUTP | 4 | 54 | 36 | 30 | 29 -EXPORTS | 1 | 4 | 17 -IMPORTS | 1 | 4 | 15 -EQ | 34 | - ram/RAMReady.D = ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & ram/BACTr - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !fsb/ASrf -;Imported pterms FB5_16 - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !ram/RefReq - # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr - # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr -;Imported pterms FB5_15 - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RAMEN - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !ram/RAMEN; - ram/RAMReady.CLK = FCLK; // GCK - ram/RAMReady.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & +OUTPUTMC | 23 | 3 | 17 | 7 | 17 | 7 | 3 | 7 | 9 | 7 | 2 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 3 | 9 | 3 | 14 | 6 | 0 | 7 | 16 | 7 | 6 | 7 | 8 +INPUTS | 1 | cnt/Er<0> +INPUTMC | 1 | 0 | 10 +EQ | 2 | + cnt/Er<1>.D = cnt/Er<0>; + cnt/Er<1>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 6 | fsb/ASrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 34 | 4 | 16 | 2 | 13 | 2 | 0 | 7 | 8 | 2 | 12 | 2 | 5 | 2 | 9 | 4 | 14 | 7 | 11 | 2 | 7 | 7 | 0 | 4 | 5 | 7 | 15 | 4 | 6 | 7 | 13 | 4 | 2 | 3 | 2 | 2 | 15 | 7 | 10 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 14 | 2 | 16 | 2 | 17 | 4 | 7 | 4 | 8 | 4 | 15 | 4 | 17 | 7 | 1 | 7 | 12 | 7 | 16 +INPUTS | 1 | nAS_FSB +INPUTP | 1 | 54 +EQ | 2 | + fsb/ASrf.D = !nAS_FSB; + !fsb/ASrf.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 8 | iobm/BERRrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 +INPUTS | 1 | nBERR_IOB +INPUTP | 1 | 123 +EQ | 2 | + iobm/BERRrf.D = !nBERR_IOB; + !iobm/BERRrf.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 0 | 7 | iobm/BERRrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 +INPUTS | 1 | nBERR_IOB +INPUTP | 1 | 123 +EQ | 2 | + iobm/BERRrr.D = !nBERR_IOB; + iobm/BERRrr.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 5 | 6 | iobm/DoutOE +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 3 | 4 +INPUTS | 6 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/IOREQr | nAoutOE +INPUTMC | 6 | 2 | 0 | 5 | 2 | 5 | 9 | 0 | 15 | 1 | 16 | 3 | 1 +EQ | 5 | + iobm/DoutOE.D = !IORW0 & iobm/IOS_FSM_FFd3 + # !IORW0 & iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & + !iobm/IOS_FSM_FFd2 & !iobm/IOREQr & !nAoutOE; + iobm/DoutOE.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 1 | 15 | iobm/RESrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 5 | 9 | 5 | 14 | 5 | 16 +INPUTS | 1 | nRES.PIN +INPUTP | 1 | 145 +EQ | 2 | + iobm/RESrf.D = !nRES.PIN; + !iobm/RESrf.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 1 | 14 | iobm/RESrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 5 | 9 | 5 | 14 | 5 | 16 +INPUTS | 1 | nRES.PIN +INPUTP | 1 | 145 +EQ | 2 | + iobm/RESrr.D = !nRES.PIN; + iobm/RESrr.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 2 | 17 | iobs/Clear1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 5 | 13 | 2 | 0 +INPUTS | 12 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | iobs/IORW1 | A_FSB<23> | A_FSB<21> | A_FSB<20> | IORW0 | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf +INPUTMC | 7 | 4 | 6 | 4 | 9 | 5 | 13 | 2 | 10 | 2 | 0 | 4 | 16 | 3 | 6 +INPUTP | 5 | 36 | 29 | 28 | 47 | 54 +EXPORTS | 1 | 2 | 0 +EQ | 10 | + iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; + iobs/Clear1.CLK = FCLK; // GCK + iobs/Clear1.EXP = !iobs/IORW1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + !nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !IORW0 & + nADoutLE1 + # A_FSB<23> & !iobs/Once & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<23> & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 3 | nRESout +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 3 | 7 +INPUTS | 2 | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 +INPUTMC | 2 | 7 | 16 | 7 | 6 +EQ | 2 | + nRESout.D = cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2; + nRESout.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 10 | ram/RAMReady +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 7 | 8 | 2 | 4 | 2 | 8 +INPUTS | 14 | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RAMEN | nAS_FSB | fsb/ASrf | ram/RefReq | ram/BACTr | cnt/Timer<1>.EXP | nBERR_FSB_OBUF.EXP +INPUTMC | 11 | 2 | 13 | 0 | 12 | 4 | 7 | 7 | 15 | 0 | 0 | 7 | 13 | 3 | 6 | 0 | 2 | 3 | 2 | 7 | 9 | 7 | 11 +INPUTP | 3 | 36 | 30 | 54 +IMPORTS | 2 | 7 | 9 | 7 | 11 +EQ | 22 | + ram/RAMReady.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg + # !cs/nOverlay & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr + # !cs/nOverlay & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RAMEN - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & - fsb/ASrf +;Imported pterms FB8_10 + # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq + # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr + # A_FSB<22> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq +;Imported pterms FB8_12 + # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr; + ram/RAMReady.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 4 | ram/RefRAS +MACROCELL | 3 | 0 | ram/RegUrgSync ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 7 | 4 -INPUTS | 2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 -INPUTMC | 2 | 7 | 8 | 4 | 12 +OUTPUTMC | 1 | 0 | 0 +INPUTS | 1 | RefUrg +INPUTMC | 1 | 3 | 17 EQ | 2 | - ram/RefRAS.D = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2; - ram/RefRAS.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 0 | ram/RegUrgentSync -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 0 | 1 -INPUTS | 1 | cnt/RefUrgent -INPUTMC | 1 | 0 | 15 -EQ | 2 | - ram/RegUrgentSync.D = cnt/RefUrgent; - ram/RegUrgentSync.CLK = FCLK; // GCK + ram/RegUrgSync.D = RefUrg; + ram/RegUrgSync.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 5 | RA_0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<1> -INPUTMC | 1 | 4 | 0 +INPUTMC | 1 | 7 | 0 INPUTP | 2 | 13 | 149 EQ | 2 | RA<0> = A_FSB<10> & !ram/RASEL @@ -1645,7 +1572,7 @@ EQ | 2 | MACROCELL | 6 | 1 | RA_1_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<2> -INPUTMC | 1 | 4 | 0 +INPUTMC | 1 | 7 | 0 INPUTP | 2 | 15 | 153 EQ | 2 | RA<1> = A_FSB<11> & !ram/RASEL @@ -1655,7 +1582,7 @@ MACROCELL | 4 | 13 | RA_2_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 14 INPUTS | 4 | A_FSB<12> | ram/RASEL | A_FSB<3> | A_FSB<7> -INPUTMC | 1 | 4 | 0 +INPUTMC | 1 | 7 | 0 INPUTP | 3 | 17 | 155 | 8 EXPORTS | 1 | 4 | 14 EQ | 3 | @@ -1665,87 +1592,71 @@ EQ | 3 | MACROCELL | 4 | 10 | RA_3_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 11 -INPUTS | 5 | A_FSB<13> | ram/RASEL | A_FSB<4> | A_FSB<15> | A_FSB<6> -INPUTMC | 1 | 4 | 0 -INPUTP | 4 | 18 | 157 | 21 | 6 -EXPORTS | 1 | 4 | 11 -EQ | 4 | +INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> +INPUTMC | 1 | 7 | 0 +INPUTP | 2 | 18 | 157 +EQ | 2 | RA<3> = A_FSB<13> & !ram/RASEL # ram/RASEL & A_FSB<4>; - RA_3_OBUF.EXP = A_FSB<15> & !ram/RASEL - # ram/RASEL & A_FSB<6> MACROCELL | 4 | 8 | RA_4_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 9 -INPUTS | 11 | A_FSB<14> | ram/RASEL | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RAMEN | fsb/ASrf | A_FSB<21> | cs/nOverlay0.EXP -INPUTMC | 6 | 4 | 0 | 4 | 3 | 7 | 8 | 4 | 9 | 0 | 6 | 4 | 7 -INPUTP | 5 | 19 | 36 | 30 | 54 | 29 -EXPORTS | 1 | 4 | 9 -IMPORTS | 1 | 4 | 7 -EQ | 11 | - RA<4> = A_FSB<14> & !ram/RASEL -;Imported pterms FB5_8 +OUTPUTMC | 1 | 4 | 7 +INPUTS | 11 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RefUrg | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd3 | ram/RAMEN | iobs/PS_FSM_FFd1.EXP +INPUTMC | 8 | 0 | 12 | 4 | 7 | 0 | 0 | 3 | 6 | 2 | 13 | 7 | 15 | 7 | 13 | 4 | 9 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 4 | 7 +IMPORTS | 1 | 4 | 9 +EQ | 15 | + RA<4> = ;Imported pterms FB5_10 + A_FSB<14> & !ram/RASEL # ram/RASEL & A_FSB<5>; - RA_4_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & ram/RAMEN - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf + RA_4_OBUF.EXP = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & ram/RefUrg & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RefUrg + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RefUrg & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN & + fsb/ASrf MACROCELL | 4 | 11 | RA_5_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 12 -INPUTS | 10 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<21> | RA_3_OBUF.EXP -INPUTMC | 6 | 4 | 12 | 0 | 1 | 7 | 10 | 0 | 6 | 4 | 3 | 4 | 10 -INPUTP | 4 | 54 | 36 | 30 | 29 -EXPORTS | 1 | 4 | 12 -IMPORTS | 1 | 4 | 10 -EQ | 13 | - RA<5> = ;Imported pterms FB5_11 - A_FSB<15> & !ram/RASEL +INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<6> +INPUTMC | 1 | 7 | 0 +INPUTP | 2 | 21 | 6 +EQ | 2 | + RA<5> = A_FSB<15> & !ram/RASEL # ram/RASEL & A_FSB<6>; - RA_5_OBUF.EXP = nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & fsb/ASrf MACROCELL | 4 | 14 | RA_6_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 15 -INPUTS | 12 | A_FSB<16> | ram/RASEL | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | ram/RefReq | A_FSB<23> | ram/RAMEN | A_FSB<21> | RA_2_OBUF.EXP -INPUTMC | 8 | 4 | 0 | 4 | 3 | 4 | 12 | 0 | 1 | 7 | 10 | 0 | 3 | 4 | 9 | 4 | 13 -INPUTP | 4 | 22 | 30 | 36 | 29 +INPUTS | 11 | A_FSB<16> | ram/RASEL | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 | RA_2_OBUF.EXP +INPUTMC | 9 | 7 | 0 | 4 | 15 | 3 | 6 | 4 | 16 | 4 | 6 | 0 | 3 | 5 | 17 | 5 | 13 | 4 | 13 +INPUTP | 2 | 22 | 54 EXPORTS | 1 | 4 | 15 IMPORTS | 1 | 4 | 13 -EQ | 12 | +EQ | 10 | RA<6> = A_FSB<16> & !ram/RASEL ;Imported pterms FB5_14 # ram/RASEL & A_FSB<7>; - RA_6_OBUF.EXP = A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RAMEN - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !ram/RAMEN + RA_6_OBUF.EXP = iobs/IOReady & nAS_FSB & !fsb/ASrf + # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !IOBERR & fsb/ASrf & nADoutLE1 MACROCELL | 6 | 4 | RA_7_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 4 | 0 +INPUTMC | 1 | 7 | 0 INPUTP | 2 | 23 | 11 EQ | 2 | RA<7> = A_FSB<8> & ram/RASEL @@ -1753,27 +1664,21 @@ EQ | 2 | MACROCELL | 6 | 7 | RA_8_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 8 | A_FSB<23> | A_FSB<18> | ram/RASEL | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | cnt/LTimer<7>.EXP | A_FSB_21_IBUF$BUF0.EXP -INPUTMC | 4 | 4 | 0 | 4 | 3 | 6 | 6 | 6 | 8 -INPUTP | 4 | 36 | 24 | 30 | 29 -IMPORTS | 2 | 6 | 6 | 6 | 8 -EQ | 11 | +INPUTS | 6 | A_FSB<18> | cs/nOverlay | A_FSB<22> | A_FSB<23> | ram/RASEL | A_FSB<9> +INPUTMC | 2 | 2 | 13 | 7 | 0 +INPUTP | 4 | 24 | 30 | 36 | 12 +EQ | 6 | RA<8> = A_FSB<23> & A_FSB<18> + # A_FSB<22> & A_FSB<18> + # A_FSB<18> & !cs/nOverlay # A_FSB<18> & !ram/RASEL - # A_FSB<22> & !A_FSB<21> & A_FSB<18> - # A_FSB<22> & A_FSB<18> & cs/nOverlay1 - # !A_FSB<22> & A_FSB<18> & !cs/nOverlay1 -;Imported pterms FB7_7 - # A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - ram/RASEL -;Imported pterms FB7_9 - # A_FSB<9> & !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/RASEL; + # A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + ram/RASEL; MACROCELL | 6 | 10 | RA_9_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> -INPUTMC | 1 | 4 | 0 +INPUTMC | 1 | 7 | 0 INPUTP | 2 | 26 | 28 EQ | 2 | RA<9> = A_FSB<20> & ram/RASEL @@ -1782,63 +1687,109 @@ EQ | 2 | MACROCELL | 3 | 4 | nDoutOE_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | iobm/DoutOE | nAoutOE -INPUTMC | 2 | 5 | 2 | 3 | 1 +INPUTMC | 2 | 5 | 6 | 3 | 1 EQ | 1 | !nDoutOE = iobm/DoutOE & !nAoutOE; MACROCELL | 4 | 5 | nOE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 2 | nWE_FSB | nAS_FSB -INPUTP | 2 | 47 | 54 -EQ | 1 | - !nOE = nWE_FSB & !nAS_FSB; +OUTPUTMC | 1 | 4 | 6 +INPUTS | 17 | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | nAS_FSB | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | A_FSB<13> | nCAS_OBUF.EXP +INPUTMC | 6 | 4 | 16 | 4 | 6 | 4 | 9 | 3 | 6 | 2 | 13 | 4 | 4 +INPUTP | 11 | 30 | 29 | 28 | 54 | 19 | 26 | 24 | 23 | 22 | 47 | 18 +EXPORTS | 1 | 4 | 6 +IMPORTS | 1 | 4 | 4 +EQ | 14 | + !nOE = ;Imported pterms FB5_5 + nWE_FSB & !nAS_FSB; + nOE_OBUF.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<22> & A_FSB<20> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 MACROCELL | 2 | 16 | nROMWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 2 | 15 -INPUTS | 16 | nWE_FSB | nAS_FSB | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> -INPUTMC | 6 | 7 | 0 | 4 | 3 | 7 | 13 | 7 | 6 | 0 | 6 | 5 | 13 +INPUTS | 14 | nWE_FSB | nAS_FSB | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<13> +INPUTMC | 4 | 4 | 16 | 2 | 13 | 4 | 9 | 3 | 6 INPUTP | 10 | 47 | 54 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 18 EXPORTS | 1 | 2 | 15 -EQ | 9 | +EQ | 10 | !nROMWE = !nWE_FSB & !nAS_FSB; nROMWE_OBUF.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf MACROCELL | 3 | 10 | nVPA_FSB_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | fsb/VPA | nAS_FSB -INPUTMC | 1 | 2 | 0 +INPUTMC | 1 | 2 | 5 INPUTP | 1 | 54 EQ | 1 | !nVPA_FSB = fsb/VPA & !nAS_FSB; -MACROCELL | 5 | 16 | iobm/nDinLE -ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 5 | 17 -INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | C8M | iobm/IOS_FSM_FFd3 | iobm/RESrf | iobm/RESrr -INPUTMC | 5 | 3 | 9 | 5 | 12 | 5 | 4 | 1 | 11 | 1 | 10 -INPUTP | 1 | 35 -EXPORTS | 1 | 5 | 17 -EQ | 4 | - nDinLE.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2; - !nDinLE.CLK = C16M; // GCK - iobm/nDinLE.EXP = C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/RESrf & iobm/RESrr -GLOBALS | 1 | 2 | C16M - MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 2 | iobm/ALE0 | iobs/ALE0 -INPUTMC | 2 | 5 | 3 | 7 | 3 -EQ | 1 | - nADoutLE0 = !iobm/ALE0 & !iobs/ALE0; +OUTPUTMC | 1 | 5 | 15 +INPUTS | 7 | ALE0M | ALE0S | C8M | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/RESrf | iobm/RESrr +INPUTMC | 6 | 0 | 16 | 0 | 11 | 5 | 2 | 0 | 15 | 1 | 15 | 1 | 14 +INPUTP | 1 | 35 +EXPORTS | 1 | 5 | 15 +EQ | 3 | + nADoutLE0 = !ALE0M & !ALE0S; + nADoutLE0_OBUF.EXP = C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/RESrf & iobm/RESrr + +MACROCELL | 4 | 4 | nCAS_OBUF +ATTRIBUTES | 8684290 | 0 +OUTPUTMC | 1 | 4 | 5 +INPUTS | 3 | ram/RASEL | nWE_FSB | nAS_FSB +INPUTMC | 1 | 7 | 0 +INPUTP | 2 | 47 | 54 +EXPORTS | 1 | 4 | 5 +EQ | 3 | + nCAS.D = !ram/RASEL; + !nCAS.CLK = FCLK; // GCK + nCAS_OBUF.EXP = nWE_FSB & !nAS_FSB +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 5 | 16 | nDinLE_OBUF +ATTRIBUTES | 8684290 | 0 +OUTPUTMC | 1 | 5 | 17 +INPUTS | 12 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | C8M | nBERR_IOB | iobm/IOS_FSM_FFd3 | IOBERR | iobm/RESrf | iobm/RESrr | iobm/DTACKrf | iobm/DTACKrr | iobm/BERRrf | iobm/BERRrr +INPUTMC | 10 | 0 | 15 | 5 | 9 | 5 | 2 | 5 | 17 | 1 | 15 | 1 | 14 | 0 | 6 | 0 | 5 | 0 | 8 | 0 | 7 +INPUTP | 2 | 35 | 123 +EXPORTS | 1 | 5 | 17 +EQ | 14 | + nDinLE.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2; + !nDinLE.CLK = C16M; // GCK + nDinLE_OBUF.EXP = C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/RESrf & + iobm/RESrr + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/DTACKrf & + iobm/DTACKrr + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/BERRrf & + iobm/BERRrr + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/RESrf & + iobm/RESrr +GLOBALS | 1 | 2 | C16M MACROCELL | 3 | 5 | nDinOE_OBUF ATTRIBUTES | 264962 | 0 @@ -1849,79 +1800,48 @@ EQ | 3 | # A_FSB<22> & A_FSB<21> & nWE_FSB & !nAS_FSB # A_FSB<22> & A_FSB<20> & nWE_FSB & !nAS_FSB; -MACROCELL | 7 | 4 | nRAS_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 7 | ram/RefRAS | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | ram/RAMEN | A_FSB<21> -INPUTMC | 3 | 0 | 4 | 4 | 3 | 4 | 9 -INPUTP | 4 | 36 | 30 | 54 | 29 -EQ | 5 | - !nRAS = ram/RefRAS - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - ram/RAMEN - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & ram/RAMEN; - -MACROCELL | 4 | 4 | ram/nCAS -ATTRIBUTES | 8684290 | 0 -INPUTS | 1 | ram/RASEL -INPUTMC | 1 | 4 | 0 -EQ | 2 | - nCAS.D = !ram/RASEL; - !nCAS.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - MACROCELL | 3 | 7 | N0 ATTRIBUTES | 265986 | 0 -INPUTS | 1 | cnt/nRESout -INPUTMC | 1 | 3 | 11 +INPUTS | 1 | nRESout +INPUTMC | 1 | 3 | 3 EQ | 2 | nRES = Gnd; - nRES.OE = !cnt/nRESout; + nRES.OE = !nRESout; MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 0 -INPUTS | 19 | A_FSB<13> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | iobs/Once | cs/nOverlay1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<14> | A_FSB<23> | A_FSB<22> | nAS_FSB | nADoutLE1 | iobs/Clear1.EXP -INPUTMC | 7 | 7 | 0 | 4 | 3 | 7 | 13 | 7 | 6 | 0 | 6 | 5 | 13 | 7 | 2 -INPUTP | 12 | 18 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 36 | 30 | 54 +INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RefReq | A_FSB<22> | nAS_FSB | fsb/ASrf | cs/nOverlay | ram/RAMEN | cnt/Timer<2>.EXP +INPUTMC | 8 | 4 | 7 | 7 | 15 | 0 | 0 | 0 | 2 | 3 | 6 | 2 | 13 | 7 | 13 | 7 | 2 +INPUTP | 3 | 36 | 30 | 54 EXPORTS | 1 | 7 | 0 IMPORTS | 1 | 7 | 2 -EQ | 17 | +EQ | 12 | RA<11> = ;Imported pterms FB8_3 A_FSB<19>; - A_FSB_19_IBUF$BUF0.EXP = A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Once & cs/nOverlay1 & !nAS_FSB & nADoutLE1 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Once & cs/nOverlay1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Once & cs/nOverlay1 & !nAS_FSB & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !iobs/Once & cs/nOverlay1 & fsb/ASrf & nADoutLE1 + A_FSB_19_IBUF$BUF0.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !ram/RefReq + # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !ram/RefReq + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !ram/RefUrg & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf MACROCELL | 6 | 8 | A_FSB_21_IBUF$BUF0 ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 7 -INPUTS | 6 | A_FSB<21> | A_FSB<9> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RASEL -INPUTMC | 2 | 4 | 3 | 4 | 0 -INPUTP | 4 | 29 | 12 | 36 | 30 -EXPORTS | 1 | 6 | 7 -EQ | 3 | +INPUTS | 1 | A_FSB<21> +INPUTP | 1 | 29 +EQ | 1 | RA<10> = A_FSB<21>; - A_FSB_21_IBUF$BUF0.EXP = A_FSB<9> & !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/RASEL MACROCELL | 5 | 13 | nADoutLE1_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 17 | 2 | 16 | 2 | 14 | 2 | 1 | 5 | 13 | 0 | 11 | 2 | 11 | 0 | 16 | 0 | 10 | 2 | 8 | 7 | 10 | 7 | 13 | 7 | 15 | 7 | 2 | 2 | 6 | 2 | 13 | 2 | 15 | 7 | 1 +OUTPUTMC | 19 | 2 | 13 | 2 | 0 | 3 | 16 | 3 | 15 | 2 | 12 | 2 | 4 | 2 | 10 | 4 | 14 | 7 | 11 | 2 | 8 | 4 | 6 | 4 | 2 | 2 | 15 | 2 | 17 | 5 | 13 | 2 | 1 | 2 | 2 | 2 | 6 | 4 | 17 INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 7 | 2 | 5 | 13 | 7 | 15 +INPUTMC | 3 | 2 | 17 | 5 | 13 | 4 | 2 EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; @@ -1930,220 +1850,208 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 1 | nAoutOE_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 8 | 5 | 10 | 5 | 11 | 5 | 4 | 3 | 4 -INPUTS | 3 | nBR_IOB | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 -INPUTMC | 3 | 7 | 14 | 3 | 6 | 3 | 12 -EQ | 2 | - !nAoutOE.D = !nBR_IOB & cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2; - !nAoutOE.CLK = C8M; // GCK -GLOBALS | 1 | 2 | C8M +OUTPUTMC | 8 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 6 | 3 | 4 | 3 | 1 +INPUTS | 4 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | nAoutOE +INPUTMC | 4 | 7 | 14 | 7 | 16 | 7 | 6 | 3 | 1 +EQ | 5 | + !nAoutOE.D = !nBR_IOB & cnt/INITS_FSM_FFd1 & + cnt/INITS_FSM_FFd2 + # cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2 & + !nAoutOE; + nAoutOE.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 5 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 4 | nWE_FSB | nLDS_FSB | nAS_FSB | ram/RAMEN -INPUTMC | 1 | 4 | 9 -INPUTP | 3 | 47 | 49 | 54 +INPUTS | 4 | nLDS_FSB | nWE_FSB | nAS_FSB | ram/RAMEN +INPUTMC | 1 | 7 | 13 +INPUTP | 3 | 49 | 47 | 54 EQ | 1 | - !nRAMLWE = !nWE_FSB & !nLDS_FSB & !nAS_FSB & ram/RAMEN; + !nRAMLWE = !nLDS_FSB & !nWE_FSB & !nAS_FSB & ram/RAMEN; MACROCELL | 7 | 7 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 8 -INPUTS | 12 | ram/RS_FSM_FFd1 | ram/RefUrgent | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RAMEN | A_FSB<21> | iobs/PS_FSM_FFd1.EXP -INPUTMC | 8 | 7 | 8 | 0 | 1 | 7 | 10 | 0 | 6 | 4 | 3 | 4 | 12 | 4 | 9 | 7 | 6 -INPUTP | 4 | 36 | 30 | 54 | 29 -EXPORTS | 1 | 7 | 8 -IMPORTS | 1 | 7 | 6 -EQ | 15 | - !nRAMUWE = ;Imported pterms FB8_7 - !nWE_FSB & !nUDS_FSB & !nAS_FSB & ram/RAMEN; - nRAMUWE_OBUF.EXP = ram/RS_FSM_FFd1 & ram/RefUrgent & - !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN & - fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - ram/RefUrgent & !ram/RAMEN - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - ram/RefUrgent & !ram/RAMEN & fsb/ASrf +INPUTS | 4 | nWE_FSB | nUDS_FSB | nAS_FSB | ram/RAMEN +INPUTMC | 1 | 7 | 13 +INPUTP | 3 | 47 | 56 | 54 +EQ | 1 | + !nRAMUWE = !nWE_FSB & !nUDS_FSB & !nAS_FSB & ram/RAMEN; MACROCELL | 4 | 1 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 0 -INPUTS | 12 | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | ram/RefReq | cs/nOverlay1 | A_FSB<23> | nAS_FSB | ram/RAMEN | fsb/ASrf | ram/RefDone.EXP -INPUTMC | 8 | 4 | 12 | 0 | 1 | 7 | 10 | 0 | 3 | 4 | 3 | 4 | 9 | 0 | 6 | 4 | 2 -INPUTP | 4 | 30 | 29 | 36 | 54 -EXPORTS | 1 | 4 | 0 -IMPORTS | 1 | 4 | 2 -EQ | 14 | - !nROMCS = ;Imported pterms FB5_3 - !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay1; - nROMCS_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf +OUTPUTMC | 1 | 4 | 2 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<16> | nWE_FSB | EXP19_.EXP +INPUTMC | 1 | 4 | 0 +INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 22 | 47 +EXPORTS | 1 | 4 | 2 +IMPORTS | 1 | 4 | 0 +EQ | 7 | + !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> +;Imported pterms FB5_1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay; + nROMCS_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> + # !A_FSB<23> & !A_FSB<22> & nWE_FSB -MACROCELL | 6 | 11 | N1 +MACROCELL | 6 | 11 | C25MEN_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 0 EQ | 1 | C25MEN = Vcc; -MACROCELL | 6 | 13 | N1$BUF0 +MACROCELL | 6 | 13 | C25MEN_OBUF$BUF0 ATTRIBUTES | 264962 | 0 INPUTS | 0 EQ | 1 | C20MEN = Vcc; -MACROCELL | 2 | 1 | EXP14_ +MACROCELL | 7 | 4 | nRAS_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 0 +EQ | 1 | + nRAS = Vcc; + +MACROCELL | 2 | 1 | EXP10_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 0 -INPUTS | 25 | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | nADoutLE1 | fsb/ASrf | A_FSB<13> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | EXP15_.EXP -INPUTMC | 7 | 4 | 3 | 2 | 9 | 2 | 0 | 0 | 16 | 5 | 13 | 0 | 6 | 2 | 2 -INPUTP | 18 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 18 | 12 | 11 | 21 | 17 | 15 | 13 | 36 | 30 +INPUTS | 17 | A_FSB<22> | A_FSB<21> | iobs/Once | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | EXP11_.EXP +INPUTMC | 7 | 4 | 16 | 4 | 6 | 4 | 9 | 5 | 13 | 3 | 6 | 2 | 13 | 2 | 2 +INPUTP | 10 | 30 | 29 | 47 | 54 | 28 | 19 | 26 | 24 | 23 | 22 EXPORTS | 1 | 2 | 0 IMPORTS | 1 | 2 | 2 -EQ | 29 | - EXP14_.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & !nADoutLE1 +EQ | 25 | + EXP10_.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & A_FSB<21> & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Once & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & !nADoutLE1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 +;Imported pterms FB3_3 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & !nADoutLE1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & !nADoutLE1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + +MACROCELL | 2 | 2 | EXP11_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 1 +INPUTS | 16 | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> | nAS_FSB +INPUTMC | 6 | 4 | 16 | 2 | 13 | 4 | 6 | 4 | 9 | 3 | 6 | 5 | 13 +INPUTP | 10 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 54 +EXPORTS | 1 | 2 | 1 +EQ | 12 | + EXP11_.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + +MACROCELL | 2 | 3 | EXP12_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 4 +INPUTS | 18 | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready1r | fsb/ASrf +INPUTMC | 2 | 2 | 12 | 3 | 6 +INPUTP | 16 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 +EXPORTS | 1 | 2 | 4 +EQ | 4 | + EXP12_.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf + +MACROCELL | 2 | 4 | EXP13_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 5 +INPUTS | 21 | A_FSB<22> | A_FSB<20> | fsb/Ready1r | fsb/VPA | iobs/IOReady | fsb/ASrf | A_FSB<23> | cs/nOverlay | fsb/Ready0r | nAS_FSB | ram/RAMReady | A_FSB<14> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | nADoutLE1 | A_FSB<13> | EXP12_.EXP +INPUTMC | 9 | 2 | 12 | 2 | 5 | 4 | 15 | 3 | 6 | 2 | 13 | 7 | 8 | 7 | 10 | 5 | 13 | 2 | 3 +INPUTP | 12 | 30 | 28 | 36 | 54 | 19 | 29 | 26 | 24 | 23 | 22 | 47 | 18 +EXPORTS | 1 | 2 | 5 +IMPORTS | 1 | 2 | 3 +EQ | 19 | + EXP13_.EXP = A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & !nAS_FSB & + !nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & !nAS_FSB & + !nADoutLE1 +;Imported pterms FB3_4 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf + +MACROCELL | 2 | 6 | EXP14_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 5 +INPUTS | 24 | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | fsb/Ready1r | fsb/VPA | nWE_FSB | iobs/IOReady | fsb/ASrf | nADoutLE1 | A_FSB<13> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | nAS_FSB +INPUTMC | 6 | 2 | 13 | 2 | 12 | 2 | 5 | 4 | 15 | 3 | 6 | 5 | 13 +INPUTP | 18 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 12 | 11 | 21 | 17 | 15 | 13 | 36 | 30 | 54 +EXPORTS | 1 | 2 | 5 +EQ | 20 | + EXP14_.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & fsb/ASrf & + !nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & fsb/ASrf & + !nADoutLE1 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & fsb/Ready1r & !nAS_FSB # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB -;Imported pterms FB3_3 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r & !nAS_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf -MACROCELL | 2 | 2 | EXP15_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 1 -INPUTS | 20 | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready1r | nAS_FSB | fsb/ASrf | iobs/IOReady -INPUTMC | 3 | 2 | 9 | 0 | 6 | 0 | 16 -INPUTP | 17 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 54 -EXPORTS | 1 | 2 | 1 -EQ | 12 | - EXP15_.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r & !nAS_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf - -MACROCELL | 2 | 4 | EXP16_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 5 -INPUTS | 15 | A_FSB<22> | A_FSB<20> | iobs/Once | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<14> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | nAS_FSB | A_FSB<13> -INPUTMC | 4 | 7 | 0 | 7 | 6 | 0 | 6 | 4 | 3 -INPUTP | 11 | 30 | 28 | 19 | 29 | 26 | 24 | 23 | 22 | 47 | 54 | 18 -EXPORTS | 1 | 2 | 5 -EQ | 14 | - EXP16_.EXP = A_FSB<22> & A_FSB<20> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd1 & fsb/ASrf - -MACROCELL | 2 | 5 | EXP17_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 6 -INPUTS | 9 | A_FSB<23> | iobs/Once | nAS_FSB | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<22> | A_FSB<21> | A_FSB<20> | EXP16_.EXP -INPUTMC | 4 | 7 | 0 | 7 | 6 | 0 | 6 | 2 | 4 -INPUTP | 5 | 36 | 54 | 30 | 29 | 28 -EXPORTS | 1 | 2 | 6 -IMPORTS | 1 | 2 | 4 -EQ | 25 | - EXP17_.EXP = A_FSB<23> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd1 - # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd1 & - fsb/ASrf - # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd1 - # A_FSB<22> & A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd1 -;Imported pterms FB3_5 - # A_FSB<22> & A_FSB<20> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd1 & fsb/ASrf - -MACROCELL | 2 | 7 | EXP18_ +MACROCELL | 2 | 7 | EXP15_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 8 -INPUTS | 13 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | iobs/IOREQ.EXP -INPUTMC | 8 | 0 | 6 | 2 | 9 | 0 | 16 | 2 | 8 | 4 | 3 | 4 | 6 | 4 | 16 | 2 | 6 -INPUTP | 5 | 54 | 36 | 30 | 29 | 28 +INPUTS | 21 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<22> | A_FSB<20> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> +INPUTMC | 4 | 3 | 6 | 2 | 12 | 4 | 15 | 2 | 8 +INPUTP | 17 | 54 | 36 | 30 | 28 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 29 | 26 | 24 | 23 | 22 EXPORTS | 1 | 2 | 8 -IMPORTS | 1 | 2 | 6 -EQ | 18 | - EXP18_.EXP = nAS_FSB & !fsb/ASrf +EQ | 13 | + EXP15_.EXP = nAS_FSB & !fsb/ASrf # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady -;Imported pterms FB3_7 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & @@ -2153,303 +2061,160 @@ EQ | 18 | A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & iobs/IOReady -MACROCELL | 2 | 10 | EXP19_ +MACROCELL | 2 | 9 | EXP16_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 11 -INPUTS | 9 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<19> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r.EXP -INPUTMC | 3 | 0 | 6 | 4 | 3 | 2 | 9 +OUTPUTMC | 1 | 2 | 10 +INPUTS | 9 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<19> | cs/nOverlay | nWE_FSB | nDTACK_FSB_OBUF.EXP +INPUTMC | 3 | 3 | 6 | 2 | 13 | 2 | 8 INPUTP | 6 | 54 | 36 | 30 | 29 | 26 | 47 -EXPORTS | 1 | 2 | 11 -IMPORTS | 1 | 2 | 9 +EXPORTS | 1 | 2 | 10 +IMPORTS | 1 | 2 | 8 EQ | 7 | - EXP19_.EXP = nAS_FSB & !fsb/ASrf + EXP16_.EXP = nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay # !A_FSB<23> & !A_FSB<22> & nWE_FSB - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB3_10 +;Imported pterms FB3_9 # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> -MACROCELL | 2 | 12 | EXP20_ +MACROCELL | 2 | 11 | EXP17_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 11 +OUTPUTMC | 1 | 2 | 10 INPUTS | 7 | A_FSB<23> | A_FSB<22> | A_FSB<20> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<21> INPUTP | 7 | 36 | 30 | 28 | 24 | 23 | 22 | 29 -EXPORTS | 1 | 2 | 11 +EXPORTS | 1 | 2 | 10 EQ | 5 | - EXP20_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> + EXP17_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> -MACROCELL | 2 | 13 | EXP21_ +MACROCELL | 2 | 14 | EXP18_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 14 -INPUTS | 13 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | A_FSB<21> | A_FSB<20> | iobs/IORW0 | nWE_FSB | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<22> -INPUTMC | 7 | 2 | 11 | 7 | 13 | 7 | 6 | 5 | 13 | 2 | 14 | 7 | 0 | 0 | 6 -INPUTP | 6 | 36 | 29 | 28 | 47 | 54 | 30 -EXPORTS | 1 | 2 | 14 -EQ | 10 | - EXP21_.EXP = !iobs/IORW1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - !nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !iobs/IORW0 & - nADoutLE1 - # A_FSB<23> & !nWE_FSB & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<23> & !nWE_FSB & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<21> & !nWE_FSB & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - -MACROCELL | 2 | 15 | EXP22_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 14 -INPUTS | 18 | A_FSB<22> | A_FSB<21> | nWE_FSB | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<20> | nAS_FSB | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | A_FSB<13> | nROMWE_OBUF.EXP -INPUTMC | 7 | 7 | 0 | 7 | 13 | 7 | 6 | 0 | 6 | 5 | 13 | 4 | 3 | 2 | 16 -INPUTP | 11 | 30 | 29 | 47 | 28 | 54 | 19 | 26 | 24 | 23 | 22 | 18 -EXPORTS | 1 | 2 | 14 -IMPORTS | 1 | 2 | 16 -EQ | 23 | - EXP22_.EXP = A_FSB<22> & A_FSB<21> & !nWE_FSB & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !nWE_FSB & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !nWE_FSB & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 +OUTPUTMC | 1 | 2 | 15 +INPUTS | 14 | A_FSB<22> | A_FSB<21> | iobs/Once | nAS_FSB | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB +INPUTMC | 4 | 4 | 16 | 4 | 9 | 3 | 6 | 2 | 13 +INPUTP | 10 | 30 | 29 | 54 | 28 | 19 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 2 | 15 +EQ | 11 | + EXP18_.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd1 + # A_FSB<22> & A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd1 + # A_FSB<22> & A_FSB<20> & !iobs/Once & + !iobs/PS_FSM_FFd1 & fsb/ASrf # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 -;Imported pterms FB3_17 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 -MACROCELL | 2 | 17 | EXP23_ +MACROCELL | 4 | 0 | EXP19_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 0 -INPUTS | 12 | A_FSB<22> | A_FSB<20> | fsb/Ready1r | fsb/VPA | iobs/IOReady | fsb/ASrf | A_FSB<23> | cs/nOverlay1 | fsb/Ready0r | nAS_FSB | ram/RAMReady | A_FSB<21> -INPUTMC | 7 | 2 | 9 | 2 | 0 | 0 | 16 | 0 | 6 | 4 | 3 | 4 | 6 | 4 | 16 -INPUTP | 5 | 30 | 28 | 36 | 54 | 29 -EXPORTS | 1 | 2 | 0 -EQ | 10 | - EXP23_.EXP = A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady +OUTPUTMC | 1 | 4 | 1 +INPUTS | 4 | A_FSB<23> | A_FSB<21> | A_FSB<20> | cs/nOverlay +INPUTMC | 1 | 2 | 13 +INPUTP | 3 | 36 | 29 | 28 +EXPORTS | 1 | 4 | 1 +EQ | 1 | + EXP19_.EXP = !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay -MACROCELL | 3 | 0 | EXP24_ +MACROCELL | 4 | 3 | EXP20_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 17 -INPUTS | 12 | C8M | nBERR_IOB | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOBERR | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 10 | 5 | 4 | 3 | 9 | 5 | 12 | 3 | 17 | 3 | 3 | 3 | 2 | 1 | 16 | 1 | 15 | 1 | 11 | 1 | 10 -INPUTP | 2 | 35 | 123 -EXPORTS | 1 | 3 | 17 -EQ | 9 | - EXP24_.EXP = C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/BERRrf & - iobm/BERRrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/DTACKrf & - iobm/DTACKrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/RESrf & - iobm/RESrr +OUTPUTMC | 1 | 4 | 2 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<20> | A_FSB<18> | A_FSB<17> | A_FSB<21> | A_FSB<14> | A_FSB<13> +INPUTP | 8 | 36 | 30 | 28 | 24 | 23 | 29 | 19 | 18 +EXPORTS | 1 | 4 | 2 +EQ | 5 | + EXP20_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> -MACROCELL | 4 | 15 | EXP25_ +MACROCELL | 4 | 17 | EXP21_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 16 -INPUTS | 10 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | ram/RefReq | A_FSB<22> | A_FSB<21> | ram/BACTr | cs/nOverlay1 | RA_6_OBUF.EXP -INPUTMC | 7 | 4 | 12 | 0 | 1 | 7 | 10 | 0 | 3 | 7 | 16 | 4 | 3 | 4 | 14 -INPUTP | 3 | 36 | 30 | 29 +INPUTS | 18 | A_FSB<13> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<14> | A_FSB<23> | A_FSB<22> | nAS_FSB | nADoutLE1 +INPUTMC | 6 | 4 | 16 | 2 | 13 | 4 | 6 | 4 | 9 | 3 | 6 | 5 | 13 +INPUTP | 12 | 18 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 36 | 30 | 54 EXPORTS | 1 | 4 | 16 -IMPORTS | 1 | 4 | 14 -EQ | 20 | - EXP25_.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !ram/RefReq - # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr - # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr -;Imported pterms FB5_15 - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd2 & !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RAMEN - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !ram/RAMEN - -MACROCELL | 4 | 17 | EXP26_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 0 -INPUTS | 10 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | ram/BACTr | ram/RefReq | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/RAMReady.EXP -INPUTMC | 7 | 4 | 12 | 0 | 1 | 7 | 10 | 7 | 16 | 0 | 3 | 4 | 3 | 4 | 16 -INPUTP | 3 | 36 | 30 | 29 -EXPORTS | 1 | 4 | 0 -IMPORTS | 1 | 4 | 16 -EQ | 17 | - EXP26_.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & ram/BACTr - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !ram/RefReq - # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr -;Imported pterms FB5_17 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RAMEN - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & - fsb/ASrf - -MACROCELL | 7 | 9 | EXP27_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 10 -INPUTS | 11 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | A_FSB<23> | nAS_FSB | fsb/ASrf | ram/RefUrgent | ram/RAMEN | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd1.EXP -INPUTMC | 7 | 7 | 8 | 4 | 12 | 7 | 10 | 0 | 6 | 0 | 1 | 4 | 9 | 7 | 8 -INPUTP | 4 | 36 | 54 | 30 | 29 -EXPORTS | 1 | 7 | 10 -IMPORTS | 1 | 7 | 8 EQ | 15 | - EXP27_.EXP = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd3 - # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !fsb/ASrf - # !ram/RS_FSM_FFd2 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 & !ram/RAMEN - # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 -;Imported pterms FB8_9 - # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd1 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 + EXP21_.EXP = A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !iobs/Once & cs/nOverlay & !nWE_FSB & !nAS_FSB & nADoutLE1 + # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !iobs/Once & cs/nOverlay & !nWE_FSB & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !iobs/Once & cs/nOverlay & !nWE_FSB & !nAS_FSB & nADoutLE1 + # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !iobs/Once & cs/nOverlay & !nWE_FSB & fsb/ASrf & nADoutLE1 -MACROCELL | 7 | 12 | EXP28_ +MACROCELL | 7 | 12 | EXP22_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 13 -INPUTS | 10 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<22> | A_FSB<21> | nAS_FSB | A_FSB<20> | iobs/nBERR_FSB.EXP -INPUTMC | 5 | 7 | 0 | 7 | 13 | 7 | 6 | 0 | 6 | 7 | 11 -INPUTP | 5 | 36 | 30 | 29 | 54 | 28 +INPUTS | 7 | ram/RS_FSM_FFd3 | ram/RAMEN | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | nAS_FSB | ram/RefUrg | fsb/ASrf +INPUTMC | 6 | 7 | 15 | 7 | 13 | 0 | 12 | 4 | 7 | 0 | 0 | 3 | 6 +INPUTP | 1 | 54 EXPORTS | 1 | 7 | 13 -IMPORTS | 1 | 7 | 11 -EQ | 23 | - EXP28_.EXP = A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<22> & A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<22> & A_FSB<20> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf -;Imported pterms FB8_12 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf +EQ | 7 | + EXP22_.EXP = ram/RS_FSM_FFd3 & ram/RAMEN + # ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RAMEN + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & ram/RAMEN + # nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf -MACROCELL | 7 | 17 | EXP29_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 0 -INPUTS | 16 | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | nAS_FSB | A_FSB<13> -INPUTMC | 5 | 7 | 0 | 7 | 13 | 7 | 6 | 0 | 6 | 4 | 3 -INPUTP | 11 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 | 54 | 18 -EXPORTS | 1 | 7 | 0 -EQ | 13 | - EXP29_.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<20> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & - cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - -PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 5 | 6 | 6 | 2 | 6 | 2 | 1 | 2 | 2 | 6 | 8 -PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 4 | 6 | 4 | 2 | 6 | 2 | 1 | 2 | 2 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 4 | 4 | 10 | 2 | 6 | 2 | 1 | 2 | 2 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 13 | 2 | 16 | 2 | 2 | 2 | 6 | 4 | 8 | 2 | 9 | 2 | 8 | 7 | 11 | 7 | 1 | 2 | 4 | 2 | 1 | 2 | 15 | 7 | 16 | 7 | 17 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 13 | 2 | 16 | 2 | 2 | 2 | 6 | 4 | 10 | 2 | 9 | 2 | 8 | 7 | 11 | 7 | 1 | 2 | 4 | 2 | 1 | 2 | 15 | 7 | 16 | 7 | 17 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 4 | 4 | 13 | 2 | 6 | 2 | 1 | 2 | 2 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 4 | 6 | 1 | 2 | 6 | 2 | 1 | 2 | 2 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 4 | 6 | 5 | 2 | 6 | 2 | 1 | 2 | 2 -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 37 | 7 | 0 | 2 | 14 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 0 | 2 | 10 | 2 | 8 | 2 | 17 | 7 | 7 | 4 | 11 | 7 | 13 | 7 | 9 | 4 | 8 | 7 | 15 | 2 | 5 | 4 | 16 | 6 | 7 | 3 | 5 | 7 | 4 | 2 | 13 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 12 | 4 | 1 | 4 | 2 | 4 | 14 | 4 | 15 | 4 | 17 | 6 | 6 | 6 | 8 | 7 | 1 | 7 | 12 | 7 | 14 | 7 | 16 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 40 | 7 | 0 | 2 | 14 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 0 | 2 | 10 | 2 | 8 | 2 | 17 | 7 | 7 | 4 | 11 | 7 | 12 | 7 | 10 | 4 | 8 | 7 | 15 | 2 | 5 | 4 | 15 | 6 | 7 | 3 | 5 | 7 | 4 | 2 | 15 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 12 | 2 | 13 | 4 | 1 | 4 | 2 | 4 | 14 | 4 | 16 | 4 | 17 | 6 | 6 | 6 | 8 | 7 | 1 | 7 | 9 | 7 | 14 | 7 | 16 | 7 | 17 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 38 | 7 | 0 | 2 | 13 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 0 | 2 | 10 | 2 | 8 | 2 | 17 | 7 | 7 | 4 | 11 | 7 | 12 | 7 | 9 | 4 | 8 | 7 | 14 | 2 | 5 | 4 | 15 | 6 | 7 | 3 | 5 | 7 | 4 | 6 | 8 | 2 | 16 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 12 | 2 | 15 | 4 | 1 | 4 | 2 | 4 | 14 | 4 | 16 | 4 | 17 | 7 | 1 | 7 | 11 | 7 | 16 | 7 | 17 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 24 | 7 | 0 | 2 | 13 | 4 | 7 | 2 | 9 | 2 | 0 | 2 | 7 | 2 | 8 | 7 | 12 | 7 | 11 | 2 | 5 | 6 | 10 | 3 | 5 | 2 | 17 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 12 | 2 | 15 | 2 | 16 | 4 | 2 | 7 | 1 | 7 | 16 | 7 | 17 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 14 | 2 | 16 | 2 | 2 | 2 | 6 | 2 | 15 | 2 | 10 | 2 | 8 | 7 | 11 | 7 | 14 | 2 | 4 | 6 | 10 | 2 | 1 | 7 | 1 | 7 | 2 | 7 | 17 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 13 | 2 | 16 | 2 | 12 | 2 | 6 | 6 | 7 | 2 | 2 | 2 | 8 | 7 | 11 | 7 | 1 | 2 | 4 | 2 | 1 | 2 | 15 | 7 | 16 | 7 | 17 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 13 | 2 | 16 | 2 | 12 | 2 | 6 | 6 | 4 | 2 | 2 | 2 | 8 | 7 | 11 | 7 | 14 | 2 | 4 | 2 | 1 | 2 | 15 | 7 | 1 | 7 | 17 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 13 | 2 | 16 | 2 | 12 | 2 | 6 | 4 | 14 | 2 | 2 | 2 | 8 | 7 | 11 | 7 | 14 | 2 | 4 | 2 | 1 | 2 | 15 | 7 | 1 | 7 | 17 -PIN | C16M | 4096 | 0 | N/A | 33 | 28 | 5 | 1 | 5 | 4 | 3 | 9 | 5 | 12 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 | 3 | 17 | 5 | 0 | 5 | 17 | 5 | 3 | 3 | 2 | 1 | 15 | 5 | 2 | 1 | 13 | 1 | 10 | 1 | 8 | 5 | 8 | 5 | 10 | 5 | 11 | 1 | 12 | 3 | 3 | 1 | 16 | 1 | 11 | 1 | 9 | 5 | 16 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 16 | 2 | 15 | 2 | 13 | 2 | 1 | 7 | 6 | 2 | 11 | 2 | 8 | 7 | 11 | 7 | 15 | 2 | 4 | 4 | 5 | 2 | 16 | 3 | 5 | 7 | 5 | 2 | 10 | 7 | 1 | 7 | 17 -PIN | C8M | 8256 | 0 | N/A | 35 | 27 | 5 | 4 | 5 | 12 | 3 | 17 | 5 | 17 | 3 | 0 | 3 | 16 | 5 | 16 | 3 | 13 | 6 | 0 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 7 | 14 | 3 | 6 | 3 | 12 | 3 | 8 | 3 | 11 | 1 | 14 | 3 | 1 -PIN | FCLK | 16384 | 0 | N/A | 42 | 38 | 7 | 0 | 4 | 3 | 2 | 14 | 4 | 2 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 0 | 0 | 11 | 0 | 8 | 2 | 11 | 0 | 16 | 0 | 10 | 0 | 7 | 2 | 8 | 7 | 11 | 4 | 0 | 7 | 8 | 4 | 12 | 7 | 13 | 0 | 1 | 7 | 10 | 7 | 6 | 4 | 9 | 0 | 5 | 7 | 15 | 7 | 16 | 0 | 3 | 0 | 2 | 7 | 3 | 7 | 2 | 2 | 6 | 4 | 16 | 0 | 4 | 0 | 0 | 5 | 13 | 0 | 6 | 4 | 4 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 43 | 7 | 0 | 4 | 3 | 2 | 14 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 0 | 2 | 10 | 0 | 16 | 2 | 7 | 7 | 11 | 4 | 0 | 7 | 8 | 4 | 12 | 7 | 13 | 7 | 9 | 4 | 9 | 7 | 15 | 7 | 16 | 0 | 6 | 2 | 5 | 4 | 16 | 4 | 5 | 2 | 16 | 3 | 10 | 3 | 5 | 7 | 4 | 7 | 5 | 7 | 6 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 13 | 2 | 15 | 2 | 17 | 4 | 1 | 4 | 8 | 4 | 11 | 7 | 1 | 7 | 7 | 7 | 10 | 7 | 12 | 7 | 17 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 11 | 0 | 8 | 7 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 10 | 0 | 7 | 7 | 6 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 5 | 3 | 17 | 3 | 3 | 3 | 2 | 3 | 0 | 3 | 16 -PIN | E | 64 | 0 | N/A | 37 | 8 | 0 | 9 | 0 | 14 | 0 | 13 | 1 | 17 | 0 | 12 | 1 | 14 | 0 | 17 | 0 | 15 -PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 3 | 8 -PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 1 | 16 | 1 | 15 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 2 | 1 | 9 | 1 | 8 +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 4 | 2 | 3 | 2 | 7 | 6 | 7 | 2 | 6 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 4 | 2 | 3 | 2 | 7 | 6 | 4 | 2 | 6 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 4 | 2 | 3 | 2 | 7 | 4 | 11 | 2 | 6 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 16 | 4 | 15 | 4 | 7 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 4 | 5 | 2 | 16 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 4 | 3 | 4 | 9 | 4 | 17 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 14 | 4 | 15 | 4 | 10 | 2 | 6 | 2 | 4 | 2 | 7 | 2 | 8 | 4 | 5 | 2 | 16 | 2 | 13 | 2 | 2 | 2 | 3 | 4 | 3 | 4 | 7 | 4 | 17 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 4 | 2 | 3 | 2 | 7 | 4 | 13 | 2 | 6 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 4 | 2 | 3 | 2 | 7 | 6 | 1 | 2 | 6 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 4 | 2 | 3 | 2 | 7 | 6 | 5 | 2 | 6 +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 32 | 4 | 16 | 2 | 13 | 2 | 0 | 7 | 8 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 8 | 4 | 17 | 4 | 3 | 7 | 14 | 4 | 6 | 7 | 13 | 4 | 2 | 2 | 15 | 7 | 10 | 6 | 7 | 3 | 5 | 4 | 1 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 11 | 2 | 17 | 4 | 0 | 4 | 8 | 7 | 1 | 7 | 9 | 7 | 11 | 7 | 16 | 7 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 32 | 4 | 16 | 2 | 13 | 2 | 0 | 7 | 8 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 8 | 4 | 17 | 4 | 5 | 7 | 14 | 4 | 6 | 7 | 13 | 4 | 2 | 2 | 14 | 7 | 10 | 6 | 7 | 3 | 5 | 4 | 1 | 2 | 1 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 11 | 4 | 3 | 4 | 8 | 4 | 15 | 7 | 1 | 7 | 9 | 7 | 16 | 7 | 17 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 26 | 4 | 16 | 2 | 13 | 4 | 1 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 8 | 4 | 6 | 4 | 0 | 2 | 14 | 3 | 5 | 6 | 8 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 11 | 2 | 16 | 2 | 17 | 4 | 3 | 4 | 5 | 4 | 7 | 4 | 15 | 4 | 17 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 24 | 4 | 16 | 2 | 13 | 4 | 1 | 2 | 12 | 2 | 5 | 2 | 7 | 2 | 8 | 4 | 5 | 4 | 0 | 2 | 14 | 6 | 10 | 3 | 5 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 16 | 2 | 17 | 4 | 3 | 4 | 7 | 4 | 15 | 4 | 17 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 19 | 4 | 15 | 4 | 17 | 2 | 12 | 2 | 4 | 2 | 9 | 2 | 8 | 4 | 5 | 4 | 1 | 2 | 14 | 6 | 10 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 7 | 2 | 13 | 2 | 16 | 4 | 7 | 7 | 2 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 18 | 4 | 15 | 6 | 7 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 4 | 5 | 2 | 16 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 11 | 2 | 13 | 4 | 3 | 4 | 7 | 4 | 17 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 18 | 4 | 15 | 6 | 4 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 4 | 5 | 2 | 16 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 11 | 2 | 13 | 4 | 3 | 4 | 7 | 4 | 17 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 18 | 4 | 15 | 4 | 14 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 4 | 5 | 4 | 1 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 16 | 4 | 7 | 4 | 17 +PIN | C16M | 4096 | 0 | N/A | 33 | 28 | 5 | 1 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 5 | 17 | 0 | 5 | 5 | 0 | 1 | 17 | 1 | 12 | 0 | 16 | 0 | 7 | 5 | 6 | 1 | 14 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 16 | 0 | 6 | 1 | 13 | 0 | 8 | 1 | 15 | 5 | 16 +PIN | FCLK | 16384 | 0 | N/A | 42 | 64 | 4 | 16 | 3 | 17 | 7 | 17 | 7 | 3 | 7 | 9 | 7 | 2 | 6 | 17 | 6 | 16 | 3 | 13 | 3 | 12 | 3 | 11 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 2 | 13 | 2 | 0 | 6 | 15 | 3 | 9 | 0 | 17 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 0 | 7 | 8 | 2 | 12 | 2 | 5 | 0 | 14 | 2 | 10 | 4 | 15 | 0 | 13 | 7 | 11 | 2 | 8 | 7 | 14 | 7 | 0 | 0 | 12 | 4 | 7 | 7 | 15 | 4 | 6 | 0 | 0 | 4 | 9 | 7 | 13 | 7 | 16 | 7 | 6 | 0 | 3 | 4 | 2 | 3 | 2 | 0 | 2 | 0 | 1 | 0 | 10 | 0 | 9 | 0 | 11 | 2 | 15 | 3 | 8 | 2 | 17 | 3 | 3 | 7 | 10 | 3 | 0 | 5 | 13 | 3 | 1 | 3 | 6 | 4 | 4 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 39 | 4 | 16 | 2 | 13 | 2 | 0 | 7 | 8 | 2 | 12 | 2 | 5 | 2 | 9 | 4 | 14 | 7 | 11 | 2 | 7 | 7 | 0 | 4 | 7 | 7 | 15 | 4 | 6 | 7 | 13 | 4 | 2 | 3 | 2 | 2 | 15 | 3 | 6 | 7 | 10 | 4 | 4 | 2 | 16 | 3 | 10 | 3 | 5 | 7 | 5 | 7 | 7 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 14 | 2 | 17 | 4 | 5 | 4 | 8 | 4 | 15 | 4 | 17 | 7 | 1 | 7 | 12 | 7 | 16 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 21 | 4 | 15 | 7 | 7 | 2 | 12 | 2 | 4 | 2 | 10 | 2 | 8 | 4 | 5 | 4 | 1 | 2 | 14 | 4 | 4 | 2 | 16 | 3 | 5 | 7 | 5 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 9 | 2 | 13 | 2 | 17 | 4 | 7 | 4 | 17 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 3 | 16 | 0 | 14 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 3 | 15 | 0 | 13 | 7 | 7 +PIN | C8M | 8256 | 0 | N/A | 35 | 7 | 5 | 2 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 14 | 5 | 16 | 0 | 4 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 4 | 5 | 17 | 0 | 8 | 0 | 7 | 5 | 16 +PIN | E | 64 | 0 | N/A | 37 | 2 | 0 | 4 | 0 | 10 +PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 9 +PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 0 | 6 | 0 | 5 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 2 | 1 | 13 | 1 | 12 PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 13 PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 10 -PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 7 -PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 4 | 10 +PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 9 +PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 4 | 11 PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 1 | 4 | 13 PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 +PIN | nAS_IOB | 536871040 | 0 | N/A | 135 PIN | nLDS_IOB | 536871040 | 0 | N/A | 130 PIN | nUDS_IOB | 536871040 | 0 | N/A | 134 -PIN | nAS_IOB | 536871040 | 0 | N/A | 135 -PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 PIN | nBERR_FSB | 536871040 | 0 | N/A | 112 +PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 PIN | nBR_IOB | 536871040 | 0 | N/A | 116 PIN | RA<0> | 536871040 | 0 | N/A | 86 PIN | RA<1> | 536871040 | 0 | N/A | 79 @@ -2465,11 +2230,10 @@ PIN | nDoutOE | 536871040 | 0 | N/A | 143 PIN | nOE | 536871040 | 0 | N/A | 60 PIN | nROMWE | 536871040 | 0 | N/A | 57 PIN | nVPA_FSB | 536871040 | 0 | N/A | 147 -PIN | nDinLE | 536871040 | 0 | N/A | 140 PIN | nADoutLE0 | 536871040 | 0 | N/A | 139 -PIN | nDinOE | 536871040 | 0 | N/A | 144 -PIN | nRAS | 536871040 | 0 | N/A | 103 PIN | nCAS | 536871040 | 0 | N/A | 59 +PIN | nDinLE | 536871040 | 0 | N/A | 140 +PIN | nDinOE | 536871040 | 0 | N/A | 144 PIN | RA<11> | 536871040 | 0 | N/A | 102 PIN | RA<10> | 536871040 | 0 | N/A | 90 PIN | nADoutLE1 | 536871040 | 0 | N/A | 136 @@ -2479,4 +2243,5 @@ PIN | nRAMUWE | 536871040 | 0 | N/A | 105 PIN | nROMCS | 536871040 | 0 | N/A | 58 PIN | C25MEN | 536871040 | 0 | N/A | 95 PIN | C20MEN | 536871040 | 0 | N/A | 96 -PIN | nRES | 536870976 | 0 | N/A | 145 | 3 | 4 | 7 | 1 | 11 | 1 | 10 +PIN | nRAS | 536871040 | 0 | N/A | 103 +PIN | nRES | 536870976 | 0 | N/A | 145 | 3 | 2 | 13 | 1 | 15 | 1 | 14 diff --git a/cpld/XC95144XL/WarpSE.mod b/cpld/XC95144XL/WarpSE.mod deleted file mode 100644 index 868140c..0000000 --- a/cpld/XC95144XL/WarpSE.mod +++ /dev/null @@ -1,233 +0,0 @@ -MODEL -MODEL_VERSION "v1998.8"; -DESIGN "WarpSE"; - -/* port names and type */ -INPUT S:PIN7 = A_FSB<9>; -INPUT S:PIN6 = A_FSB<8>; -INPUT S:PIN13 = A_FSB<15>; -INPUT S:PIN12 = A_FSB<14>; -INPUT S:PIN11 = A_FSB<13>; -INPUT S:PIN10 = A_FSB<12>; -INPUT S:PIN9 = A_FSB<11>; -INPUT S:PIN8 = A_FSB<10>; -INPUT S:PIN24 = A_FSB<23>; -INPUT S:PIN20 = A_FSB<22>; -INPUT S:PIN19 = A_FSB<21>; -INPUT S:PIN18 = A_FSB<20>; -INPUT S:PIN17 = A_FSB<19>; -INPUT S:PIN16 = A_FSB<18>; -INPUT S:PIN15 = A_FSB<17>; -INPUT S:PIN14 = A_FSB<16>; -INPUT S:PIN22 = CLK2X_IOB; -INPUT S:PIN27 = CLK_FSB; -INPUT S:PIN32 = nAS_FSB; -INPUT S:PIN29 = nWE_FSB; -INPUT S:PIN60 = SW<1>; -INPUT S:PIN91 = nRES; -INPUT S:PIN30 = nLDS_FSB; -INPUT S:PIN33 = nUDS_FSB; -INPUT S:PIN23 = CLK_IOB; -INPUT S:PIN76 = nBERR_IOB; -INPUT S:PIN25 = E_IOB; -INPUT S:PIN92 = nIPL2; -INPUT S:PIN73 = nBG_IOB; -INPUT S:PIN78 = nDTACK_IOB; -INPUT S:PIN77 = nVPA_IOB; -INPUT S:PIN94 = A_FSB<1>; -INPUT S:PIN95 = A_FSB<2>; -INPUT S:PIN96 = A_FSB<3>; -INPUT S:PIN97 = A_FSB<4>; -INPUT S:PIN2 = A_FSB<5>; -INPUT S:PIN3 = A_FSB<6>; -INPUT S:PIN4 = A_FSB<7>; -INPUT S:PIN61 = SW<0>; -TRIOUT S:PIN74 = nVMA_IOB; -TRIOUT S:PIN81 = nAS_IOB; -TRIOUT S:PIN79 = nLDS_IOB; -TRIOUT S:PIN80 = nUDS_IOB; -OUTPUT S:PIN28 = nDTACK_FSB; -OUTPUT S:PIN53 = RA<0>; -OUTPUT S:PIN50 = RA<1>; -OUTPUT S:PIN43 = RA<2>; -OUTPUT S:PIN41 = RA<3>; -OUTPUT S:PIN40 = RA<4>; -OUTPUT S:PIN42 = RA<5>; -OUTPUT S:PIN46 = RA<6>; -OUTPUT S:PIN52 = RA<7>; -OUTPUT S:PIN54 = RA<8>; -OUTPUT S:PIN56 = RA<9>; -OUTPUT S:PIN70 = nBERR_FSB; -OUTPUT S:PIN37 = nOE; -OUTPUT S:PIN34 = nROMWE; -OUTPUT S:PIN93 = nVPA_FSB; -OUTPUT S:PIN85 = nADoutLE0; -OUTPUT S:PIN36 = nCAS; -OUTPUT S:PIN86 = nDinLE; -OUTPUT S:PIN90 = nDinOE; -OUTPUT S:PIN89 = nDoutOE; -OUTPUT S:PIN64 = nRAS; -OUTPUT S:PIN63 = RA<11>; -OUTPUT S:PIN55 = RA<10>; -OUTPUT S:PIN59 = CLK20EN; -OUTPUT S:PIN58 = CLK25EN; -OUTPUT S:PIN72 = nBR_IOB; -OUTPUT S:PIN82 = nADoutLE1; -OUTPUT S:PIN87 = nAoutOE; -OUTPUT S:PIN65 = nRAMLWE; -OUTPUT S:PIN66 = nRAMUWE; -OUTPUT S:PIN35 = nROMCS; - -/* timing arc definitions */ -SW<0>_CLK20EN_delay: DELAY SW<0> CLK20EN; -SW<0>_CLK25EN_delay: DELAY SW<0> CLK25EN; -A_FSB<1>_RA<0>_delay: DELAY A_FSB<1> RA<0>; -A_FSB<10>_RA<0>_delay: DELAY A_FSB<10> RA<0>; -A_FSB<21>_RA<10>_delay: DELAY A_FSB<21> RA<10>; -A_FSB<19>_RA<11>_delay: DELAY A_FSB<19> RA<11>; -A_FSB<11>_RA<1>_delay: DELAY A_FSB<11> RA<1>; -A_FSB<2>_RA<1>_delay: DELAY A_FSB<2> RA<1>; -A_FSB<3>_RA<2>_delay: DELAY A_FSB<3> RA<2>; -A_FSB<12>_RA<2>_delay: DELAY A_FSB<12> RA<2>; -A_FSB<4>_RA<3>_delay: DELAY A_FSB<4> RA<3>; -A_FSB<13>_RA<3>_delay: DELAY A_FSB<13> RA<3>; -A_FSB<5>_RA<4>_delay: DELAY A_FSB<5> RA<4>; -A_FSB<14>_RA<4>_delay: DELAY A_FSB<14> RA<4>; -A_FSB<6>_RA<5>_delay: DELAY A_FSB<6> RA<5>; -A_FSB<15>_RA<5>_delay: DELAY A_FSB<15> RA<5>; -A_FSB<7>_RA<6>_delay: DELAY A_FSB<7> RA<6>; -A_FSB<16>_RA<6>_delay: DELAY A_FSB<16> RA<6>; -A_FSB<17>_RA<7>_delay: DELAY A_FSB<17> RA<7>; -A_FSB<8>_RA<7>_delay: DELAY A_FSB<8> RA<7>; -A_FSB<21>_RA<8>_delay: DELAY A_FSB<21> RA<8>; -A_FSB<9>_RA<8>_delay: DELAY A_FSB<9> RA<8>; -A_FSB<23>_RA<8>_delay: DELAY A_FSB<23> RA<8>; -A_FSB<22>_RA<8>_delay: DELAY A_FSB<22> RA<8>; -A_FSB<18>_RA<8>_delay: DELAY A_FSB<18> RA<8>; -A_FSB<20>_RA<9>_delay: DELAY A_FSB<20> RA<9>; -A_FSB<19>_RA<9>_delay: DELAY A_FSB<19> RA<9>; -nAS_FSB_nBERR_FSB_delay: DELAY nAS_FSB nBERR_FSB; -A_FSB<20>_nBERR_FSB_delay: DELAY A_FSB<20> nBERR_FSB; -A_FSB<22>_nBERR_FSB_delay: DELAY A_FSB<22> nBERR_FSB; -A_FSB<23>_nBERR_FSB_delay: DELAY A_FSB<23> nBERR_FSB; -A_FSB<21>_nBERR_FSB_delay: DELAY A_FSB<21> nBERR_FSB; -A_FSB<20>_nDinOE_delay: DELAY A_FSB<20> nDinOE; -A_FSB<23>_nDinOE_delay: DELAY A_FSB<23> nDinOE; -A_FSB<22>_nDinOE_delay: DELAY A_FSB<22> nDinOE; -A_FSB<21>_nDinOE_delay: DELAY A_FSB<21> nDinOE; -nAS_FSB_nDinOE_delay: DELAY nAS_FSB nDinOE; -nWE_FSB_nDinOE_delay: DELAY nWE_FSB nDinOE; -SW<1>_nDinOE_delay: DELAY SW<1> nDinOE; -nAS_FSB_nOE_delay: DELAY nAS_FSB nOE; -nWE_FSB_nOE_delay: DELAY nWE_FSB nOE; -nLDS_FSB_nRAMLWE_delay: DELAY nLDS_FSB nRAMLWE; -nWE_FSB_nRAMLWE_delay: DELAY nWE_FSB nRAMLWE; -nAS_FSB_nRAMLWE_delay: DELAY nAS_FSB nRAMLWE; -nWE_FSB_nRAMUWE_delay: DELAY nWE_FSB nRAMUWE; -nUDS_FSB_nRAMUWE_delay: DELAY nUDS_FSB nRAMUWE; -nAS_FSB_nRAMUWE_delay: DELAY nAS_FSB nRAMUWE; -A_FSB<23>_nRAS_delay: DELAY A_FSB<23> nRAS; -nAS_FSB_nRAS_delay: DELAY nAS_FSB nRAS; -A_FSB<21>_nRAS_delay: DELAY A_FSB<21> nRAS; -A_FSB<22>_nRAS_delay: DELAY A_FSB<22> nRAS; -A_FSB<22>_nROMCS_delay: DELAY A_FSB<22> nROMCS; -A_FSB<23>_nROMCS_delay: DELAY A_FSB<23> nROMCS; -SW<1>_nROMCS_delay: DELAY SW<1> nROMCS; -A_FSB<20>_nROMCS_delay: DELAY A_FSB<20> nROMCS; -A_FSB<21>_nROMCS_delay: DELAY A_FSB<21> nROMCS; -nAS_FSB_nROMWE_delay: DELAY nAS_FSB nROMWE; -nWE_FSB_nROMWE_delay: DELAY nWE_FSB nROMWE; -nAS_FSB_nVPA_FSB_delay: DELAY nAS_FSB nVPA_FSB; -CLK_FSB_nDTACK_FSB_delay: DELAY CLK_FSB nDTACK_FSB; -CLK_FSB_RA<0>_delay: DELAY CLK_FSB RA<0>; -CLK_FSB_RA<1>_delay: DELAY CLK_FSB RA<1>; -CLK_FSB_RA<2>_delay: DELAY CLK_FSB RA<2>; -CLK_FSB_RA<3>_delay: DELAY CLK_FSB RA<3>; -CLK_FSB_RA<4>_delay: DELAY CLK_FSB RA<4>; -CLK_FSB_RA<5>_delay: DELAY CLK_FSB RA<5>; -CLK_FSB_RA<6>_delay: DELAY CLK_FSB RA<6>; -CLK_FSB_RA<7>_delay: DELAY CLK_FSB RA<7>; -CLK_FSB_RA<8>_delay: DELAY CLK_FSB RA<8>; -CLK_FSB_RA<9>_delay: DELAY CLK_FSB RA<9>; -CLK_FSB_nBERR_FSB_delay: DELAY CLK_FSB nBERR_FSB; -CLK_FSB_nVPA_FSB_delay: DELAY CLK_FSB nVPA_FSB; -CLK_FSB_nADoutLE0_delay: DELAY CLK_FSB nADoutLE0; -CLK_FSB_nCAS_delay: DELAY CLK_FSB nCAS; -CLK_FSB_nRAS_delay: DELAY CLK_FSB nRAS; -CLK_FSB_nBR_IOB_delay: DELAY CLK_FSB nBR_IOB; -CLK_FSB_nADoutLE1_delay: DELAY CLK_FSB nADoutLE1; -CLK_FSB_nRAMLWE_delay: DELAY CLK_FSB nRAMLWE; -CLK_FSB_nRAMUWE_delay: DELAY CLK_FSB nRAMUWE; -CLK_FSB_nROMCS_delay: DELAY CLK_FSB nROMCS; -CLK2X_IOB_nVMA_IOB_delay: DELAY (ENABLE_HIGH) CLK2X_IOB nVMA_IOB; -CLK2X_IOB_nAS_IOB_delay: DELAY (ENABLE_HIGH) CLK2X_IOB nAS_IOB; -CLK2X_IOB_nLDS_IOB_delay: DELAY (ENABLE_HIGH) CLK2X_IOB nLDS_IOB; -CLK2X_IOB_nUDS_IOB_delay: DELAY (ENABLE_HIGH) CLK2X_IOB nUDS_IOB; -CLK2X_IOB_nADoutLE0_delay: DELAY CLK2X_IOB nADoutLE0; -CLK2X_IOB_nDinLE_delay: DELAY CLK2X_IOB nDinLE; -CLK2X_IOB_nDoutOE_delay: DELAY CLK2X_IOB nDoutOE; -CLK2X_IOB_nAoutOE_delay: DELAY CLK2X_IOB nAoutOE; - -/* timing check arc definitions */ -E_IOB_CLK_IOB_setup: SETUP(POSEDGE) E_IOB CLK_IOB; -E_IOB_CLK_IOB_hold: HOLD(POSEDGE) E_IOB CLK_IOB; -A_FSB<10>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<10> CLK_FSB; -A_FSB<11>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<11> CLK_FSB; -A_FSB<12>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<12> CLK_FSB; -A_FSB<13>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<13> CLK_FSB; -A_FSB<14>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<14> CLK_FSB; -A_FSB<15>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<15> CLK_FSB; -A_FSB<16>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<16> CLK_FSB; -A_FSB<17>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<17> CLK_FSB; -A_FSB<18>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<18> CLK_FSB; -A_FSB<19>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<19> CLK_FSB; -A_FSB<20>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<20> CLK_FSB; -A_FSB<21>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<21> CLK_FSB; -A_FSB<22>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<22> CLK_FSB; -A_FSB<23>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<23> CLK_FSB; -A_FSB<8>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<8> CLK_FSB; -A_FSB<9>_CLK_FSB_setup: SETUP(POSEDGE) A_FSB<9> CLK_FSB; -SW<1>_CLK_FSB_setup: SETUP(POSEDGE) SW<1> CLK_FSB; -nAS_FSB_CLK_FSB_setup: SETUP(POSEDGE) nAS_FSB CLK_FSB; -nIPL2_CLK_FSB_setup: SETUP(POSEDGE) nIPL2 CLK_FSB; -nLDS_FSB_CLK_FSB_setup: SETUP(POSEDGE) nLDS_FSB CLK_FSB; -nRES_CLK_FSB_setup: SETUP(POSEDGE) nRES CLK_FSB; -nUDS_FSB_CLK_FSB_setup: SETUP(POSEDGE) nUDS_FSB CLK_FSB; -nWE_FSB_CLK_FSB_setup: SETUP(POSEDGE) nWE_FSB CLK_FSB; -A_FSB<10>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<10> CLK_FSB; -A_FSB<11>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<11> CLK_FSB; -A_FSB<12>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<12> CLK_FSB; -A_FSB<13>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<13> CLK_FSB; -A_FSB<14>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<14> CLK_FSB; -A_FSB<15>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<15> CLK_FSB; -A_FSB<16>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<16> CLK_FSB; -A_FSB<17>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<17> CLK_FSB; -A_FSB<18>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<18> CLK_FSB; -A_FSB<19>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<19> CLK_FSB; -A_FSB<20>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<20> CLK_FSB; -A_FSB<21>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<21> CLK_FSB; -A_FSB<22>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<22> CLK_FSB; -A_FSB<23>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<23> CLK_FSB; -A_FSB<8>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<8> CLK_FSB; -A_FSB<9>_CLK_FSB_hold: HOLD(POSEDGE) A_FSB<9> CLK_FSB; -SW<1>_CLK_FSB_hold: HOLD(POSEDGE) SW<1> CLK_FSB; -nAS_FSB_CLK_FSB_hold: HOLD(POSEDGE) nAS_FSB CLK_FSB; -nIPL2_CLK_FSB_hold: HOLD(POSEDGE) nIPL2 CLK_FSB; -nLDS_FSB_CLK_FSB_hold: HOLD(POSEDGE) nLDS_FSB CLK_FSB; -nRES_CLK_FSB_hold: HOLD(POSEDGE) nRES CLK_FSB; -nUDS_FSB_CLK_FSB_hold: HOLD(POSEDGE) nUDS_FSB CLK_FSB; -nWE_FSB_CLK_FSB_hold: HOLD(POSEDGE) nWE_FSB CLK_FSB; -CLK_IOB_CLK2X_IOB_setup: SETUP(POSEDGE) CLK_IOB CLK2X_IOB; -nBERR_IOB_CLK2X_IOB_setup: SETUP(POSEDGE) nBERR_IOB CLK2X_IOB; -nBG_IOB_CLK2X_IOB_setup: SETUP(POSEDGE) nBG_IOB CLK2X_IOB; -nDTACK_IOB_CLK2X_IOB_setup: SETUP(POSEDGE) nDTACK_IOB CLK2X_IOB; -nRES_CLK2X_IOB_setup: SETUP(POSEDGE) nRES CLK2X_IOB; -nVPA_IOB_CLK2X_IOB_setup: SETUP(POSEDGE) nVPA_IOB CLK2X_IOB; -CLK_IOB_CLK2X_IOB_hold: HOLD(POSEDGE) CLK_IOB CLK2X_IOB; -nBERR_IOB_CLK2X_IOB_hold: HOLD(POSEDGE) nBERR_IOB CLK2X_IOB; -nBG_IOB_CLK2X_IOB_hold: HOLD(POSEDGE) nBG_IOB CLK2X_IOB; -nDTACK_IOB_CLK2X_IOB_hold: HOLD(POSEDGE) nDTACK_IOB CLK2X_IOB; -nRES_CLK2X_IOB_hold: HOLD(POSEDGE) nRES CLK2X_IOB; -nVPA_IOB_CLK2X_IOB_hold: HOLD(POSEDGE) nVPA_IOB CLK2X_IOB; - -ENDMODEL diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index a809756..51dacc4 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$76:0=#Zl|/;6&?:183-c=/83:1<$.'0;294,773!;;<=4>01295567!890$3:*2056728>;<=4>4123-46< 8=;<7?80182356.991#=5>?:0:34?7?89#:<6&=712962672;=;<$??;)0;45<509:1>5>?)028,667839;<=4<012*64=/;9:;6>>?0;1345/' :?;<7=:0180156.991#?8>?:2734?5289#o7%:?0;634?278 ;;7%8?0185456=>9:;%<>4(6234?17890<<=>&119+=56720:;<77?01+2?57<9;1:1392644<9;0DYY^ZT;PB847=8780=><41508504<9?80=:<419085<7<:;196<;2:066>40:283>6<61:11?6653:897>==;261?6353:<97>9>;508054<<8808?<44208034<=9809?<45208114<=<809;<459081<7<>;1=??59439517=1>81<>69?2:516>13:2==>6982:5;6>1>922975>=;931?=4531?9758=;951?=?630805=<49008=74<1:8059<49408=34<1>90MCJ6;@LMKQGSMM30MRH\B=33:a=FWOYI0<>1.QCG=>GXNZH7=<0k;@]EWG:697$[MI74A^DPF9756m1JSK]M<00=*UGC12KTJ^L3125?,SEA?e9B[CUE48<5"]OK9:C\BVD;9>4o7LQISC>23;(WIM30MRH\B=3;:a=FWOYI0<61.QCG=>GXNZH7=40k;@]EWG:617$[MI64A^DPF979k2KTJ^L31?,SEA?e9B[CUE4;:5"]OK9:C\BVD;:84o7LQISC>15;(WIM30MRH\B=01:a=FWOYI0?<1.QCGf>GXNZH7>>4>>89B[CUE4;95h6OPFR@?668)XHN37LQISC>1:f=FWOYI0?0!P@F;?DYA[K682n5N_GQA868)XHN37LQISC>7:f=FWOYI090!P@F;?DYA[K6>2n5N_GQA808)XHN37LQISC>5:f=FWOYI0;0!P@F;?DYA[K6<2n5N_GQA828)XHN37LQISC>;:f=FWOYI050!P@F;?DYA[K622n5N_GQA8<8)XHNo7LQISC]24ZOE]On0MRH\B^32[LDRNm1JSK]M_00\MGSAl2KTJ^LP12]JFP@c3HUM_OQ>4^KAQCbGXNZHT=:QFBTDg?DYA[KU:4RGMUGf8EZ@TJV;2SDLZF008EZ@TJV;2SDLZF%@VB5733HUM_OQ>9^KAQC"E]O:%H<;4A^DPFZ7>W@H^J)LZF1,G543<84A^DPFZ7>W@H^J)LZF1,F\U7e3HUM_OQ>9^KAQC"E]O:%IU^PPU]35g=FWOYIS<7PICWE GSA8'OS\R^[_03a?DYA[KU:5RGMUG&AQC6)MQZT\YQ=1c9B[CUEW83TEO[I$CWE4+C_XVZ_S>?m;@]EWGY61VCIYK*MUG2-A]VXX]U?>:5N_GQA[4?XAK_M(O[I0/G[TZrtadxnblcjd^lfpZ733HUM_OQ>9^KAQC"E]O:%]n5N_GQA[4YNJ\Lo7LQISC]14ZOE]On0MRH\B^02[LDRN880MRH\B^02[LDRN-H^J=?;;@]EWGY59VCIYK*MUG2-@43_H@VB!DRN9$O=<;4A^DPFZ46W@H^J)LZF1,G640_H@VB!DRN9$NT]<8;@]EWGY59VCIYK*MUG2-A]VX|zcf~h`nmdf\j`rX9=1JSK]M_33\MGSA,K_M<#_k;@]EWGY5:VCIYKj4A^DPFZ44W@H^Jn5N_GQA[7YNJ\Lh7LQISC]0[LDRNj1JSK]M_5]JFP@d3HUM_OQ:_H@VBf=FWOYIS;QFBTD`?DYA[KUW@H^J>5MUG:8FPUXAGLD86M>6L:8G40J&YKOi6M>6L]JFP@(NJF@j6M>6L]JFP@(NJF@!;5L21OFJd=D:9GNB#^ND79@60KBFh1H>8CJN/RB@6=D0D=0O5C!P@F;?F>JW@H^Jh5L8L]JFP@(NJF@!?5LE29@HN?Bbdhs:7H;4E/RB@d=BPY;?S#KWPg9F\U73W'OS\R^[_1d8A]V6C_X8>T"HV__QV\7c=BPY;?S#KWP^RW[1`S#KWPg9F\U72W'OS\R^[_1d8A]V6=V$NT]Q_T^3e?@^W9S#KWP^vpmhtbfhgnhR`jt^c8A]V6>V$NT]h4EYR22Z(BPYU[XR>i;DZS53Y)MQZT\YQ>f:G[T40X&LR[S]ZP2g9F\U71W'OS\R^[_2d8A]V6>V$NT]Q_T^62f>C_X8C_X8=T"HV__uqjiwciidooSck{_`9F\U7?W'OS\k5JXQ3;[+C_XVZ_S=h4EYR2X&LR[S]ZP3g9F\U7?W'OS\R^[_5d8A]V60V$NT]Q_T^72f>C_X82T"HV__uqjiwciidooSck{_`9F\U7>W'OS\k5JXQ3:[+C_XVZ_S=h4EYR2=Z(BPYU[XR?i;DZS5W'OS\R^[_5d8A]V61V$NT]Q_T^72f>C_X83T"HV__uqjiwciidooSck{_`9F\U47W'OS\k5JXQ03[+C_XVZ_S=h4EYR14Z(BPYU[XR?i;DZS65Y)MQZT\YQ=f:G[T76X&LR[S]ZP3g9F\U47W'OS\R^[_53a?@^W:9U%IU^Ptrknv`hfelnTbhzPa:G[T77X&LR[j6KWP33\*@^WWY^T8Q!EYR\TQY7n2OS\?;P.DZS[URX9o1NT]<:_/G[TZVSW;l0IU^=5^,F\UYW\V9m7HV_24]-A]VXX]U?j6KWP37\*@^WWY^T9;Q!EYR\TQY7n2OS\?8P.DZS[URX9o1NT]<9_/G[TZVSW;l0IU^=6^,F\UYW\V9m7HV_27]-A]VXX]U?j6KWP34\*@^WWY^T9:Q!EYR\TQY7n2OS\?9P.DZS[URX9o1NT]<8_/G[TZVSW;l0IU^=7^,F\UYW\V9m7HV_26]-A]VXX]U?j6KWP35\*@^WWY^T95Q!EYR\TQY7n2OS\?6P.DZS[URX9o1NT]<7_/G[TZVSW;l0IU^=8^,F\UYW\V9m7HV_29]-A]VXX]U?j6KWP3:\*@^WWY^T94Q!EYR\TQY7n2OS\?7P.DZS[URX9o1NT]<6_/G[TZVSW;l0IU^=9^,F\UYW\V9m7HV_28]-A]VXX]U?=o5JXQ0:[+C_XV~xe`|jn`of`Zhb|V<0IRGMUG58Aaab^hf?7KMCK99EGIM)XHNn7KMCK^KAQC)AKEAm7KMCK^KAQC)AKEA&>6HI3:Em`7=NF:1BB==4IO37?LH68=1BB459JJ4333@D::95FN057?LH60=1BB<7<;HL10>OI:9>0EC<>4:KM672;:4IO040>OI:1>0EC<63:KM71=NF::?7D@<129JJ15JHK>1GCJGLAMa8Idlhz_oydaac:OjjjtQm{ybcc;4N1&LA1=I8-[h7C>!BTDLA+IR\=1E<#J:;O2-@4395A0/S4?K6)\[Y_?6@?169M5!DRN930B<*MUG2-@d=I9-H^J= K1`9M5!DRN9$O>45A1%@VB5(V<2D:(\:4N0,G1>H6&M;>7C?!D368J4(V?2DNXZA]K29LJ@4VFZ]=0\D@AALGb?UOIWK_XEIVm;QKM[GSTFHGN:6^jrhmg<>Vhz}Zjxe;4R@>3:<=UI5:5"]OK6:PB8469i2XJ0<>1.QCG<>TF48;1<384R@>25;gTF4;427_O32?,SEA389QE939&YKO96\N<7<:?WG;>7$[MI;4R@>4:<=UI5=5"]OK5:PB8=8>3[K743 _AE78VD:>601YM171.QCG=>TFW9UDNXHm;SC\4ZIE]O$Oo6\N_1]LFP@)L8i0^LQ?_N@VB+B5981YMR>POCWE*A4XX]U;=<5]A^2\KGSA&M8T\YQ>b:PB[5YHJ\L%]45]A^3\KGSAj2XJS;SC\6ZIE]O$O>R^[_0f8VDY5WFH^J#KWP0g8VDY5WFH^J#KWP^vpmhtbfhgnhR`jt^`8VDY5WFH^J#_6;SC\7ZIE]Oh0^LQ<_N@VB+Bd3[KT?RAMUG,G5f=UIV9TCO[I.E025>TFW:UDNXH!D3]SPZ6692XJS>Q@BTD-@7YW\V;o7_OP3^MAQC(BPY;97_OP3^MAQC(BPYU[XR>>2:PB[6YHJ\L%IU^PPU]25`=UIV9TCO[I.DZS[qune{oem`kk_ogw[g=UIV9TCO[I.P;8VDY3WFH^Jo5]A^6\KGSA&Mi0^LQ;_N@VB+B6k2XJS9Q@BTD-@7763[KT8RAMUG,G6ZVSW9;:7_OP4^MAQC(C:VZ_S:i6\N_4]LFP@)MQZTx~gbrdlbi`bXfl~Tn6\N_4]LFP@)Y01YMR8POCWEf>TFW?UDNXH!Db9QEZ0XGK_M"I?l;SC\2ZIE]O$O>TFW?UDNXH!EYR\TQY49l1YMR8POCWE*@^WW}ybakaalgg[kcsWk1YMR8POCWE*T?;SC\3ZIE]O$O>R^[_0`8VDY0WFH^J#_6;SC\TFW1UDNXH!D3]SPZ6692XJS5Q@BTD-@7YW\V;:=6\N_9]LFP@)L;U[XR<>1:PB[=YHJ\L%H?Q_T^125>TFW1UDNXH!D3]SPZ2692XJS5Q@BTD-@7YW\V?:=6\N_9]LFP@)L;U[XR8m;SC\TFW0UDNXH!D0a8VDY>WFH^J#J=109QEZ?XGK_M"I^ND@DS!UJM 1,2$VRRJ):%=-O\CHK0?]IU>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`ee46Vkh^Vji`b0VH\@a:amp*Gh}}EN56mat.KSH7te3jd#D^C2s,Gg>ei|&C[@?|!D0a8gkr(AYF9~#J=b:amp*OWD;x%]i5lnu-JTI4u&[OLh6mat.NWmhcu494:<6mat.NWmhcu494%OHh4cov,HQojm{6;2#J>0:amp*JSadoy0=0!D033?fhs'E^bah|30?,G6c=df}%GXdcjr=2=*T763jd#AZfmdp?4;(UMN;n7n`{/MVji`t;87UdclrdcwaaYg{Un7n`{/MVji`t;994:=6mat.NWmhcu48:5"NK>0:amp*JSadoy0<>1.E32?fhs'E^bah|311<-@4763jd#AZfmdp?558)L;;=7n`{/MVji`t;994%H?Q_T^222>ei|&F_e`k}<02=*A4XX]U:=;5lnu-OPlkbz5;;2#J=_QV\64624;(V9;1hby!CThofv9776'XNK1:amp*JSadoy0ei|&F_e`k}<03=*A763jd#AZfmdp?548)L8;:7n`{/MVji`t;984%H??9;blw+IRnelx7=<0!D3]SPZ66>2iex"B[ilgq8479&M8T\YQ>179`jq)K\`gn~1?>>/F1[URX:8:0ocz LUknaw:697$Z=?5lnu-OPlkbz5;:2#\JG0d8gkr(D]cfi2>1?]wwlkdzlkiiQwos]f?fhs'E^bah|313<25>ei|&F_e`k}<00=*FC682iex"B[ilgq8449&M;:7n`{/MVji`t;9;4%H;blw+IRnelx7=?0!D335?fhs'E^bah|313<-@7YW\V:::6mat.NWmhcu4885"I<>4cov,HQojm{6:>3 ^139`jq)K\`gn~1?=>/PFC4`26;Ys{`gh~ho{ee]{kwYb3jd#AZfmdp?568692iex"B[ilgq8459&JO:<6mat.NWmhcu4895"I?>;blw+IRnelx7=>0!D032?fhs'E^bah|312<-@7713jd#AZfmdp?568)L;U[XR>>6:amp*JSadoy0<=1.E0\TQY6991hby!CThofv9746'[:>6mat.NWmhcu4895"_KH1g9`jq)K\`gn~1?<>^vpmheumh~nhRv`r^f8gkr(D]cfi2>>028gkr(D]cfi2>>/AFb>ei|&F_e`k}<0<-@462:+B6991hby!CThofv979&M8:96mat.NWmhcu484%H?Q_T^221>ei|&F_e`k}<0<-@7YW\V;:96mat.NWmhcu484%H?Q_T^025>ei|&F_e`k}<0<-A]V5:2iex"B[ilgq848)MQZTx~gbrdlbi`bXfl~Tj6mat.NWmhcu484%]7n`{/MVji`t;:7$O>R^[_136?fhs'E^bah|32?,G6ZVSW8;>7n`{/MVji`t;:7$O>R^[_3d8gkr(D]cfi2=>/S25>ei|&F_e`k}<3<-V@A6m2iex"B[ilgq878X|zcfokntdf\|jtXl2iex"B[ilgq868682iex"B[ilgq868)KLl0ocz LUknaw:46'N:<6mat.NWmhcu4:4%H/F1[URX88?0ocz LUknaw:46'N9S]ZP1078gkr(D]cfi2<>/F1[URX:o1hby!CThofv959&X;:7n`{/MVji`t;;7$YIJ?j;blw+IRnelx7?3Q{sho`v`gsmmUscQk;blw+IRnelx783??;blw+IRnelx783 LEg9`jq)K\`gn~1:1.E33?fhs'E^bah|34?,G5467:+B59<1hby!CThofv929&M8T\YQ?149`jq)K\`gn~1:1.E0\TQY69<1hby!CThofv929&M8T\YQ=f:amp*JSadoy090!Q038gkr(D]cfi2;>/PFC4c7:Zrtadiyilzjd^zlvZb6:466:+EBn2iex"B[ilgq808)L8:0ocz LUknaw:26'N:==5lnu-OPlkbz5?5"I<>5:amp*JSadoy080!D3]SPZ66=2iex"B[ilgq808)L;U[XR?>5:amp*JSadoy080!D3]SPZ4a3jd#AZfmdp?1;(V981hby!CThofv939&[OL=h5lnu-OPlkbz5?5Sy}fmbpfeqccWqeySi5lnu-OPlkbz5<5==5lnu-OPlkbz5<5"NKi;blw+IRnelx7:3 K119`jq)K\`gn~181.E324>ei|&F_e`k}<7<-@7723jd#AZfmdp?2;(C:VZ_S=?:;blw+IRnelx7:3 K2^RW[4723jd#AZfmdp?2;(C:VZ_S?h4cov,HQojm{6=2#_>1:amp*JSadoy0;0!RDE2a>ei|&F_e`k}<7<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<6<24>ei|&F_e`k}<6<-G@`4:+B682iex"B[ilgq828)L8;;7n`{/MVji`t;?7$O><;4cov,HQojm{6<2#J=_QV\4434:+B5WY^T=<;4cov,HQojm{6<2#J=_QV\6474:+C_X;80ocz LUknaw:06'OS\Rz|ilpfjdkblVdnxRh4cov,HQojm{6<2#_>1:amp*JSadoy0:0!RDE2a>ei|&F_e`k}<6<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<9<24>ei|&F_e`k}<9<-G@`;:+B682iex"B[ilgq8=8)L8;;7n`{/MVji`t;07$O><;4cov,HQojm{632#J=_QV\443;:+B5WY^T=<;4cov,HQojm{632#J=_QV\6c=df}%GXdcjr=:=*T763jd#AZfmdp?<;(UMN;n7n`{/MVji`t;07UdclrdcwaaYg{Uo7n`{/MVji`t;17;;7n`{/MVji`t;17$HIk5lnu-OPlkbz535"I??;blw+IRnelx753 K1028gkr(D]cfi26>/F150=df}%GXdcjr=;=*A4XX]U;=85lnu-OPlkbz535"I^vpmheumh~nhRv`r^32?fhs'YEY_RH\M^DE`4743jd#]A]S^DPIZ@Al8$O=95lnu-SKWUXNZGTJKj>.E320>ei|&ZD^^QISL]EBa7)L;;87n`{/QMQWZ@TEVLMh< ^149`jq)WG[YTJ^CPFGf2*WC@:;1hby!_OSQ\BVKXNOn:Sy}fmbpfeqccWqeySei|&ZD^^QISL]EBa4)ZLMj7n`{/SgeV`wa3jd#_kiRds-GIMAk2iex"\jfSgr*Ab1:amp*Rnelx7<3 LLJDf?fhs']cfi2?>/Fe?fhs']cfi2?>/F2b>ei|&^bah|30?,G6`=df}%_e`k}<1<-U462:+EKCOo0ocz Thofv979&Ml0ocz Thofv979&M;m7n`{/Uknaw:66'N9=95lnu-Wmhcu484%H?Q_T^220>ei|&^bah|31?,G6ZVSW8o0ocz Thofv979&X;;7n`{/Uknaw:66'XNKn5lnu-Wmhcu4;4:=6mat.Vji`t;:7$H@FHj;blw+Qojm{692#Ji;blw+Qojm{692#J>f:amp*Rnelx7>3 K2068gkr(\`gn~1<1.E0\TQY79=1hby![ilgq878)L;U[XR?j;blw+Qojm{692#_>0:amp*Rnelx7>3 ]EF3g?fhs']cfi2=>^vpmheumh~nhRv`r^a8gkr(\`gn~1=1109`jq)Sadoy0>0!CMIEa>ei|&^bah|33?,Gb>ei|&^bah|33?,G5c=df}%_e`k}<2<-@7733jd#Ygbes>0:+B5WY^T<<:4cov,Plkbz595"Iei|&^bah|33?,R55=df}%_e`k}<2<-V@A6l2iex"Zfmdp?7;Ys{`gh~ho{ee]{kwYe3jd#YgbesV@55=df}%_e`k}TB,@HN@c3jd#YgbesV@*Ac0ocz nCP\MJD)MQZT\YQ>159`jq)iJ[UBCO JXQ]SPZ46<2iex"`MR^KLF+C_XVZ_S>?;;blw+kDUW@EI"HV__QV\076ei|&dYI^azt/Ff?fhs'gXN_b{{.E3f?fhs'gXN_b{{.E027>ei|&dYI^azt/F1[URX8890ocz nSGPkpr)L;U[XR?k;blw+kTB[f"\h4cov,jWCTg|~%^HIl;bq,jJpbzekr4:ap+kIqm{fju= K2^RW[5733jy$bBxjrmcz4+B5WY^T=<>4cr-mKscudhs;"HV_209`w*hH~lxgmt>!EYR\pvojzldjahjPndv\a>et'gE}ibny1,R55=d{&dDzh|cax2-V@A692ix#cAyesnb}5(U[]L:i6m|/oMuawjfq9UdclrdcwaaYwzenT=i5ls.lLr`tkip:Tx~gbcsgbp`bXpfxTo6m|/oMuawjfq8l0o~!aOwgqhd6&JOn7n} nNtfvig~9'Nm7n} nNtfvig~9'N:j6m|/oMuawjfq8$O>h5ls.lLr`tkip;%]<>4cr-mKscudhs:"_KH4:ggc`>5isc-Qadb~8{$O>R^[_130?cue'[ojht>}.E0\TQY69:1mo!]e`fz4w(C:VZ_S?j4fr`,V`gcq9x%]k5isc-Qadb~8{$YIJl4fr`,V`gcq8xo7k}m/Sgb`|7u&Mo0j~l Rdcg}4t)L8o0j~l Rdcg}4t)L;;87k}m/Sgb`|7u&M8T\YQ?129ewg)Umhnr= K2^RW[4743oyi#_kndx3q*A4XX]U9=>5isc-Qadb~9{$O>R^[_230?cue'[ojht?}.E0\TQY3n2lxn"\jae{2v+C_X;:0j~l Rdcg}4t)MQZTx~gbrdlbi`bXfl~Th6h|b.Pfea6z'[m7k}m/Sgb`|7u&[OL;6h|b.TSE<=a{k%]\L Ka:dpf*PWI'N:m6h|b.TSE+B5n2lxn"X_A/F1[URX8o1mo!YP@,G6ZVSW8l0j~l VQC-@7YW\V8m7k}m/WRB*A4XX]U8j6h|b.TSE+B5WY^T8k5isc-UTD(C:VZ_S8h4fr`,RUG)L;U[XR86;gqa+SVF&Xh0j~l VQC-V@Af3oyi#cJ[ABI`?cue'gN_MNE!De9ewg)iL]KHG#J>d:dpf*hC\HI@"I<>2:dpf*hC\HI@"I`tj&dOXLMD.DZS[URX8890j~l nEVBGN(BPYU[XR?>f:dpf*hC\HI@"HV__uqjiwciidooSck{_b9ewg)iL]KHG#_j;gqa+kBSIJA%^HIj;gqa+kBSIJA%YDC6;hmai*GKM9h0eblb/@NF4+Bd3`eia"OCE1,G5f=ngkg$MAK?.E025>ohjd%J@H>!D3]SPZ6692cdn`!NLD2-@7YW\V;i7damm.COA5(Vl2cdn`!NLD2-V@Ae3`eia"LJRSpe`>ohjd%II_\}f/Ff?liee&HN^_|i.E3f?liee&HN^_|i.E0g?liee&HN^_|i.Pd8mjdj'KOY^h!RDEa?liee&HN^_|}d:klfh)EM[Xy~#Jj;hmai*DBZ[xy"I?j;hmai*DBZ[xy"I0:klfh)C\HI@~k ]EFa8mjdj'M^JOF|}e:klfh)C\HI@~ Kf:klfh)C\HI@~ K1g9jkgk(L]KHG|!D3g8mjdj'M^JOF|}.P33?liee&N_MNE}r/PFCg=ngkg$Hb{{ODf8mjdj'Me~xBK!Dd9jkgk(LfCH K1d9jkgk(LfCH K2018mjdj'Me~xBK!D3]SPZ66;2cdn`!KotvLA+B5WY^T=i5foco,@jssGL$Zj6g`bl-GkprHM'XNKl5foco,AV:76j1bcoc ER>3:+Bc3`eia"K\<1<-@4b2:klfh)B[5:5"Iohjd%N_1>1.Pg8mjdj'LY7<3 ]EF3a?liee&OX0=0Ptrkngwcf|lnTtb|Pa:klfh)B[5;5o6g`bl-FW979&Mn0eblb/DQ?5;(C9m1bcoc ER>2:+B59;1bcoc ER>2:+B5WY^T<<<4in`n+@U;97$O>R^[_031?liee&OX0<0!D3]SPZ4d3`eia"K\<0<-U`=ngkg$I^2>>/PFCd=ngkg$I^2=>b9jkgk(MZ692#Jk;hmai*CT4;4%H<<4in`n+@U;:7$O>R^[_131?liee&OX0?0!D3]SPZ76:2cdn`!JS=0=*A4XX]U9=?5foco,AV:56'N9S]ZP3008mjdj'LY7>3 K2^RW[1eohjd%N_1<1.SGDe>ohjd%N_1=1c:klfh)B[595"Ij4in`n+@U;;7$O=i5foco,AV:46'N9=?5foco,AV:46'N9S]ZP0008mjdj'LY7?3 K2^RW[4753`eia"K\<2<-@7YW\V8h7damm.GP868)Yl1bcoc ER>0:+TBO8h0eblb/DQ?7;Ys{`gh~ho{ee]{kwYf3`eia"K\<5<`?liee&OX090!De9jkgk(MZ6?2#J>d:klfh)B[5>5"I<>2:klfh)B[5>5"I/F1[URX9880eblb/DQ?0;(C:VZ_S??=;hmai*CT4=4%H?Q_T^1`?liee&OX090!Qd9jkgk(MZ6?2#\JG0`8mjdj'LY783Q{sho`v`gsmmUscQn;hmai*CSIJAh7damm.GWEFM)Lm1bcoc EUC@O+B6l2cdn`!JT@AH*A4d3`eia"K[ABI-U`=ngkg$IYOLK/PFC2=ngkg$I74in`n+@t)Lh1bcoc Es,G5d=ngkg$I K289jkgk(M{$Zn6g`bl-Fv+TBO11bcoc Es0b?liee&Oy>#Jm;hmai*Cu:'N:n6g`bl-Fv7(C:h1bcoc Es0-Uf=ngkg$I6g`bl-JKDES&M8T\YQ?139jkgk(AFKHX#J=_QV\5442:klfh)NGHI_"Iohjd%BCOK]R/Ff?liee&CDNH\].E3f?liee&CDNH\].E027>ohjd%BCOK]R/F1[URX8890eblb/HMAAWT)L;U[XR?>3:klfh)NGKOY^#J=_QV\6455foco,MJUXNZGTJKj>.E37?liee&CD_RH\M^DE`4(C98>0eblb/HMP[CUJWOLo=#J=189jkgk(AFYTJ^CPFGf2*A4XX]U;=45foco,MJUXNZGTJKj>.E0\TQY69:1bcoc INQ\BVKXNOn:"\?:;hmai*OH[VLXARHId0,QAB763`eia"G@S^DPIZ@Al;;87damm.KLWZ@TEVLMh? K159jkgk(AFYTJ^CPFGf1*A76<2cdn`!FOR]EWHYANm8%H??6;hmai*OH[VLXARHId3,G6ZVSW9;27damm.KLWZ@TEVLMh? K2^RW[47>3`eia"G@S^DPIZ@Al;$O>R^[_33:?liee&CD_RH\M^DE`7(C:VZ_S>?6;hmai*OH[VLXARHId3,G6ZVSW=;87damm.KLWZ@TEVLMh? ^149jkgk(AFYTJ^CPFGf1*WC@:;1bcoc INQ\BVKXNOn9Sy}fmbpfeqccWqeyS5:klfh)NGZUM_@QIFe1-V@Af3`eia"\JSsd`?liee&XN_h!De9jkgk(ZLYyj#J>d:klfh)UMZxm"Iohjd%eM^azt/Ff?liee&dJ_b{{.E3f?liee&dJ_b{{.E027>ohjd%eM^azt/F1[URX8890eblb/oCPkpr)L;U[XR?k;hmai*hF[f"\h4in`n+kGTg|~%^HI>0:klfh)iIZe~x#Z]SU`8mjdj'gNbbAKk;hmai*hCagFN"Ik4in`n+kBnfEO%H;hmai*hKLZ$O>R^[_032?liee&dGH^ K2^RW[7d;hmai*hRLZ$O>R^[_032?liee&d^H^ K2^RW[7dd:klfv)Ddlky=#Jj;hmaw*Ekmhx:"I?j;hmaw*Ekmhx:"I.Pd8mjdt'Jfnm?!RDEa?lie{&CDMNZ}d:klfv)NGHI_~#Jj;hmaw*OHIJ^y"I?j;hmaw*OHIJ^y"Ic:klfv)NGE;%OHl4in`p+LIK9'Nh7dams.KLH4(C9j1bco} INN2*A4e3`ei"G@L0,R`>ohjz%BCA?!RDEb?lie{&CD^H_l;hmaw*OHZL[%Hi5focq,MJTBY'N:h6g`br-JKWCV&M8:>6g`br-JKWCV&M8T\YQ?139jkgu(AFXN]#J=_QV\5443:klfv)NG[OZ"HV__QV\445ohjz%BC_Y?.E026>ohjz%BC_Y?.E0\TQY79;1bco} INPT4+B5WY^T=<<4in`p+LIU_9$O>R^[_331?lie{&CD^Z>!D3]SPZ56:2cdn~!FOSU3*A4XX]U?=?5focq,MJTP8'N9S]ZP5008mjdt'@EY[= K2^RW[3eohjz%BC_Y?.SGDe>ohjz%BC_Y>c:klfv)NG[]:"Ij4in`p+LIU_8$O=i5focq,MJTP9'N9=?5focq,MJTP9'N9S]ZP0008mjdt'@EY[< K2^RW[4753`ei"G@RV3-@7YW\V8:>6g`br-JKWQ6&M8T\YQ<139jkgu(AFX\=#J=_QV\044R^[_137?lie{&CD^hoky/F1[URX98>0ebl|/HMQadb~&M8T\YQ=159jkgu(AFXnmiw!D3]SPZ5b3`ei"G@Rdcg}+W682cdn~!FOSgb`|(UMN;o7dams.KLV`gcqV~xe`m}e`vf`Z~hzV30ebl|/HMV4f=ngky$EB[?.BGa?lie{&CDY= Kc:klfv)NG\:%Hohjz%BCX>!Qe9jkgu(AF_;"_KH9:klfv)NG\;h7dams.KLQ4(DMk1bco} INW2*Aeohjz%BCX?!D3`8mjdt'@E^=#_k;hmaw*OH]8$YIJo4in`p+Iifl8i0ebl|/Mmb`4(Cl2cdn~!Co`f2*A7c3`ei"B`ae3-@7753`ei"B`ae3-@7YW\V::>6g`br-Okdb6&M8T\YQ>139jkgu(Dfko=#J=_QV\6442:klfv)Kghn:"Ij1bco} Lncg5+Wb3`ei"B`ae3-V@A>3`ei"Aacd`8mjdt'Fdhi#Jl;hmaw*Iikl$O=n5focq,Kkeb&M8:=6g`br-Ljfc)L;U[XR>>1:klfv)Hfjo%H?Q_T^325>ohjz%Dbnk!D3]SPZ4692cdn~!@nbg-@7YW\V9:=6g`br-Ljfc)L;U[XR:>1:klfv)Hfjo%H?Q_T^725>ohjz%Dbnk!D3]SPZ0e3`ei"Aacd,R`>ohjz%Dbnk!RDE2e>ohjz%DbnkPtrkngwcf|lnTtb|P119jkgu(XZUM_@QIFe326>ohjz%[_RH\M^DE`4(C9:1bco} PR]EWHYANm;%H>8:klfv)W[VLXARHId0,G6ZVSW8;?7dams.RP[CUJWOLo=#KWP378mjdt'YYTJ^CPFGf2*@^WW}ybakaalgg[kcsW880ebl|/QQ\BVKXNOn:"\?;;hmaw*VTWOYFSKHk1/PFC46ohjz%[_RH\M^DE`7(C:820ebl|/QQ\BVKXNOn9"I8:klfv)W[VLXARHId3,G6ZVSW;;37dams.RP[CUJWOLo>#J=_QV\7421:klfv)iJLXYSK]M.E325>ohjz%eNH\]_GQA*A46>2cdn~!aBDPQ[CUE&M8T\YQ?179jkgu(fKOY^RH\B/F1[URX9880ebl|/o@FVWYA[K$NT]?8;hmaw*hEM[XTJ^L!EYR\TQY79>1bco} nCGQVZ@TJ'OS\R^[_034?lie{&dII_\PFR@-A]VXX]U9=:5focq,jGCUZVLXN#KWP^RW[6443`ei"`MESP\BVD)MQZTx~gbrdlbi`bXfl~T==5focq,jGCUZVLXN#_>2:klfv)iJLXYSK]M.SGD57=ngky$bOK]R^DPF+SNE8l0ebl|/o@FVWYA[KUdclrdcwaaYg{U27cOKotvOA5b4n@FlqqJB9VEIYK K109mEAir|EO:SBLZF/F254=iIMe~xAK>_N@VB+B59?1eMIaztMG2[JDRN'N9S]ZP0048jDBh}}FN=RAMUG,G6ZVSW8;;7cOKotvOA4YHJ\L%]<<4n@FlqqJB9VEIYK ]EF58jDUXNZHi7cO\_GQA*UGCk2dJ_RH\B^KAQC11eN_QFOC`8jGTXAFH%\LJ;;oABW==iKHY%\LJn;oFWEFMXNZHn7cJ[ABI\BVD)XHNj7cJ[ABI\MJDb3gN_MNEPIN@-TDBa3gN_MNEPIN@\MGSA>2dOecBJa:lGmkJB&YKO:6`KioMFe>hCagEN"]OKb:lGmkIBWFH^Ji5aDhlLAZIE]O$Oi6`KioMF[JDRN'N:i6`KioMF[JDRN'N9=>5aDhlLAZIE]O$O>R^[_130?kBnfFOTCO[I.E0\TQY69:1eHd`@E^MAQC(C:VZ_S?j4nEkmK@YHJ\L%]:5aDnwwK@dhHMVEIYK K1c9mK@YHJ\L%H?o4nNG\KGSA&X=0b_OBLVGa?kTFEE]N"]OKc:lQEHJPMVEIYKk4nSCNHRCXGK_M"Ih4nSCNHRCXGK_M"I?i;oPBIIQBWFH^J#J=e:lQEHJPMVEIYK ^7:lQEHSPMk1e^LCZWD,SEAehUID_\IRAMUG,Gb>hUID_\IRAMUG,G5c=iZHG^[HQ@BTD-@7773gXJAXYJ_N@VB+C_X8?0b_OBUVG\KGSA&LR[S]ZP0078jWGJ]^OTCO[I.DZS[URX98?0b_OBUVG\KGSA&LR[S]ZP2078jWGJ]^OTCO[I.DZS[URX;8?0b_OBUVG\KGSA&LR[S]ZP4338jWGJ]^OTCO[I.DZS[qune{oem`kk_ogw[`=iZHG^[HQ@BTD-U1=iZHY37c\NS/RB@<=iZHYTCO[Ib:lQEVYHJ\L%Hn5aR@Q\KGSA&M;h7c\NS^MAQC(C:8;0b_O\_N@VB+B5WY^T<hUGDIXSBLZF/G[TZVSW9;?7c\@MBQ\KGSA&LR[S]ZP1068jWIJKZUDNXH!EYR\TQY59=1e^BCLS^MAQC(BPYU[XR=>4:lQKHETWFH^J#KWP^RW[1473gXDAN]POCWE*@^WW}ybakaalgg[kcsWm1e^BCLS^MAQC(V>2dYC@YJa:lQKHQB&YKOn6`]OLUF[JDRNm1e^BCXE^MAQC(Cm2dYC@YJ_N@VB+B6m2dYC@YJ_N@VB+B5n2dYC@YJ_N@VB+C_X8>0b_ABWD]LFP@)MQZT\YQ?159mVJKPMVEIYK JXQ]SPZ7582dYC@YJ_N@VB+C_XV~xe`|jn`of`Zhb|Vn0b_ABWD]LFP@)Y11eYI]PFR@`?kSC[VLXN#^NDe9mQAUXNZHTEO[I8:lV@VYNGKi0bXJ\_HMA*UGC02d]ALQFOCa8jSKFW@EI"]OK8:lUTDYA[Ki0b[^N_GQA*UGCl2d]\LQISC]LFP@a3g\[MRH\B^MAQC(C991eZ]OPFR@\KGSA&M;:<6`YP@]EWGYHJ\L%H?h4nWRB[CUEWFH^J#_7;oTSEZOHJj1eZ]OPIN@-TDBc3g\[MRG@B^KAQC10blcj7:lfc`b`m>1{c~kkgd;8vdk(JHI_~o5}al-AEFRu&Mi0~lc B@AWv+B6k2xja"LNCUp-@7b2:pbi*DFK]x%IU^PPU]157=uid%IMNZ}.DZS[URX;8o0~lc B@AWv+C_XV~xe`|jn`of`Zhb|Vh0~lc B@AWv+Wc3{kf#OOLTs,QAB?;scn+WGJMG$O>R^[_032?wgj'[KFIC K2^RW[7763{kf#_OBEO,G6ZVSW:;:7ob/SCNAK(C:VZ_S9?>;scn+WGJMG$O>R^[_4`8vdk(ZHGNB#_k;scn+WGJMG$YIJm4r`o,VDKUmhnri6|nm.PBIWcflp$Oj6|nm.PBIWcflp$O=k5}al-QEHTbims%H??;;scn+WGJZlkou#J=_QV\442tfe&XJA_kndx,G6ZVSW:;;7ob/SCNV`gcq'OS\<;4r`o,VDKUmhnr"HV__QV\443tfe&XJ_HBm;scn+WGTME$Oo6|nm.PBW@J)L8i0~lc R@QFH+B5981ym`!]ARGO*A4XX]U;=<5}al-QEVCK&M8T\YQ>109qeh)UIZOG"Itfe&XJ_HB!Qe9qeh)UIZOG"_KHf:pbi*TTWOYFSKHk1038vdk(ZZUM_@QIFe3-@447:pbi*TTWOYFSKHk1/F1[URX88=0~lc RR]EWHYANm;%H?Q_T^323>tfe&XXSK]B_GDg5+B5WY^T><94r`o,VVYA[DUMJi?!D3]SPZ56;2xja"\\_GQN[C@c9'OS\<64r`o,VVYA[DUMJi?!EYR\TQY7911ym`!]S^DPIZ@Al8$NT]Q_T^310>tfe&XXSK]B_GDg5+C_XV~xe`|jn`of`Zhb|V;:7ob/SQ\BVKXNOn:"\?<;scn+WUXNZGTJKj>.SGDb>tfe&XXSK]B_GDg647tfe&XXSK]B_GDg6+B5WY^T<<94r`o,VVYA[DUMJi#J=_QV\0411:pbi*TTWOYFSKHk2/S27>tfe&XXSK]B_GDg6+TBOo1ym`!]S^DPIZ@Al:;:7ob/SQ\BVKXNOn8"I?=;scn+WUXNZGTJKj<.E326>tfe&XXSK]B_GDg7+B59>1ym`!]S^DPIZ@Al:$O>R^[_134?wgj'[YTJ^CPFGf0*A4XX]U:=:5}al-QWZ@TEVLMh> K2^RW[7743{kf#_]PFRO\BCb4&LR[=55}al-QWZ@TEVLMh> JXQ]SPZ6602xja"\\_GQN[C@c;'OS\R^[_03;?wgj'[YTJ^CPFGf0*@^WWY^T>?:4r`o,VVYA[DUMJi=!EYR\pvojzldjahjPndv\54=uid%Y_RH\M^DE`6(V9:1ym`!]S^DPIZ@Al:$YIJ<=4r`o,V``Cggo%H?Q_T^227>tfe&XnjIaae/F1[URX9o1ym`!]egFlj`(BPY;?7ob/Sge@jhb&LR[S]ZP0068vdk(ZllOcck!EYR\TQY6:91ym`!]egFlj`(BPYUdc}eocnaaYim}Uo7ob/Sge@jhb&Xl0~lc RddGkkc)ZLMj7ob/SgeVDUd3{kf#_kiR@Q-@a=uid%Yik\NS/F2`>tfe&Xnj_O\.E0`?wgj'[om^L]!Qd9qeh)UmoXJ_#\JG`9qeh)UmoXn}n5}al-QacTby'No7ob/SgeV`w)L8n0~lc RddQat(C:j1ym`!]egPfu+Wb3{kf#_kiRds-V@Ab3{kf#_kiRdsP}ke682xja"\jfSgrW|hd&M;:7ob/SgeV`wTqgi%H;scn+WcaZl{Xucm!D333?wgj'[om^h\yoa-U444r`o,V``Rznoex#J=f:pbi*Tbn\xlicz!Q038vdk(Zll^~jkat/PFC474:pbi*Tbo\xlicz\yoa-@4733{kf#_khUsefjqU~fj$O><=4r`o,V`aRznoex^wac/S21>tfe&XnkX|heovP}ke)ZLM37ob/oABWd=uid%eOL]!Dc9qeh)iKHY%H:m0c79f5d?sg89>7?4n300>3=#:8h1><74}Ra4>77?290:?9l?b48a4g?6=4>:0yPg=<5910;6<=;b1`6>g6e02|_9i4?:082>1c>sZi36??7:18271d7j<0i7}r9>;1=6{>7383?x"59;0:;=5+19`9641v<=:049yl>e290/=5k57`9m5=b=m21b444?:%3;a?1f3g;3h7h4;h4;>5<#91o1;l5a19f9<>=n>?0;6)?7e;5b?k7?l3307d8;:18'5=c=?h1e=5j5a:9j27<72-;3i79n;o3;`?d<3`<;6=4+19g93d=i91n1o65f5d83>!7?m3=j7c?7d;f8?l1e290/=5k57`9m5=b=821b;54?:%3;a?1f3g;3h7?4;h55>5<#91o1;l5a19f96>=n?=0;6)?7e;5b?k7?l3907d9?:18'5=c=?h1e=5j54:9j2`<72-;3i79n;o3;`?3<3`!7?m3=j7c?7d;58?ld>2900e8l9:188mf7=831b=9850;9j17c=831b98h50;9j1d3=831b9>j50;9jga<722c>9n4?::k603<722c>n>4?::k46?6=,82n6:o4n0:g>40<3`=o6=4+19g93d=i91n1=854i6d94?"60l08e820>=n080;6)?7e;5b?k7?l3;876g73;29 4>b2>k0b<6k:008?l>2290/=5k57`9m5=b=9810e5950;&2<`<0i2d:4i4>0:9l1dg=831d9;<50;9l1d>=831d9>?50;9l112=831d9>l50;9l116=831d=4?50;&2<`<61<1e=5j5b:9l5<>=83.:4h4>949m5=b=i21d=4o50;&2<`<61<1e=5j59:9l5949m5=b=021d=4k50;&2<`<61<1e=5j57:9l5d6=83.:4h4>949m5=b=>21d=l<50;&2<`<61<1e=5j55:9l5d2=83.:4h4>949m5=b=<21d=l850;&2<`<61<1e=5j53:9l5d>=83.:4h4>949m5=b=:21d98;50;9l132=831d94o50;9l1de=831d99750;9l14b=831d99l50;9l1=6=831d99<50;9(1=g=831d=4850;&2<`<61<1e=5j50:9l5<5=83.:4h4>949m5=b=921d9?:50;9l165=831d9;l50;9l124=831d95m50;9lfc<722eih7>5;c:`>5<6290;w)<>d;3;e>N5981b=5o50;&15a<60h1/=;h5429'5=e=<:10qol9:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol5c:&6fd4<729q/>5$33g>4>f3-;=j7=j;%3;g?5b32win84?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7m4$4`b>f=zutw0qo86:182>5<7s-8:h7?7a:J154=n91k1<7*=1e821:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1o6*:b`8`?x{zu2wi::4?:083>5}#:8n1=5o4H332?l7?i3:1(??k:0:b?!71n3;>7)?7c;36?>{e180;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3i0(8ln:b9~yx{6=4>:183!46l3;3m6F=109j5=g=83.9=i4>8`9'53`=9=1/=5m51598yg?729096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=k2.>nl4l;|~y>{e>:0;6<4?:1y'64b=91k0D??>;h3;e?6=,;;o6<6n;%35b?743-;3o7?<;:af;3xH74628q]on4={%3:4?7?12\:884={%7af?e<,54}c:f>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9g>"2jh0h7psr}:a1c<7280;6=u+20f95=g<@;;:7d?7a;29 77c282j7)?9f;6a?!7?k3>i76sm8e83>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;a8 0df2j1vqps4}c5`>5<6290;w)<>d;3;e>N5981b=5o50;&15a<60h1/=;h5229'5=e=::10qooj:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol5c:&6fd4<729q/>5$33g>4>f3-;=j7?i;%3;g?7a32wimi4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7m4$4`b>f=zutw0qo98:182>5<7s-8:h7?7a:J154=n91k1<7*=1e826=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1o6*:b`8`?x{zu2wi;84?:083>5}#:8n1=5o4H332?l7?i3:1(??k:0:b?!71n3;h7)?7c;3`?>{ei=0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3i0(8ln:b9~yx{:183!46l3;3m6F=109j5=g=83.9=i4>8`9'53`=9k1/=5m51c98yg?129096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=k2.>nl4l;|~y>{e>o0;6<4?:1y'64b=91k0D??>;h3;e?6=,;;o6<6n;%35b?7f3-;3o7?n;:a=0<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?e<,5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9g>"2jh0h7psr}:a2g<7280;6=u+20f95=g<@;;:7d?7a;29 77c282j7)?9f;3;?!7?k3;376sm9283>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;a8 0df2j1vqps4}c`b>5<6290;w)<>d;3;e>N5981b=5o50;&15a<60h1/=;h5219'5=e=:910qolm:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol57:&6fd<03twvq6smbb83>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3A8:o6X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<03-?im794}|~?xd2j>0;6<4?:1y'64b=91k0D??>;h3;e?6=,;;o6<6n;%35b?403-;3o7<8;:a1g>=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg50z&15a<60h1C>5<#:8n1=5o4$04e>77<,82h6??4;|``7?6=:3:1N5981b=9m50;&15a<64>>3_;?97f=#=kk1o6sr}|9~ff2=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356F=1b9U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1;6*:b`84?x{zu2wi=9950;394?6|,;;o6<6n;I025>o60h0;6)<>d;3;e>"6>o0996*>8b811>=zj8>36=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1;6*:b`84?x{zu2wi=9750;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>N59j1]>>6?u+5c`93>"2jh0<7psr}:a17`=83;1<7>t$33g>4>f3A8:=6g>8`83>!46l3;3m6*>6g81e>"60j09m65rb413>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9g>"2jh0h7psr}:a52d=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg90;6<4?:1y'64b=91k0D??>;h3;e?6=,;;o6<6n;%35b?4>3-;3o7<6;:a137=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg;h3;e?6=,;;o6<6n;%35b?4e3-;3o7t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg;h3;e?6=,;;o6<6n;%35b?203-;3o7:8;:a16`=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg50z&15a<60h1C>5<#:8n1=5o4$04e>72<,82h6?:4;|`201<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?e<,50z&15a<60h1C>5<#:8n1=5o4$04e>00<,82h6884;|`61`<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?e<,50z&15a<60h1C>5<#:8n1=5o4$04e>1?<,82h6974;|`60=<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?e<,50z&15a<60h1C>5<#:8n1=5o4$04e>1><,82h6964;|`6f0<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?e<,5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9g>"2jh0h7psr}:a3`<7280;6=u+20f95=g<@;;:7d?7a;29 77c282j7)?9f;7:?!7?k3?276smag83>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;a8 0df2j1vqps4}c:3>5<6290;w)<>d;3;e>N5981b=5o50;&15a<60h1/=;h55`9'5=e==h10qol?:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol5c:&6fd4<729q/>5$33g>4>f3-;=j7;m;%3;g?3e32win<4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7m4$4`b>f=zutw0qo6;:182>5<7s-8:h7?7a:J154=n91k1<7*=1e821:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1o6*:b`8`?x{zu2wi4;4?:083>5}#:8n1=5o4H332?l7?i3:1(??k:0:b?!71n3837)?7c;0;?>{ej:0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3i0(8ln:b9~yx{:183!46l3;3m6F=109j5=g=83.9=i4>8`9'53`=;91/=5m53198ygd329096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=k2.>nl4l;|~y>{e=hh1<7?50;2x 77c282j7E<>1:m22.:4n4;6:9~f0gf29086=4?{%02`?47n2B9=<5f15a94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=i0Z<:::3y'1gd=i2.>nl4n;|~y>od13:1(??k:b;8R77a28qG>??51zT`g?4|,83;6n74V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`626<7280;6=u+20f95=g<@;;:7b?7a;29 77c282j7)?9f;6b?!7?k3>j76sm57094?5=83:p(??k:32e?M4692c:8n4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820f=Q9=?1>v*:bc8b?!3ei3k0qpsr;ha:>5<#:8n1o45Y20d95~J5:80:w[ml:3y'5<6=k01]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;n9;295?6=8r.9=i4>8`9K6471e<3th>m54?:283>5}#:8n1>=h4H332?l73k3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;c:T200<5s-?in7o4$4`b>d=zutw0en750;&15a{M015?7|^ji1>v*>918`=>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj<996=4>:183!46l3;3m6F=109l5=g=83.9=i4>8`9'53`=50z&15a<58o1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>h7[?;5;0x 0de2h1/9oo5a:~yx=nk00;6)<>d;a:?S46n3;p@?<>:0yUgf<5s-;2<7m6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a113=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g812>"60j09:65rb467>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a16e=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g870>"60j0?865rb41a>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a117=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g871>"60j0?965rb463>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5<4=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g80<>"60j08465rb0;2>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g802>"60j08:65rb0;;>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g800>"60j08865rb0;b>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g806>"60j08>65rb0;`>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5<`=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g805>"60j08=65rb0;f>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5d7=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g807>"60j08?65rb0c3>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5d5=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g801>"60j08965rb0c1>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5d3=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g803>"60j08;65rb0c7>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5d1=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g80=>"60j08565rb0c5>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5d?=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g80f>"60j08n65rb0c;>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a100=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g866>"60j0>>65rb476>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a133=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g81b>"60j09j65rb447>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a1t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g81g>"60j09o65rb4;b>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a1db=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g863>"60j0>;65rb4c`>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a11g=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g864>"60j0><65rb46:>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a14c=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g87b>"60j0?j65rb43g>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a11e=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g860>"60j0>865rb46a>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a1=7=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g874>"60j0?<65rb4:3>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a115=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g81a>"60j09i65rb461>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a1=d=83;1<7>t$33g>4>f3A8:=6%>8`83>!46l3;3m6*>6g861>"60j0>965rb4:b>5<4290;w)<>d;03b>N5981b=9m50;&15a<6>6?u+5c`9e>"2jh0j7psr}:k`=?6=,;;o6n74V33e>4}K:;;1=vXlc;0x 4?72j30Z<:::3y'1gd=92.>nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?79683>4<729q/>5$33g>4>f3-;=j7=i;%3;g?5a32wi=4850;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g9583>4<729q/>5$33g>4>f3-;=j7=n;%3;g?5f32wi=4=50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g4<729q/>5$33g>4>f3-;=j7:j;%3;g?2b32wi9?:50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g4<729q/>5$33g>4>f3-;=j7;>;%3;g?3632wi9>=50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g4<729q/>5$33g>4>f3-;=j7:>;%3;g?2632wi9;l50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g4<729q/>5$33g>4>f3-;=j7:=;%3;g?2532wi9:<50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g4<729q/>5$33g>4>f3-;=j7i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g8`9K647m4$0:`>6e<3thij7>52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vnok50;394?6|,;;o6<6n;I025>i60h0;6)<>d;3;e>"6>o08h6*>8b80`>=zjkn1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0j7);ma;c8yx{z3th?:84?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:93;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a030=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3>o0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{ej7E<>1:&22a<692c:8n4?:%02`?73k2\9=k4>{M015?7|^8>6?u+5c`95>"2jh0:7psr}:k`1?6=,;;o6n;4V33e>4}K:;;1=vX>6d81!7>83i>7[?;5;0x 0de281/9oo51:~yx=nk?0;6)<>d;a5?S46n38p@?<>:0yU53c=:r.:5=4l6:T200<5s-?in7=4$4`b>6=zutF9>=4;{W03a?5|^jk1>v*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91gdv*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91ggv*:b88`2>"4;m0>no5rVb`96~"2j00h96*<3e86fg=z,?>36?5rV0:e>7}Qkh09w);m9;a5?!54l3?in6s+65:955=zut1b>=j50;&15a<58m1]>76c3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;h6*<3e86fg=z,?>36k5r}|9j65e=83.9=i4=0b9U64`=:rF9><4>{W35a?4|,83;6?>l;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03g>"4;m0>no5r$76;>c=zut1d=5750;&15a<60010qo?;b;295?6=8r.9=i4=259K6474?:083>5}#:8n1=594H332?j7?13:1(??k:0::?>{e1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=<36=4>:183!46l38986F=109l5=?=83.9=i4>8898yg21i3:1;7>50z&15a<2k2B9=<5f15f94?"59m0:8n5G20a8R77a28qG>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm47`94?76290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{0Z<:::3y'1gd=m2.>nl4j;|~y>o6>>0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5e:&6fd6883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>01]=9;52z&6fg:0yUgf<5s-;2<7?9a:T200<5s-?in7k4$4`b>`=zutw0e<8m:18'64b=9=i0Z??i:0yO677=9r\ho740e3_;?97`=#=kk1i6sr}|9j53e=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;m4V066>7}#=kh1=6*:b`82?x{zu2c:8k4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820c=Q9=?1>v*:bc82?!3ei3;0qpsr;h364?6=,;;o6<:l;W02b?7|D;8:683;><6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6=:0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>529U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?72<2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn986:180>5<7s-8:h7?78:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:9e;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?971=#=kk186sr}|9j51c=83.9=i4>4b9K64e<^;;m6nl4>;|~y>{e<=h1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?8n4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:;d;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9:i:182>5<7s-8:h7<=4:J154=h9131<7*=1e82<<=>=7>54;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=m2.>nl4j;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9;<:186>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4i047>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f137290?6=4?{%02`?7?02B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9;9:180>5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`90>"2jh0?7psr}:k20`<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol54:&6fd<33twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3=>0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{>47>52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9;6:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm47394?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg22i3:1?7>50z&15a<59h1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi88l50;394?6|,;;o6?<;;I025>i6000;6)<>d;3;=>=zj=?o6=4;:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f13b290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi88h50;794?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a00e=83>1<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi8;<50;194?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc87?!3ei3>0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4;;%7ae?2f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=926=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi8>o50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f15e29086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`77`<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9=i:180>5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c60`?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`707<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3>0(8ln:59~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?2<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm22194?5=83:p(??k:33b?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3i0(8ln:b9~yx{5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?5<7s-8:h7<=4:J154=h9131<7*=1e82<<=54;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6nl4j;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;?n6=4<:183!46l38:m6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8`?!3ei3i0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{h7>56;294~"59m0:8l5G2038 40c28;0e<:l:18'64b=9=i0Z??i:0yO677=9r\::h4={%3:4?73k2\:884={%7af?7<,7}#90:1o85Y15796~"2jk0:7);ma;38yx{z3`i=6=4+20f9g3=Q:8l1>vB=2082S71m38p(<7?:b48R4222;q/9ol53:&6fd<43twv@?f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,0de3t.=854m;|T2f;0xH74628q]=;k52z&2=5<58m1]=9;52z&6fg7}#=k31>=j4$21g>0de3t.=854i;|~?l47k3:1(??k:32`?S46n38p@?<>:0yU53c=:r.:5=4=0b9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965e<,:9o68lm;|&50={M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<6290;w)<>d;010>N5981d=5750;&15a<60010qo<:a;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo<:b;2954<729q/>o6=4+20f951e<^;;m6nl4j;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?c<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`9a>"2jh0n7psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk0n7);ma;g8yx{z3`;=m7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35e>P6<<09w);mb;g8 0df2l1vqps4i04a>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728517a8R4222;q/9ol5e:&6fd4g83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:0:T200<5s-?in7k4$4`b>`=zutw0e<;>:18'64b=9=i0Z??i:0yO677=9r\ho74363_;?97`=#=kk1i6sr}|9j504=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8<4V066>7}#=kh1=6*:b`82?x{zu2c:9>4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918216=Q9=?1>v*:bc82?!3ei3;0qpsr;h360?6=,;;o6<:l;W02b?7|D;8:683;>86X>4481!3ej3;0(8ln:09~yx{5<4290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c062?6=;3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c06b?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f70029096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=92.>nl4>;|~y>{e:?k1<7850;2x 77c28>j7E<>1:&22a<692c:8n4?:%02`?73k2\9=k4>{M015?7|^8>6?u+5c`95>"2jh0:7psr}:k`1?6=,;;o6n;4V33e>4}K:;;1=vX>6d81!7>83i>7[?;5;0x 0de281/9oo51:~yx=nk?0;6)<>d;a5?S46n38p@?<>:0yU53c=:r.:5=4l6:T200<5s-?in7=4$4`b>6=zutF9>=4;{W03a?5|^jk1>v*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91gdv*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91ggv*:b88`2>"4;m0>no5rVb`96~"2j00h96*<3e86fg=z,?>36o5rV0:e>7}Qkh09w);m9;a5?!54l3?in6s+65:955=zut1b>=j50;&15a<58m1]>76c3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;h6*<3e86fg=z,?>36k5r}|9j65e=83.9=i4=0b9U64`=:rF9><4>{W35a?4|,83;6?>l;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03g>"4;m0>no5r$76;>c=zut1d=5750;&15a<60010qo<91;297?6=8r.9=i4=1`9K647o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a634=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb347>5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9:;4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9:>4?:583>5}#:8n1=564H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`12=<7200;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97c=#=kk1j6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1j6*:b`8e?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej330(8ln:89~yx{nl4i;|~y>o6>00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>689U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?71i2\:884={%7af?`<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?8?:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?8m:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm26794?5=83:p(??k:33b?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3i0(8ln:b9~yx{5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>m0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e:?o1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f717290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?9=:187>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a63`=83>1<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>;m50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>:850;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2j1/9oo5c:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm26d94?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>g=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg4003:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5?00;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a62d=83>1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9;n4?:483>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo<8d;291?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3o0(8ln:d9~yx{5<3290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;=<6=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;2h6=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>4850;194?6|,;;o6??n;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?e<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?7::185>5<7s-8:h7?;a:J154=#9?n1=<5f15a94?"59m0:8n5Y20d95~J5:80:w[?9e;0x 4?728>h7[?;5;0x 0de281/9oo51:~yx=nk<0;6)<>d;a6?S46n3;p@?<>:0yU53c=:r.:5=4l5:T200<5s-?in7?4$4`b>4=zutw0en850;&15a2\9=k4={M015?7|^8v*:bc80?!3ei390qpsC23290~P58l08w[mn:3y'1g?=k?1/?>j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o75c49'76b==kh0q)8;8;`8yS7?n38pZno52z&6f<2.8?i4:bc9~ 32?28:0qps4i32g>5<#:8n1>=j4V33e>7}K:;;1=vX>6d81!7>838;h6X>4481!3ej3l0(8ln:g9~yxJ5:90:w[<>6;0xRfg=:r.>n44=0e9'76b==kh0q)8;8;d8yx{l09w)?60;03g>P6<<09w);mb;d8 0df2o1vqpB=2182S46>38pZno52z&6f<<58j1/?>j55c`8y!0303l0qps4o0::>5<#:8n1=574;|`1<`<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a6=`=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb3;2>5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{nl4>;|~y>{e:081<7950;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3`;=47>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35<>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;386=48:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97`=#=kk1i6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1i6*:b`8f?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{54;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0;`?6=;3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6f2?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f1`429086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4l;%7ae?ef;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<647h6=4+20f951e<^;;m6l09w)?60;37g>P6<<09w);mb;38 0df281vqps4ib794?"59m0h96X=1g82I4593;pZ<8j:3y'5<6=k<1]=9;52z&6fg<63-?im7?4}|~?le1290/><4>{W35a?4|,83;6n84V066>7}#=kh1?6*:b`80?x{zD;8;69uY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c22j?0(>=k:4`a?x"1<1097pX>8g81Sef2;q/9o75c79'76b==kh0q)8;8;33?x{z3`8;h7>5$33g>76c3_8:j74}Q9?o1>v*>91814a=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9n0(>=k:4`a?x"1<10m7psr;h03g?6=,;;o6?>l;W02b?4|D;8:65$33g>4>>32wi8h950;194?6|,;;o6??n;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9k7:182>5<7s-8:h7<=4:J154=h9131<7*=1e82<<=nm7>53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{nn7>53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{no7>54;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1;6*:b`84?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=?2.>nl48;|~y>o6d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1i6*:b`8f?x{zu2c:::4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?=0Z<:::3y'1gd=m2.>nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>o6=4+20f951e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:k221<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5e:&6fd6683>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7ac<72>0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?972=#=kk1;6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1;6*:b`84?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=?2.>nl48;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51758R4222;q/9ol5e:&6fd6983>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7b5<72>0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?972=#=kk1;6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1;6*:b`84?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=?2.>nl48;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51758R4222;q/9ol5e:&6fd6983>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7a<<7210;6=u+20f95=><@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`95>"2jh0:7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0:7);ma;38yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;38 0df281vqps4i04;>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de281/9oo51:~yx=n9?31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517;8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5080;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9g>"2jh0h7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th94l4?:783>5}#:8n1=9o4H332?!71l3;:7d?;c;29 77c28>h7[<>f;3xH74628q]=;k52z&2=5<6<4>{W35a?4|,83;6n;4V066>7}#=kh1=6*:b`82?x{zu2ch:7>5$33g>f0<^;;m6?uC23395~P6>l09w)?60;a5?S73=38p(8lm:29'1gg=;2wvqA<=0;6xR76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jk1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jh1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57m:;%10`?3ej2w/:965b:U5=`=:r\hm7f0<,:9o68lm;|&50=<682wvq6g=0e83>!46l38;h6X=1g81I4593;pZ<8j:3y'5<6=:9n0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,k;%10`?3ej2w/:965f:~y>o58j0;6)<>d;03g>P59o09wA<=1;3xR40b2;q/=4>521a8R4222;q/9ol5f:&6fd76d3-98h7;mb:'21>=n2wvq6a>8883>!46l3;3565rb3:0>5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0;0?6=93:11<729q/>o6=4+20f951e<^;;m6nl4j;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0n7);ma;g8yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;g8 0df2l1vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm29:94?0=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1i6*:b`8f?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{54;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0;6?6=;3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0:3?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f7g629086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4l;%7ae?ef;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<647h6=4+20f951e<^;;m6l09w)?60;37g>P6<<09w);mb;38 0df281vqps4ib794?"59m0h96X=1g82I4593;pZ<8j:3y'5<6=k<1]=9;52z&6fg<63-?im7?4}|~?le1290/><4>{W35a?4|,83;6n84V066>7}#=kh1?6*:b`80?x{zD;8;69uY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c22j?0(>=k:4`a?x"1<10i7pX>8g81Sef2;q/9o75c79'76b==kh0q)8;8;33?x{z3`8;h7>5$33g>76c3_8:j74}Q9?o1>v*>91814a=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9n0(>=k:4`a?x"1<10m7psr;h03g?6=,;;o6?>l;W02b?4|D;8:65$33g>4>>32wi>4750;194?6|,;;o6??n;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?7n:182>5<7s-8:h7<=4:J154=h9131<7*=1e82<<=54;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0n7);ma;g8yx{z3`;=57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35=>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;3n6=47:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97`=#=kk1i6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1i6*:b`8f?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8f?!3ei3o0qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej3o0(8ln:d9~yx{5<3290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;336=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;k96=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>lo50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2j1/9oo5c:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm2`f94?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>g=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg4f<3:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5i<0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a6d1=83>1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9m54?:883>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?97:T200<5s-?in7k4$4`b>`=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97`=#=kk1i6sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh1i6*:b`8f?x{zu2c::l4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822d=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{59;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=m2.>nl4j;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?c<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`9a>"2jh0n7psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk0n7);ma;g8yx{z3`;=m7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35e>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;k=6=4;:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{5<1290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2o1/9oo5f:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm2`194?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm2cc94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`1g1<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a6f5=83<1<7>t$33g>42f3A8:=6*>6e825>o6d;37g>P59o0:wA<=1;3xR40b2;q/=4>515a8R4222;q/9ol51:&6fd<63twvq6gl5;29 77c2j?0Z??i:0yO677=9r\::h4={%3:4?e23_;?974=#=kk1=6sr}|9jg3<72-8:h7m9;W02b?4|D;8:64481!3ej390(8ln:29~yxJ5:90?w[n44l6:&07a<2jk1vZnl52z&6f<<6j55c`8y!0303h0q[n44l6:&07a<2jk1vZnl52z&6f<<6j55cc8y!0303h0q[n44l6:&07a<2jk1vZnl52z&6f<vB=2082S71m38p(<7?:32g?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47l2.8?i4:bc9~ 32?2o1vqp5f21a94?"59m0953;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9ni4?:083>5}#:8n1>?:4H332?j7?13:1(??k:0::?>{e:kl1<7:50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<^;;m6nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?747=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1i6*:b`8f?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8f?!3ei3o0qpsr;h3583;=46X>4481!3ej3o0(8ln:d9~yx{nl4j;|~y>o6>k0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>6c9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71k2\:884={%7af?c<,??51zT`g?4|,83;6<:i;W371?4|,{zut1b=8>50;&15a<6>6?u+5c`95>"2jh0:7psr}:k214<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9<5Y15796~"2jk0:7);ma;38yx{z3`;>>7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;366>P6<<09w);mb;38 0df281vqps4i070>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?87[?;5;0x 0de281/9oo51:~yx=n9<>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51468R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5k80;6;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3`;=47>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35<>P6<<09w);mb;g8 0df2l1vqps4i04:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<27[?;5;0x 0de2l1/9oo5e:~yx=n9?k1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517c8R4222;q/9ol5e:&6fd6c83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>k1]=9;52z&6fg:0yUgf<5s-;2<7?9c:T200<5s-?in7k4$4`b>`=zutw0e<:i:18'64b=9=i0Z??i:0yO677=9r\ho742a3_;?974=#=kk1=6sr}|9j506=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8>4V066>7}#=kh1=6*:b`82?x{zu2c:9<4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918214=Q9=?1>v*:bc82?!3ei3;0qpsr;h366?6=,;;o6<:l;W02b?7|D;8:683;>>6X>4481!3ej3;0(8ln:09~yx{0Z<:::3y'1gd=92.>nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?71<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5jk0;6>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4;?0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{56;294~"59m0:8l5G2038 40c28;0e<:l:18'64b=9=i0Z??i:0yO677=9r\::h4={%3:4?73k2\:884={%7af?7<,7}#90:1o85Y15796~"2jk0:7);ma;38yx{z3`i=6=4+20f9g3=Q:8l1>vB=2082S71m38p(<7?:b48R4222;q/9ol53:&6fd<43twv@?f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,0de3t.=854m;|T2f;0xH74628q]=;k52z&2=5<58m1]=9;52z&6fg7}#=k31>=j4$21g>0de3t.=854i;|~?l47k3:1(??k:32`?S46n38p@?<>:0yU53c=:r.:5=4=0b9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965e<,:9o68lm;|&50={M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<6290;w)<>d;010>N5981d=5750;&15a<60010qo=515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=<7;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol57:&6fd<03twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`171<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5c:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5;m0;6;4?:1y'64b=9=k0D??>;%35`?763`;?o7>5$33g>42d3_8:j7?tL302>4}Q9?o1>v*>91820f=Q9=?1>v*:bc82?!3ei3;0qpsr;ha6>5<#:8n1o85Y20d95~J5:80:w[?9e;0x 4?72j?0Z<:::3y'1gd=92.>nl4>;|~y>od>3:1(??k:b48R77a2;qG>??51zT22`<5s-;2<7m9;W371?4|,5+5cc97>{zuE89<7:tV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fg=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fd=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13i>7)={#>=21n6sY19d96~Pdi38p(8l6:b48 65c2{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:o7)={#>=21j6sr}:k14f<72-8:h77}#90:1>=m4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2e:444?:%02`?7?121vn?=9:180>5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo<<7;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c00e?6=980;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9K64e<^;;m6nl4j;|~y>o6>=0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71?2\:884={%7af?c<,??51zT`g?4|,83;6<87;W371?4|,{zut1b=;750;&15a<6>6?u+5c`9a>"2jh0n7psr}:k22d<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::l5Y15796~"2jk0n7);ma;g8yx{z3`;=n7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35f>P6<<09w);mb;g8 0df2l1vqps4i04`>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728515d8R4222;q/9ol5e:&6fd5183>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=91]=9;52z&6fg<63-?im7?4}|~?l7293:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:1:T200<5s-?in7?4$4`b>4=zutw0e<;=:18'64b=9=i0Z??i:0yO677=9r\ho74353_;?974=#=kk1=6sr}|9j505=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8=4V066>7}#=kh1=6*:b`82?x{zu2c:994?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918211=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51083>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?97:T200<5s-?in7k4$4`b>`=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97`=#=kk1i6sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh1i6*:b`8f?x{zu2c::l4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822d=Q9=?1>v*:bc8f?!3ei3o0qpsr;h35f?6=,;;o6<:l;W02b?7|D;8:683;=n6X>4481!3ej3o0(8ln:d9~yx{nl4j;|~y>o6=90;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>519U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7292\:884={%7af?7<,??51zT`g?4|,83;6<;=;W371?4|,{zut1b=8=50;&15a<6>6?u+5c`95>"2jh0:7psr}:k211<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:995Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0042c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?:6:180>5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo<;8;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a617=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f725290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c070?6=<3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;>>6=4>1;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=m2.>nl4j;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?c<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`9a>"2jh0n7psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk0n7);ma;g8yx{z3`;=m7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35e>P6<<09w);mb;g8 0df2l1vqps4i04a>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728517a8R4222;q/9ol5e:&6fd4g83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:0:T200<5s-?in7k4$4`b>`=zutw0e<;>:18'64b=9=i0Z??i:0yO677=9r\ho74363_;?974=#=kk1=6sr}|9j504=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8<4V066>7}#=kh1=6*:b`82?x{zu2c:9>4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918216=Q9=?1>v*:bc82?!3ei3;0qpsr;h360?6=,;;o6<:l;W02b?7|D;8:683;>86X>4481!3ej3;0(8ln:09~yx{5<693:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg:0yUgf<5s-;2<7?94:T200<5s-?in7k4$4`b>`=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?97`=#=kk1i6sr}|9j53>=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1i6*:b`8f?x{zu2c::44?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822<=Q9=?1>v*:bc8f?!3ei3o0qpsr;h35e?6=,;;o6<:l;W02b?7|D;8:683;=m6X>4481!3ej3o0(8ln:d9~yx{nl4j;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4g9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7282\:884={%7af?c<,??51zT`g?4|,83;6<;>;W371?4|,{zut1b=8<50;&15a<6>6?u+5c`95>"2jh0:7psr}:k216<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9>5Y15796~"2jk0:7);ma;38yx{z3`;>87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;360>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;>86=4;:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a6g?=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7m4$4`b>f=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f7d?290=6=4?{%02`?73i2B9=<5+17f954=n9=i1<7*=1e820f=Q:8l1=vB=2082S71m38p(<7?:06`?S73=38p(8lm:09'1gg=92wvqp5fc483>!46l3i>7[<>f;3xH74628q]=;k52z&2=57}#90:1o;5Y15796~"2jk087);ma;18yx{K:;:18vX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`a?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`b?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=k<1/?>j55c`8y!0303h0q[?7f;0xRfg=:r.>n44l6:&07a<2jk1v(;:7:028yx{l09w)?60;03`>P6<<09w);mb;d8 0df2o1vqpB=2182S46>38pZno52z&6f<<58m1/?>j55c`8y!0303l0qps4i32`>5<#:8n1>=m4V33e>7}K:;;1=vX>6d81!7>838;o6X>4481!3ej3l0(8ln:g9~yxJ5:90:w[<>6;0xRfg=:r.>n44=0b9'76b==kh0q)8;8;d8yx{5}#:8n1>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>o<50;394?6|,;;o6?<;;I025>i6000;6)<>d;3;=>=zj;h?6=4;:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm2c794?76290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0n7);ma;g8yx{z3`;=57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35=>P6<<09w);mb;g8 0df2l1vqps4i04b>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728517`8R4222;q/9ol5e:&6fd6b83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>j1]=9;52z&6fg<63-?im7?4}|~?l73n3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;f:T200<5s-?in7?4$4`b>4=zutw0e<;?:18'64b=9=i0Z??i:0yO677=9r\ho74373_;?974=#=kk1=6sr}|9j507=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8?4V066>7}#=kh1=6*:b`82?x{zu2c:9?4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918217=Q9=?1>v*:bc82?!3ei3;0qpsr;h367?6=,;;o6<:l;W02b?7|D;8:683;>?6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e:k<1<7?>:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97`=#=kk1i6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1i6*:b`8f?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8f?!3ei3o0qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej3o0(8ln:d9~yx{nl4j;|~y>o6>j0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>6b9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73n2\:884={%7af?7<,??51zT`g?4|,83;6<;?;W371?4|,{zut1b=8?50;&15a<6>6?u+5c`95>"2jh0:7psr}:k217<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9?5Y15796~"2jk0:7);ma;38yx{z3`;>?7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;367>P6<<09w);mb;38 0df281vqps4i077>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728??7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm2c194?2=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e:k:1<7=50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e:=k1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9994?:283>5}#:8n1>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97f=#=kk1o6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>8=50;494?6|,;;o6<:n;I025>"6>m0:=6g>4b83>!46l3;?o6X=1g82I4593;pZ<8j:3y'5<6=9=i0Z<:::3y'1gd=92.>nl4>;|~y>od=3:1(??k:b78R77a28qG>??51zT22`<5s-;2<7m:;W371?4|,{zut1bo;4?:%02`?e13_8:j74}Q9?o1>v*>918`2>P6<<09w);mb;18 0df2:1vqpB=2187S47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kh0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kk0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44l5:&07a<2jk1v(;:7:c9~R4>a2;q]ol4={%7a=?e13-98h7;mb:'21>=991vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c07g?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`10a<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm25d94?2=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5=90;6;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3`;=47>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35<>P6<<09w);mb;g8 0df2l1vqps4i04:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<27[?;5;0x 0de2l1/9oo5e:~yx=n9?k1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517c8R4222;q/9ol5e:&6fd6c83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>k1]=9;52z&6fg:0yUgf<5s-;2<7?9c:T200<5s-?in7k4$4`b>`=zutw0e<:i:18'64b=9=i0Z??i:0yO677=9r\ho742a3_;?97`=#=kk1i6sr}|9j506=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8>4V066>7}#=kh1i6*:b`8f?x{zu2c:9<4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918214=Q9=?1>v*:bc8f?!3ei3o0qpsr;h366?6=,;;o6<:l;W02b?7|D;8:683;>>6X>4481!3ej3;0(8ln:09~yx{0Z<:::3y'1gd=92.>nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?747=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1i6*:b`8f?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8f?!3ei3o0qpsr;h3583;=46X>4481!3ej3o0(8ln:d9~yx{nl4j;|~y>o6>k0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>6c9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71k2\:884={%7af?c<,??51zT`g?4|,83;6<:i;W371?4|,{zut1b=8>50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k214<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9<5Y15796~"2jk0n7);ma;g8yx{z3`;>>7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;366>P6<<09w);mb;38 0df281vqps4i070>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?87[?;5;0x 0de281/9oo51:~yx=n9<>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51468R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>o6=4+20f951e<^;;m6nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6bb?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e1<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:n5;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?m54?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?m44?:283>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm4`c94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1ge290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<2290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=?2.>nl48;|~y>o6d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:nd;291?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1;6*:b`84?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=m2.>nl4j;|~y>o6>=0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,3:147>50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<63-?im7?4}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7?4$4`b>4=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?974=#=kk1=6sr}|9j53>=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1=6*:b`82?x{zu2c::44?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822<=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn;?j:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm63194?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg0613:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd19h0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a24e=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a24b=83>1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm60`94?5=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<2290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo8=0;291?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<64}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sm63394?5=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a77`=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4;<0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e;:>1<7=50;2x 77c28237E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj:996=49:183!46l3;?m6F=109'53b=981b=9m50;&15a<642d3_;?974=#=kk1=6sr}|9jg0<72-8:h7m:;W02b?7|D;8:64481!3ej3;0(8ln:09~yx{P59o09wA<=1;3xR40b2;q/=4>5c79U513=:r.>no4<;%7ae?5?>54zT14`<4s_ij6?u+5c;9g3=#;:n19ol4}Waa>7}#=k31=9m4$21g>0de3t.=854m;|T14`<4s_ij6?u+5c;9g3=#;:n19ol4}Waa>7}#=k31=9m4$21g>0df3t.=854m;|T14`<4s_ij6?u+5c;9g3=#;:n19ol4}Waa>7}#=k31o85+32f91gdv*:b88`2>"4;m0>no5r$76;>46k:18'64b=:9n0Z??i:3yO677=9r\::h4={%3:4?47l2\:884={%7af?`<,??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814f=#;:n19ol4}%476<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm33`94?7=83:p(??k:307?M4692e:444?:%02`?7?121vn>5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0<7);ma;58yx{z3`;>57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<03-?im794}|~?l71<3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc84?!3ei3=0qpsr;h353?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no48;%7ae?1h7E<>c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c11a?6=?3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol57:&6fd<03twvq6g>5883>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1;6*:b`84?x{zu2c::94?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?>0Z<:::3y'1gd=?2.>nl48;|~y>o6>>0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c11g?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`076<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3=0(8ln:69~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?1<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>=?:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af??<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>:;:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm35a94?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg53=3:1?7>50z&15a<59h1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?9850;394?6|,;;o6?<;;I025>i6000;6)<>d;3;=>=zj:>36=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0<7);ma;58yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=;9;292?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?972=#=kk1;6sr}|9j51c=83.9=i4>4b9K64e<^;;m6nl4j;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51758R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd45<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?972=#=kk1;6sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1;6*:b`84?x{zu2c::94?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?>0Z<:::3y'1gd=m2.>nl4j;|~y>o6>>0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4<>0;694?:1y'64b=9120D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c162?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f63>290=6=4?{%02`?73i2B9=<5+17f954=n9=i1<7*=1e820f=Q:8l1=vB=2082S71m38p(<7?:06`?S73=38p(8lm:09'1gg=92wvqp5fc483>!46l3i>7[<>f;3xH74628q]=;k52z&2=57}#90:1o;5Y15796~"2jk087);ma;18yx{K:;:18vX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`a?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`b?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=k<1/?>j55c`8y!030380q[?7f;0xRfg=:r.>n44l6:&07a<2jk1v(;:7:028yx{l09w)?60;03`>P6<<09w);mb;d8 0df2o1vqpB=2182S46>38pZno52z&6f<<58m1/?>j55c`8y!0303l0qps4i32`>5<#:8n1>=m4V33e>7}K:;;1=vX>6d81!7>838;o6X>4481!3ej3l0(8ln:g9~yxJ5:90:w[<>6;0xRfg=:r.>n44=0b9'76b==kh0q)8;8;d8yx{5}#:8n1>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<6290;w)<>d;010>N5981d=5750;&15a<60010qo=:1;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=:2;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol57:&6fd<03twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`016<72=0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?972=#=kk1;6sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a702=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?1<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=n9?>1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho74033_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?8;50;794?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?972=#=kk1;6sr}|9j50?=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<8;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a706=83<1<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1=6*:b`82?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{;7>55;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de201/9oo59:~yx=n9?>1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho74033_;?97<=#=kk156sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?8o50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f63e29086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`01`<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`01c<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`025<72?0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?972=#=kk1;6sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<64}K:;;1=vXlc;0x 4?72851758R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4>80;6;4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd5883>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1i6*:b`8f?x{zu2c::94?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?>0Z<:::3y'1gd=m2.>nl4j;|~y>o6>>0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=?2.>nl48;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no48;%7ae?1h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0<7);ma;58yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8f?!3ei3o0qpsr;h3583;=46X>4481!3ej3o0(8ln:d9~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3=0(8ln:69~yx{??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<64}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2l1/9oo5e:~yx=n9?21<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4>=0;6:4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol57:&6fd<03twvq6g>5883>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1;6*:b`84?x{zu2c::94?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?>0Z<:::3y'1gd=m2.>nl4j;|~y>o6>>0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c16`?6=03:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc82?!3ei3;0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>689U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=2o6=49:183!46l3;?m6F=109'53b=981b=9m50;&15a<642d3_;?974=#=kk1=6sr}|9jg0<72-8:h7m:;W02b?7|D;8:64481!3ej3;0(8ln:09~yx{P59o09wA<=1;3xR40b2;q/=4>5c79U513=:r.>no4<;%7ae?5?>54zT14`<4s_ij6?u+5c;9g3=#;:n19ol4}Waa>7}#=k31=9m4$21g>0de3t.=854m;|T14`<4s_ij6?u+5c;9g3=#;:n19ol4}Waa>7}#=k31=9m4$21g>0df3t.=854m;|T14`<4s_ij6?u+5c;9g3=#;:n19ol4}Waa>7}#=k31o85+32f91gdv*:b88`2>"4;m0>no5r$76;>46k:18'64b=:9n0Z??i:3yO677=9r\::h4={%3:4?47l2\:884={%7af?`<,??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814f=#;:n19ol4}%476<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm49:94?7=83:p(??k:307?M4692e:444?:%02`?7?121vn96n:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0<7);ma;58yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6;f?6=;3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3000;6>4?:1y'64b=9120D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e<1<1<7=50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn96j:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm48694?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>g=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg2>83:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3180;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a0<4=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0<7);ma;58yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:7f;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:m0;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0h7);ma;a8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6ag?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:m2;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?n84?:283>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1d129086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1d029086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1d?29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1d>29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1;6*:b`84?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=m2.>nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=h86=47:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>10;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7112\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9lj:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm4b:94?5=83:p(??k:33b?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3i0(8ln:b9~yx{5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e1:m2<<<72-8:h7?79:9~f1e5290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3k=0;654?:1y'64b==j1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3=0(8ln:69~yx{??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5e:&6fd6883>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7g0<7210;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<^;;m6nl4j;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3`;=47>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg{M015?7|^ji1>v*>91822<=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{h=7>55;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0:7);ma;38yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=i26=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi8i?50;494?6|,;;o6<:n;I025>"6>m0:=6g>4b83>!46l3;?o6X=1g82I4593;pZ<8j:3y'5<6=9=i0Z<:::3y'1gd=92.>nl4>;|~y>od=3:1(??k:b78R77a28qG>??51zT22`<5s-;2<7m:;W371?4|,{zut1bo;4?:%02`?e13_8:j74}Q9?o1>v*>918`2>P6<<09w);mb;18 0df2:1vqpB=2187S47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kh0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kk0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44l5:&07a<2jk1v(;:7:c9~R4>a2;q]ol4={%7a=?e13-98h7;mb:'21>=991vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c6`f?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7gf<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm4bg94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in794$4`b>2=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1ea29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{hh7>53;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?ol4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm4e094?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7`=<72?0;6=u+20f951g<@;;:7)?9d;32?l73k3:1(??k:06`?S46n3;p@?<>:0yU53c=:r.:5=4>4b9U513=:r.>no4>;%7ae?7f3<^8>>6?u+5c`95>"2jh0:7psr}:k`2?6=,;;o6n84V33e>7}K:;;1=vX>6d81!7>83i=7[?;5;0x 0de2:1/9oo53:~yI4583>pZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?73k2.8?i4:bc9~ 32?2k1vZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?73k2.8?i4:b`9~ 32?2k1vZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?e23-98h7;mb:'21>=j2w]=5h52zT`e?4|,0de3t.=854>0:~y>o58m0;6)<>d;03`>P59o09wA<=1;3xR40b2;q/=4>521f8R4222;q/9ol5f:&6fd76c3-98h7;mb:'21>=n2wvq6g=0b83>!46l38;o6X=1g81I4593;pZ<8j:3y'5<6=:9i0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,l;%10`?3ej2w/:965f:~y>i6000;6)<>d;3;=>=zj=n?6=4<:183!46l38:m6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{o97>51;294~"59m09>95G2038k4>>290/>4?:1y'64b==j1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3=0(8ln:69~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a723=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4>o0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{56;294~"59m0:8l5G2038 40c28;0e<:l:18'64b=9=i0Z??i:0yO677=9r\::h4={%3:4?73k2\:884={%7af?7<,7}#90:1o85Y15796~"2jk0:7);ma;38yx{z3`i=6=4+20f9g3=Q:8l1>vB=2082S71m38p(<7?:b48R4222;q/9ol53:&6fd<43twv@?f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,0de3t.=854=;|T2f;0xH74628q]=;k52z&2=5<58m1]=9;52z&6fg7}#=k31>=j4$21g>0de3t.=854i;|~?l47k3:1(??k:32`?S46n38p@?<>:0yU53c=:r.:5=4=0b9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965e<,:9o68lm;|&50={M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<6290;w)<>d;010>N5981d=5750;&15a<60010qo=9b;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=9c;2942c3_;?972=#=kk1;6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1;6*:b`84?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc84?!3ei3=0qpsr;h350?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3`;=47>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg:0yUgf<5s-;2<7?99:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f60c290:=7>50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=?2.>nl48;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no48;%7ae?1h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?1<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`93>"2jh0<7psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0<7);ma;58yx{z3`;=57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35=>P6<<09w);mb;58 0df2>1vqps4i04b>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?9a:T200<5s-?in794$4`b>2=zutw0e<8m:18'64b=9=i0Z??i:0yO677=9r\ho740e3_;?97`=#=kk1i6sr}|9j53e=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:i;W371?4|,{zut1b=8>50;&15a<64}K:;;1=vXlc;0x 4?728?;7[?;5;0x 0de2l1/9oo5e:~yx=n9<;1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51438R4222;q/9ol5e:&6fd5383>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=;1]=9;52z&6fg<63-?im7?4}|~?l72;3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:3:T200<5s-?in7?4$4`b>4=zutw0e<;;:18'64b=9=i0Z??i:0yO677=9r\ho74333_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?;k50;32>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no48;%7ae?1h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?1<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<6>6?u+5c`93>"2jh0<7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0<7);ma;58yx{z3`;=47>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35<>P6<<09w);mb;58 0df2>1vqps4i04:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<27[?;5;0x 0de2>1/9oo57:~yx=n9?k1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740f3_;?972=#=kk1;6sr}|9j53d=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;l4V066>7}#=kh1i6*:b`8f?x{zu2c::n4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?i0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k215<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51428R4222;q/9ol5e:&6fd5083>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=81]=9;52z&6fg:0yUgf<5s-;2<7?:2:T200<5s-?in7?4$4`b>4=zutw0e<;<:18'64b=9=i0Z??i:0yO677=9r\ho74343_;?974=#=kk1=6sr}|9j502=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8:4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`02d<72<0;6=u+20f95=><@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`95>"2jh0:7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c144?6=980;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8:?!3ei330qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>689U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190::l5Y15796~"2jk027);ma;;8yx{z3`;=n7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35f>P6<<09w);mb;d8 0df2o1vqps4i04`>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?9c:T200<5s-?in7h4$4`b>c=zutw0e<:i:18'64b=9=i0D??l;W02b?7|D;8:683;?j6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7282\:884={%7af?`<,??51zT`g?4|,83;6<;>;W371?4|,{zut1b=8<50;&15a<6>6?u+5c`95>"2jh0:7psr}:k216<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9>5Y15796~"2jk0:7);ma;38yx{z3`;>87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;360>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj:=:6=4>1;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>10;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7112\:884={%7af??<,4}Qkj09w)?60;35e>P6<<09w);mb;;8 0df201vqps4i04a>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72840d3_;?97c=#=kk1j6sr}|9j51`=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;?;W371?4|,{zut1b=8?50;&15a<6>6?u+5c`95>"2jh0:7psr}:k217<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9?5Y15796~"2jk0:7);ma;38yx{z3`;>?7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;367>P6<<09w);mb;38 0df281vqps4i077>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728??7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm36094?5=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a14d=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd29:0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9g>"2jh0h7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>=l4?:783>5}#:8n1=9o4H332?!71l3;:7d?;c;29 77c28>h7[<>f;3xH74628q]=;k52z&2=5<6<4>{W35a?4|,83;6n;4V066>7}#=kh1=6*:b`82?x{zu2ch:7>5$33g>f0<^;;m6?uC23395~P6>l09w)?60;a5?S73=38p(8lm:29'1gg=;2wvqA<=0;6xR76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jk1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jh1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57m:;%10`?3ej2w/:9652:U5=`=:r\hm7f0<,:9o68lm;|&50=<682wvq6g=0e83>!46l38;h6X=1g81I4593;pZ<8j:3y'5<6=:9n0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,k;%10`?3ej2w/:965f:~y>o58j0;6)<>d;03g>P59o09wA<=1;3xR40b2;q/=4>521a8R4222;q/9ol5f:&6fd76d3-98h7;mb:'21>=n2wvq6a>8883>!46l3;3565rb42f>5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c73b?6=93:16<729q/>o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?71<729q/>o6=4+20f951e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm50294?5=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<693:1N5981b=9j50;&15a<6>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72851758R4222;q/9ol59:&6fd<>3twvq6g>6983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg<>3-?im774}|~?l7113:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?99:T200<5s-?in774$4`b><=zutw0e<8n:18'64b=9=i0D??l;W02b?7|D;8:683;=m6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?71j2\:884={%7af??<,4}Qkj09w)?60;35g>P6<<09w);mb;d8 0df2o1vqps4i06e>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;f:T200<5s-?in7h4$4`b>c=zutw0e<;?:18'64b=9=i0D??l;W02b?7|D;8:683;><6X>4481!3ej3l0(8ln:g9~yx{nl4>;|~y>o6=:0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>529U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?72<2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn8?::1825?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in774$4`b><=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97<=#=kk156sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh156*:b`8:?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8:?!3ei330qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?71i2\:884={%7af??<,4}Qkj09w)?60;35f>P6<<09w);mb;d8 0df2o1vqps4i04`>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72842a3_;?97c=#=kk1j6sr}|9j506=83.9=i4>4b9K64e<^;;m6nl4i;|~y>o6=;0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>539U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?72;2\:884={%7af?7<,??51zT`g?4|,83;6<;;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a140=83;:6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l73m3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in774$4`b><=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97<=#=kk156sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh156*:b`8:?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8:?!3ei330qpsr;h3583;=46X>4481!3ej330(8ln:89~yx{??51zT`g?4|,83;6<8n;W371?4|,{zut1b=;l50;&15a<64}K:;;1=vXlc;0x 4?72840d3_;?97c=#=kk1j6sr}|9j51`=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;?;W371?4|,{zut1b=8?50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k217<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9?5Y15796~"2jk0:7);ma;38yx{z3`;>?7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;367>P6<<09w);mb;38 0df281vqps4i077>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728??7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm50594?76290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{0Z<:::3y'1gd=12.>nl46;|~y>o6>>0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7102\:884={%7af??<,290/>??51zT`g?4|,83;6<86;W371?4|,{zut1b=;o50;&15a<64}K:;;1=vXlc;0x 4?72840e3_;?97c=#=kk1j6sr}|9j53e=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;m4V066>7}#=kh1j6*:b`8e?x{zu2c:8k4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=l0Z<:::3y'1gd=n2.>nl4i;|~y>o6=90;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k214<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9<5Y15796~"2jk0m7);ma;d8yx{z3`;>>7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;366>P6<<09w);mb;38 0df281vqps4i070>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?87[?;5;0x 0de281/9oo51:~yx=n9<>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51468R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2910;684?:1y'64b=9120D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>o6>=0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj:;96=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?<950;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2j1/9oo5c:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm30d94?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg56<3:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd49<0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a740=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a74>=83>1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk027);ma;;8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8:?!3ei330qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{54;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de201/9oo59:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm30c94?2=83:p(??k:4a8L7763`;?h7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l73m3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no46;%7ae??f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5G20a8R77a28qG>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97<=#=kk156sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0m7);ma;d8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c12`?6=>3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc82?!3ei3;0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e=l81<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>ih4?:783>5}#:8n1=9o4H332?!71l3;:7d?;c;29 77c28>h7[<>f;3xH74628q]=;k52z&2=5<6<4>{W35a?4|,83;6n;4V066>7}#=kh1=6*:b`82?x{zu2ch:7>5$33g>f0<^;;m6?uC23395~P6>l09w)?60;a5?S73=38p(8lm:29'1gg=;2wvqA<=0;6xR76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jk1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jh1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57m:;%10`?3ej2w/:9652:U5=`=:r\hm7f0<,:9o68lm;|&50=<682wvq6g=0e83>!46l38;h6X=1g81I4593;pZ<8j:3y'5<6=:9n0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,k;%10`?3ej2w/:965f:~y>o58j0;6)<>d;03g>P59o09wA<=1;3xR40b2;q/=4>521a8R4222;q/9ol5f:&6fd76d3-98h7;mb:'21>=n2wvq6a>8883>!46l3;3565rb4g0>5<4290;w)<>d;02e>N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2m=0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a1`0=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1`1=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1`>=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1`?=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1`g=83>1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0<7);ma;58yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f0ce290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0D??l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<1290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0n7);ma;g8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{58;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0:7);ma;38yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;38 0df281vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de281/9oo51:~yx=n9?21<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol51:&6fd<63twvq6g>6883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>01]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=?b;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd3685r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg57l3:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd48l0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a75`=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3o0(8ln:d9~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8;?!3ei320qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej320(8ln:99~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a04`=83<1<7>t$33g>42f3A8:=6*>6e825>o6d;37g>P59o0:wA<=1;3xR40b2;q/=4>515a8R4222;q/9ol51:&6fd<63twvq6gl5;29 77c2j?0Z??i:0yO677=9r\::h4={%3:4?e23_;?974=#=kk1=6sr}|9jg3<72-8:h7m9;W02b?4|D;8:64481!3ej390(8ln:29~yxJ5:90?w[n44l6:&07a<2jk1vZnl52z&6f<<6j55c`8y!0303h0q[n44l6:&07a<2jk1vZnl52z&6f<<6j55cc8y!0303h0q[n44l6:&07a<2jk1vZnl52z&6f<vB=2082S71m38p(<7?:32g?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47l2.8?i4:bc9~ 32?2o1vqp5f21a94?"59m09:47>53;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?=44?:083>5}#:8n1>?:4H332?j7?13:1(??k:0::?>{e<8h1<7=50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e<8i1<7:50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=;o6=49:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=m2.>nl4j;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c62e?6=<3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn8h8:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm5ga94?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg3a83:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2n80;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a1c5=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1c2=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1c3=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol57:&6fd<03twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3o0(8ln:d9~yx{5<2290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;38 0df281vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sm5g:94?2=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;d8 0df2o1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2o1/9oo5f:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97c=#=kk1j6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi9k750;694?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7h4$4`b>c=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?`<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn8hn:180>5<7s-8:h7?78:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:?3;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e<9?1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f16029086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f16?29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{;:7>53;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:>6;297?6=8r.9=i4=1`9K647o6=4+20f951e<^;;m6nl4l;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f16d29086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`74c<72=0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a046=83<1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0<7);ma;58yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=;:6=48:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol57:&6fd<03twvq6g>6683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg:0yUgf<5s-;2<7?98:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f175290<6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1;6*:b`84?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8f?!3ei3o0qpsr;h3583;=46X>4481!3ej3o0(8ln:d9~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{0Z<:::3y'1gd=?2.>nl48;|~y>o6>>0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7102\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9>j:185>5<7s-8:h7?78:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7?4$4`b>4=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?974=#=kk1=6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`6ba<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<647h6=4+20f951e<^;;m6l09w)?60;37g>P6<<09w);mb;38 0df281vqps4ib794?"59m0h96X=1g82I4593;pZ<8j:3y'5<6=k<1]=9;52z&6fg<63-?im7?4}|~?le1290/><4>{W35a?4|,83;6n84V066>7}#=kh1?6*:b`80?x{zD;8;69uY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c22j?0(>=k:4`a?x"1<1097pX>8g81Sef2;q/9o75c79'76b==kh0q)8;8;33?x{z3`8;h7>5$33g>76c3_8:j74}Q9?o1>v*>91814a=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9n0(>=k:4`a?x"1<10m7psr;h03g?6=,;;o6?>l;W02b?4|D;8:65$33g>4>>32wi9kk50;194?6|,;;o6??n;I025>o6d;37g>N59j1]>>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c7eb?6=93:16<729q/>o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>o6=4+20f951e<^;;m6nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?71<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?1<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm61694?2=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi:=;50;794?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0n7);ma;g8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo8?6;291?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th=<=4?:683>5}#:8n1=564H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1=6*:b`82?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc82?!3ei3;0qpsr;h353?6=,;;o6<:l;W02b?7|D;8:683;=;6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th><;4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;?c;297?6=8r.9=i4=1`9K647o6=4+20f951e<^;;m6nl4l;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f1`a29086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`647<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`646<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`641<72=0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj<:>6=49:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0<7);ma;58yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;h350?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c735?6==3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc82?!3ei3;0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3;0(8ln:09~yx{5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8e?!3ei3l0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0m7);ma;d8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c73N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2o1/9oo5f:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97c=#=kk1j6sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1j6*:b`8e?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8e?!3ei3l0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th=?;4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo84`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a261=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f35?290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c40=?6=;3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd1800;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn;?8:180>5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo8>6;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a25g=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51;294~"59m09>95G2038k4>>290/>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd18l0;6;4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd5883>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1i6*:b`8f?x{zu2c::94?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?>0Z<:::3y'1gd=m2.>nl4j;|~y>o6>>0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th=5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;58 0df2>1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0D??l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{f;3xH74628q]on4={%3:4?71<2\:884={%7af?c<,4}Qkj09w)?60;353>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj?:h6=4;:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=n2.>nl4i;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0m7);ma;d8yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4i044>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in7h4$4`b>c=zutw0e<87:18'64b=9=i0D??l;W02b?7|D;8:683;=46X>4481!3ej3l0(8ln:g9~yx{5d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8e?!3ei3l0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?`<,4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2o1/9oo5f:~yx=n9?21<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740?3_;?97c=#=kk1j6sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`556<7210;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97c=#=kk1j6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1j6*:b`8e?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?71?2\:884={%7af?`<,4}Qkj09w)?60;35<>P6<<09w);mb;d8 0df2o1vqps4i04:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<27[?;5;0x 0de2o1/9oo5f:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm60694?2=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e;0o1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th8m:4?:283>5}#:8n1>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97f=#=kk1o6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?l850;494?6|,;;o6<:n;I025>"6>m0:=6g>4b83>!46l3;?o6X=1g82I4593;pZ<8j:3y'5<6=9=i0Z<:::3y'1gd=92.>nl4>;|~y>od=3:1(??k:b78R77a28qG>??51zT22`<5s-;2<7m:;W371?4|,{zut1bo;4?:%02`?e13_8:j74}Q9?o1>v*>918`2>P6<<09w);mb;18 0df2:1vqpB=2187S47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kh0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kk0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44l5:&07a<2jk1v(;:7:39~R4>a2;q]ol4={%7a=?e13-98h7;mb:'21>=991vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c1:b?6=;3:183;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e;h:1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f6g5290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1i6*:b`8f?x{zu2c:::4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?=0Z<:::3y'1gd=m2.>nl4j;|~y>o6>10;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5G20a8R77a28qG>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm3`394?2=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?jl4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:id;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a0c3=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1`1290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c6eN5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6e=?6=;3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6e3?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7bg<72<0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej330(8ln:89~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af??<,290/>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sm5b:94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`6`6<72?0;6=u+20f951g<@;;:7)?9d;32?l73k3:1(??k:06`?S46n3;p@?<>:0yU53c=:r.:5=4>4b9U513=:r.>no4>;%7ae?7f3<^8>>6?u+5c`95>"2jh0:7psr}:k`2?6=,;;o6n84V33e>7}K:;;1=vX>6d81!7>83i=7[?;5;0x 0de2:1/9oo53:~yI4583>pZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?73k2.8?i4:bc9~ 32?2k1vZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?73k2.8?i4:b`9~ 32?2k1vZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?e23-98h7;mb:'21>=:2w]=5h52zT`e?4|,0de3t.=854>0:~y>o58m0;6)<>d;03`>P59o09wA<=1;3xR40b2;q/=4>521f8R4222;q/9ol5f:&6fd76c3-98h7;mb:'21>=n2wvq6g=0b83>!46l38;o6X=1g81I4593;pZ<8j:3y'5<6=:9i0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,l;%10`?3ej2w/:965f:~y>i6000;6)<>d;3;=>=zj4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51;294~"59m09>95G2038k4>>290/>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2km0;6>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2kl0;6>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2ko0;684?:1y'64b==j1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1a6=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi9i?50;494?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,4}Qkj09w)?60;350>P6<<09w);mb;g8 0df2l1vqps4i044>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f0ee290<6=4?{%02`?7?02B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?7<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`95>"2jh0:7psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0fa?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f7`1290=6=4?{%02`?73i2B9=<5+17f954=n9=i1<7*=1e820f=Q:8l1=vB=2082S71m38p(<7?:06`?S73=38p(8lm:09'1gg=92wvqp5fc483>!46l3i>7[<>f;3xH74628q]=;k52z&2=57}#90:1o;5Y15796~"2jk087);ma;18yx{K:;:18vX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`a?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`b?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=k<1/?>j55c`8y!030380q[?7f;0xRfg=:r.>n44l6:&07a<2jk1v(;:7:49~yx=n:9n1<7*=1e814a=Q:8l1>vB=2082S71m38p(<7?:32g?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47l2.8?i4:bc9~ 32?2o1vqp5f21a94?"59m0953;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9j<4?:083>5}#:8n1>?:4H332?j7?13:1(??k:0::?>{e:o91<7:50;2x 77c2;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;l?6=4;:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{7>53;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9ik4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9<>"2jh037psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9j:4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=?0;297?6=8r.9=i4=1`9K647o6=4+20f951e<^;;m6nl4l;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10>7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a6c?=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f7`f290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c0eg?6=;3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0e`?6=<3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo899K647o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>o6=4+20f951e<^;;m6nl47;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c1a4?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e;h31<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=na;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th8mi4?:283>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f6gb29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{54;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0f3?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f7cc290=6=4?{%02`?73i2B9=<5+17f954=n9=i1<7*=1e820f=Q:8l1=vB=2082S71m38p(<7?:06`?S73=38p(8lm:09'1gg=92wvqp5fc483>!46l3i>7[<>f;3xH74628q]=;k52z&2=57}#90:1o;5Y15796~"2jk087);ma;18yx{K:;:18vX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`a?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`b?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=k<1/?>j55c`8y!030380q[?7f;0xRfg=:r.>n44l6:&07a<2jk1v(;:7:49~yx=n:9n1<7*=1e814a=Q:8l1>vB=2082S71m38p(<7?:32g?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47l2.8?i4:bc9~ 32?2o1vqp5f21a94?"59m0953;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9il4?:083>5}#:8n1>?:4H332?j7?13:1(??k:0::?>{e:lh1<7=50;2x 77c2;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0fN5981b=9j50;&15a<6>6?u+5c`9<>"2jh037psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk037);ma;:8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c135?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f66f29086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4l;%7ae?ef;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<647h6=4+20f951e<^;;m6l09w)?60;37g>P6<<09w);mb;38 0df281vqps4ib794?"59m0h96X=1g82I4593;pZ<8j:3y'5<6=k<1]=9;52z&6fg<63-?im7?4}|~?le1290/><4>{W35a?4|,83;6n84V066>7}#=kh1?6*:b`80?x{zD;8;69uY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c22j?0(>=k:4`a?x"1<1097pX>8g81Sef2;q/9o75c79'76b==kh0q)8;8;78yx{l09w)?60;03`>P6<<09w);mb;d8 0df2o1vqpB=2182S46>38pZno52z&6f<<58m1/?>j55c`8y!0303l0qps4i32`>5<#:8n1>=m4V33e>7}K:;;1=vX>6d81!7>838;o6X>4481!3ej3l0(8ln:g9~yxJ5:90:w[<>6;0xRfg=:r.>n44=0b9'76b==kh0q)8;8;d8yx{4?:283>5}#:8n1>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?=:50;394?6|,;;o6?<;;I025>i6000;6)<>d;3;=>=zj::=6=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj::<6=4::183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?=;50;194?6|,;;o6<67;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>>=:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no47;%7ae?>h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?><,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>l>:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm3c`94?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg5e:3:1?7>50z&15a<59h1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?o=50;394?6|,;;o6?<;;I025>i6000;6)<>d;3;=>=zj:h>6=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`0f3<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>l8:180>5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c1aN5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj:h26=4;:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{56;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0:7);ma;38yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;38 0df281vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm3ca94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`0g0<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7f2=83<1<7>t$33g>42f3A8:=6*>6e825>o6d;37g>P59o0:wA<=1;3xR40b2;q/=4>515a8R4222;q/9ol51:&6fd<63twvq6gl5;29 77c2j?0Z??i:0yO677=9r\::h4={%3:4?e23_;?974=#=kk1=6sr}|9jg3<72-8:h7m9;W02b?4|D;8:64481!3ej390(8ln:29~yxJ5:90?w[n44l6:&07a<2jk1vZnl52z&6f<<6j55c`8y!0303h0q[n44l6:&07a<2jk1vZnl52z&6f<<6j55cc8y!0303h0q[n44l6:&07a<2jk1vZnl52z&6f<vB=2082S71m38p(<7?:32g?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47l2.8?i4:bc9~ 32?2o1vqp5f21a94?"59m0953;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th8nh4?:083>5}#:8n1>?:4H332?j7?13:1(??k:0::?>{e;j:1<7:50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7f7=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c1`6?6==3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4i047>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f6da290?6=4?{%02`?7?02B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>m9:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm3e294?5=83:p(??k:33b?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3i0(8ln:b9~yx{5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e;j21<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f6ef290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<1290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{0Z<:::3y'1gd=m2.>nl4j;|~y>o6>>0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<64}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm3bf94?0=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9K64e<^;;m6nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?70<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol51:&6fd<63twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0h7);ma;a8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0`e?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e:j<1<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;i<6=4>:183!46l38986F=109l5=?=83.9=i4>8898yg4d03:197>50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0n7);ma;g8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:8f;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e<1;1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f1>529086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f7ed29096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=92.>nl4>;|~y>{e:m91<7850;2x 77c28>j7E<>1:&22a<692c:8n4?:%02`?73k2\9=k4>{M015?7|^8>6?u+5c`95>"2jh0:7psr}:k`1?6=,;;o6n;4V33e>4}K:;;1=vX>6d81!7>83i>7[?;5;0x 0de281/9oo51:~yx=nk?0;6)<>d;a5?S46n38p@?<>:0yU53c=:r.:5=4l6:T200<5s-?in7=4$4`b>6=zutF9>=4;{W03a?5|^jk1>v*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91gdv*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91ggv*:b88`2>"4;m0>no5rVb`96~"2j00h96*<3e86fg=z,?>36?5rV0:e>7}Qkh09w);m9;a5?!54l3?in6s+65:955=zut1b>=j50;&15a<58m1]>76c3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;h6*<3e86fg=z,?>36k5r}|9j65e=83.9=i4=0b9U64`=:rF9><4>{W35a?4|,83;6?>l;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03g>"4;m0>no5r$76;>c=zut1d=5750;&15a<60010qoo6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74<729q/>5$33g>4>>32wi>i>50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3l00;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{n97>56;294~"59m0:8l5G2038 40c28;0e<:l:18'64b=9=i0Z??i:0yO677=9r\::h4={%3:4?73k2\:884={%7af?7<,7}#90:1o85Y15796~"2jk0:7);ma;38yx{z3`i=6=4+20f9g3=Q:8l1>vB=2082S71m38p(<7?:b48R4222;q/9ol53:&6fd<43twv@?f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,0de3t.=854=;|T2f;0xH74628q]=;k52z&2=5<58m1]=9;52z&6fg7}#=k31>=j4$21g>0de3t.=854i;|~?l47k3:1(??k:32`?S46n38p@?<>:0yU53c=:r.:5=4=0b9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965e<,:9o68lm;|&50=nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`7`a<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7``<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9ji:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9k?:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9k>:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no48;%7ae?1h7E<>c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6f6?6=;3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi8h=50;694?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no48;%7ae?1h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?1<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9jl:18;>5<7s-8:h7?78:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7?4$4`b>4=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?974=#=kk1=6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1=6*:b`82?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc82?!3ei3;0qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej3;0(8ln:09~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1f6=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2k>0;6;4?:1y'64b=9=k0D??>;%35`?763`;?o7>5$33g>42d3_8:j7?tL302>4}Q9?o1>v*>91820f=Q9=?1>v*:bc82?!3ei3;0qpsr;ha6>5<#:8n1o85Y20d95~J5:80:w[?9e;0x 4?72j?0Z<:::3y'1gd=92.>nl4>;|~y>od>3:1(??k:b48R77a2;qG>??51zT22`<5s-;2<7m9;W371?4|,5+5cc97>{zuE89<7:tV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fg=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fd=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13i>7)={#>=21>6sY19d96~Pdi38p(8l6:b48 65c2{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:o7)={#>=21j6sr}:k14f<72-8:h77}#90:1>=m4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2e:444?:%02`?7?121vn8lk:180>5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`6f`<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm5cd94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;58 0df2>1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f0e6290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=12.>nl46;|~y>o6=00;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>o?4?:583>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in774$4`b><=zutw0e<;6:18'64b=9=i0D??l;W02b?7|D;8:683;>56X>4481!3ej330(8ln:89~yx{5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2k=0;684?:1y'64b==j1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af??<,4}Qkj09w)?60;350>P6<<09w);mb;;8 0df201vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj6=4::183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e>;k1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th=>k4?:783>5}#:8n1=9o4H332?!71l3;:7d?;c;29 77c28>h7[<>f;3xH74628q]=;k52z&2=5<6<4>{W35a?4|,83;6n;4V066>7}#=kh1=6*:b`82?x{zu2ch:7>5$33g>f0<^;;m6?uC23395~P6>l09w)?60;a5?S73=38p(8lm:29'1gg=;2wvqA<=0;6xR76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jk1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jh1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57m:;%10`?3ej2w/:9652:U5=`=:r\hm7f0<,:9o68lm;|&50=<682wvq6g=0e83>!46l38;h6X=1g81I4593;pZ<8j:3y'5<6=:9n0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,k;%10`?3ej2w/:965f:~y>o58j0;6)<>d;03g>P59o09wA<=1;3xR40b2;q/=4>521a8R4222;q/9ol5f:&6fd76d3-98h7;mb:'21>=n2wvq6a>8883>!46l3;3565rb70a>5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c41g?6=93:16<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7``=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4nj0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e;oh1<7850;2x 77c28>j7E<>1:&22a<692c:8n4?:%02`?73k2\9=k4>{M015?7|^8>6?u+5c`95>"2jh0:7psr}:k`1?6=,;;o6n;4V33e>4}K:;;1=vX>6d81!7>83i>7[?;5;0x 0de281/9oo51:~yx=nk?0;6)<>d;a5?S46n38p@?<>:0yU53c=:r.:5=4l6:T200<5s-?in7=4$4`b>6=zutF9>=4;{W03a?5|^jk1>v*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91gdv*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91ggv*:b88`2>"4;m0>no5rVb`96~"2j00h96*<3e86fg=z,?>36?5rV0:e>7}Qkh09w);m9;a5?!54l3?in6s+65:955=zut1b>=j50;&15a<58m1]>76c3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;h6*<3e86fg=z,?>36k5r}|9j65e=83.9=i4=0b9U64`=:rF9><4>{W35a?4|,83;6?>l;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03g>"4;m0>no5r$76;>c=zut1d=5750;&15a<60010qo=i0;297?6=8r.9=i4=1`9K647o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74<729q/>5$33g>4>>32wi?k=50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?k:50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?k;50;794?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7c0=8321<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0<7);ma;58yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;58 0df2>1vqps4i04;>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2l1/9oo5e:~yx=n9?31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517;8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4n>0;654?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg:0yUgf<5s-;2<7?94:T200<5s-?in794$4`b>2=zutw0e<88:18'64b=9=i0D??l;W02b?7|D;8:683;=;6X>4481!3ej3=0(8ln:69~yx{f;3xH74628q]on4={%3:4?7102\:884={%7af?c<,290/>??51zT`g?4|,83;6<86;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7c>=8331<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0<7);ma;58yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;58 0df2>1vqps4i04;>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2l1/9oo5e:~yx=n9?31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517;8R4222;q/9ol5e:&6fd6`83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>h1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=i9;29=?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?972=#=kk1;6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1;6*:b`84?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8f?!3ei3o0qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej3o0(8ln:d9~yx{nl4>;|~y>{e;o81<7650;2x 77c28237E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de281/9oo51:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol51:&6fd<63twvq6g>6683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg<63-?im7?4}|~?l7103:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in7?4$4`b>4=zutw0e<86:18'64b=9=i0Z??i:0yO677=9r\ho740>3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?kj50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f6`b29086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`745<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`575<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<647h6=4+20f951e<^;;m6l09w)?60;37g>P6<<09w);mb;38 0df281vqps4ib794?"59m0h96X=1g82I4593;pZ<8j:3y'5<6=k<1]=9;52z&6fg<63-?im7?4}|~?le1290/><4>{W35a?4|,83;6n84V066>7}#=kh1?6*:b`80?x{zD;8;69uY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c22j?0(>=k:4`a?x"1<1097pX>8g81Sef2;q/9o75c79'76b==kh0q)8;8;33?x{z3`8;h7>5$33g>76c3_8:j74}Q9?o1>v*>91814a=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9n0(>=k:4`a?x"1<10m7psr;h03g?6=,;;o6?>l;W02b?4|D;8:65$33g>4>>32wi:>?50;194?6|,;;o6??n;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn;==:182>5<7s-8:h7<=4:J154=h9131<7*=1e82<<=53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?5<7s-8:h7?;a:J154=#9?n1=<5f15a94?"59m0:8n5Y20d95~J5:80:w[?9e;0x 4?728>h7[?;5;0x 0de281/9oo51:~yx=nk<0;6)<>d;a6?S46n3;p@?<>:0yU53c=:r.:5=4l5:T200<5s-?in7?4$4`b>4=zutw0en850;&15a2\9=k4={M015?7|^8v*:bc80?!3ei390qpsC23290~P58l08w[mn:3y'1g?=k?1/?>j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o75c49'76b==kh0q)8;8;08yS7?n38pZno52z&6f<2.8?i4:bc9~ 32?28:0qps4i32g>5<#:8n1>=j4V33e>7}K:;;1=vX>6d81!7>838;h6X>4481!3ej3l0(8ln:g9~yxJ5:90:w[<>6;0xRfg=:r.>n44=0e9'76b==kh0q)8;8;d8yx{l09w)?60;03g>P6<<09w);mb;d8 0df2o1vqpB=2182S46>38pZno52z&6f<<58j1/?>j55c`8y!0303l0qps4o0::>5<#:8n1=574;|`162<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a67>=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb30:>5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=?2.>nl48;|~y>o6d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th8><4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo==8;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a774=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f644290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c111?6=<3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3`;>57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo==6;290?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th8h<4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=k6;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a7a4=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f6b4290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c1g0?6=?3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9j50?=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<64}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2l1/9oo5e:~yx=n9?21<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4l>0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{56;294~"59m0:8l5G2038 40c28;0e<:l:18'64b=9=i0Z??i:0yO677=9r\::h4={%3:4?73k2\:884={%7af?7<,7}#90:1o85Y15796~"2jk0:7);ma;38yx{z3`i=6=4+20f9g3=Q:8l1>vB=2082S71m38p(<7?:b48R4222;q/9ol53:&6fd<43twv@?f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,0de3t.=854=;|T2f;0xH74628q]=;k52z&2=5<58m1]=9;52z&6fg7}#=k31>=j4$21g>0de3t.=854i;|~?l47k3:1(??k:32`?S46n38p@?<>:0yU53c=:r.:5=4=0b9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965e<,:9o68lm;|&50={M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<6290;w)<>d;010>N5981d=5750;&15a<60010qo=ka;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol57:&6fd<03twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=j3;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>k::182>5<7s-8:h7<=4:J154=h9131<7*=1e82<<=53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?71<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f6cf290>6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?972=#=kk1;6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`0ag<72?0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc84?!3ei3=0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3o0(8ln:d9~yx{nl4>;|~y>{e;li1<7850;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<^;;m6nl48;|~y>o6>=0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71?2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>k9:184>5<7s-8:h7?78:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7?4$4`b>4=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?974=#=kk1=6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1=6*:b`82?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?jk:185>5<7s-8:h7?;a:J154=#9?n1=<5f15a94?"59m0:8n5Y20d95~J5:80:w[?9e;0x 4?728>h7[?;5;0x 0de281/9oo51:~yx=nk<0;6)<>d;a6?S46n3;p@?<>:0yU53c=:r.:5=4l5:T200<5s-?in7?4$4`b>4=zutw0en850;&15a2\9=k4={M015?7|^8v*:bc80?!3ei390qpsC23290~P58l08w[mn:3y'1g?=k?1/?>j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o75c49'76b==kh0q)8;8;08yS7?n38pZno52z&6f<2.8?i4:bc9~ 32?2<1vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c0g2?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`1`2<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm2e;94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f7bf29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{55;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5l10;694?:1y'64b=9120D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>o6=4+20f951e<^;;m6nl47;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0f2?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e:l;1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f7c4290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0D??l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<2290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo899K647o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>o6=4+20f951e<^;;m6nl47;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c177?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e;:l1<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj:>;6=4>:183!46l38986F=109l5=?=83.9=i4>8898yg5393:1?7>50z&15a<2k2B9=<5f15f94?"59m0:8n5G20a8R77a28qG>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2>1/9oo57:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm36494?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`03a<72?0;6=u+20f951g<@;;:7)?9d;32?l73k3:1(??k:06`?S46n3;p@?<>:0yU53c=:r.:5=4>4b9U513=:r.>no4>;%7ae?7f3<^8>>6?u+5c`95>"2jh0:7psr}:k`2?6=,;;o6n84V33e>7}K:;;1=vX>6d81!7>83i=7[?;5;0x 0de2:1/9oo53:~yI4583>pZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?73k2.8?i4:bc9~ 32?2k1vZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?73k2.8?i4:b`9~ 32?2k1vZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?e23-98h7;mb:'21>=:2w]=5h52zT`e?4|,0de3t.=854>0:~y>o58m0;6)<>d;03`>P59o09wA<=1;3xR40b2;q/=4>521f8R4222;q/9ol5f:&6fd76c3-98h7;mb:'21>=n2wvq6g=0b83>!46l38;o6X=1g81I4593;pZ<8j:3y'5<6=:9i0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,l;%10`?3ej2w/:965f:~y>i6000;6)<>d;3;=>=zj:=<6=4<:183!46l38:m6F=109j51b=83.9=i4>4b9K64e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74<729q/>5$33g>4>>32wi?:o50;194?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4?00;6>4?:1y'64b=9120D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e;>o1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th84>4?:783>5}#:8n1=9o4H332?!71l3;:7d?;c;29 77c28>h7[<>f;3xH74628q]=;k52z&2=5<6<4>{W35a?4|,83;6n;4V066>7}#=kh1=6*:b`82?x{zu2ch:7>5$33g>f0<^;;m6?uC23395~P6>l09w)?60;a5?S73=38p(8lm:29'1gg=;2wvqA<=0;6xR76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jk1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jh1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57m:;%10`?3ej2w/:9652:U5=`=:r\hm7f0<,:9o68lm;|&50=<682wvq6g=0e83>!46l38;h6X=1g81I4593;pZ<8j:3y'5<6=:9n0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,k;%10`?3ej2w/:965f:~y>o58j0;6)<>d;03g>P59o09wA<=1;3xR40b2;q/=4>521a8R4222;q/9ol5f:&6fd76d3-98h7;mb:'21>=n2wvq6a>8883>!46l3;3565rb25e>5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c1;4?6=93:16<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?1<,4}Qkj09w)?60;37a>P6<<09w);mb;58 0df2>1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj:2?6=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?5750;494?6|,;;o6<:n;I025>"6>m0:=6g>4b83>!46l3;?o6X=1g82I4593;pZ<8j:3y'5<6=9=i0Z<:::3y'1gd=92.>nl4>;|~y>od=3:1(??k:b78R77a28qG>??51zT22`<5s-;2<7m:;W371?4|,{zut1bo;4?:%02`?e13_8:j74}Q9?o1>v*>918`2>P6<<09w);mb;18 0df2:1vqpB=2187S47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kh0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kk0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44l5:&07a<2jk1v(;:7:39~R4>a2;q]ol4={%7a=?e13-98h7;mb:'21>=991vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c1;1?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`0<3<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm39594?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc84?!3ei3=0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>6i:185>5<7s-8:h7?;a:J154=#9?n1=<5f15a94?"59m0:8n5Y20d95~J5:80:w[?9e;0x 4?728>h7[?;5;0x 0de281/9oo51:~yx=nk<0;6)<>d;a6?S46n3;p@?<>:0yU53c=:r.:5=4l5:T200<5s-?in7?4$4`b>4=zutw0en850;&15a2\9=k4={M015?7|^8v*:bc80?!3ei390qpsC23290~P58l08w[mn:3y'1g?=k?1/?>j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o75c49'76b==kh0q)8;8;08yS7?n38pZno52z&6f<2.8?i4:bc9~ 32?28:0qps4i32g>5<#:8n1>=j4V33e>7}K:;;1=vX>6d81!7>838;h6X>4481!3ej3l0(8ln:g9~yxJ5:90:w[<>6;0xRfg=:r.>n44=0e9'76b==kh0q)8;8;d8yx{l09w)?60;03g>P6<<09w);mb;d8 0df2o1vqpB=2182S46>38pZno52z&6f<<58j1/?>j55c`8y!0303l0qps4o0::>5<#:8n1=574;|`0h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7=e=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb2:g>5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=?2.>nl48;|~y>o6d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th85=4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=65;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a7<7=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f6?5290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c1:7?6=;3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?972=#=kk1;6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?4850;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f6?029086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,03:1=7>50z&15a<5:=1C>5<#:8n1=574;|`0=d<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`0=g<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`0=<<72:0;6=u+20f95=><@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7ab=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4m;0;6;4?:1y'64b=9=k0D??>;%35`?763`;?o7>5$33g>42d3_8:j7?tL302>4}Q9?o1>v*>91820f=Q9=?1>v*:bc82?!3ei3;0qpsr;ha6>5<#:8n1o85Y20d95~J5:80:w[?9e;0x 4?72j?0Z<:::3y'1gd=92.>nl4>;|~y>od>3:1(??k:b48R77a2;qG>??51zT22`<5s-;2<7m9;W371?4|,5+5cc97>{zuE89<7:tV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fg=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fd=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13i>7)={#>=21>6sY19d96~Pdi38p(8l6:b48 65c2{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:o7)={#>=21j6sr}:k14f<72-8:h77}#90:1>=m4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2e:444?:%02`?7?121vn>jj:180>5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=kf;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?>=4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:=5;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a077=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f145290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c617?6=;3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?972=#=kk1;6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi8?850;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f14029086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`76<<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3=0(8ln:69~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?1<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn95<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm42394?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg25l3:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3:l0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a07`=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0<7);ma;58yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:<2;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e<:>1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f15229086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1;6*:b`84?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=?2.>nl48;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c641?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e<>;1<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj==96=4>:183!46l38986F=109l5=?=83.9=i4>8898yg20;3:1?7>50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?;:4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:8b;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e<>31<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f11f290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,=3:1>7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=3o6=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi8l<50;494?6|,;;o6<:n;I025>"6>m0:=6g>4b83>!46l3;?o6X=1g82I4593;pZ<8j:3y'5<6=9=i0Z<:::3y'1gd=92.>nl4>;|~y>od=3:1(??k:b78R77a28qG>??51zT22`<5s-;2<7m:;W371?4|,{zut1bo;4?:%02`?e13_8:j74}Q9?o1>v*>918`2>P6<<09w);mb;18 0df2:1vqpB=2187S47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kh0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kk0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44l5:&07a<2jk1v(;:7:39~R4>a2;q]ol4={%7a=?e13-98h7;mb:'21>=991vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c6:2?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7=2<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm48;94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm48c94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1?e29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{2o7>53;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a0<>=83?1<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7=`<72;;1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af??<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9=>"2jh027psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk027);ma;;8yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;;8 0df201vqps4i04;>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de201/9oo59:~yx=n9?31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517;8R4222;q/9ol59:&6fd<>3twvq6g>6`83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>h1]=9;52z&6fg<>3-?im774}|~?l71j3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?9b:T200<5s-?in774$4`b><=zutw0e<8l:18'64b=9=i0Z??i:0yO677=9r\ho740d3_;?97<=#=kk156sr}|9j51`=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9h4V066>7}#=kh156*:b`8:?x{zu2c:9=4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918215=Q9=?1>v*:bc8:?!3ei330qpsr;h365?6=,;;o6<:l;W02b?7|D;8:683;>=6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6==0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>559U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?72=2\:884={%7af?`<,??51zT`g?4|,83;6<;9;W371?4|,{zut1b=8950;&15a<6>6?u+5c`95>"2jh0:7psr}:k21=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:955Y15796~"2jk0:7);ma;38yx{z3`;>m7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36e>P6<<09w);mb;38 0df281vqps4i07a>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?i7[?;5;0x 0de281/9oo51:~yx=n9514a8R4222;q/9ol51:&6fd<63twvq6g>5e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=m1]=9;52z&6fg<63-?im7?4}|~?l72m3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:e:T200<5s-?in7?4$4`b>4=zutw0e<;i:18'64b=9=i0Z??i:0yO677=9r\ho743a3_;?974=#=kk1=6sr}|9j536=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;>4V066>7}#=kh1=6*:b`82?x{zu2c::<4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918224=Q9=?1>v*:bc82?!3ei3;0qpsr;h356?6=,;;o6<:l;W02b?7|D;8:683;=>6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>?0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>679U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,n3:1><4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de201/9oo59:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol59:&6fd<>3twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<>3-?im774}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in774$4`b><=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?97<=#=kk156sr}|9j53>=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh156*:b`8:?x{zu2c::44?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822<=Q9=?1>v*:bc8:?!3ei330qpsr;h35e?6=,;;o6<:l;W02b?7|D;8:683;=m6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4g9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7282\:884={%7af??<,??51zT`g?4|,83;6<;>;W371?4|,{zut1b=8<50;&15a<6>6?u+5c`9=>"2jh027psr}:k216<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9>5Y15796~"2jk027);ma;;8yx{z3`;>87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;360>P6<<09w);mb;;8 0df201vqps4i076>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?>7[?;5;0x 0de2o1/9oo5f:~yx=n9<<1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51448R4222;q/9ol51:&6fd<63twvq6g>5683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=>1]=9;52z&6fg<63-?im7?4}|~?l7203:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:8:T200<5s-?in7?4$4`b>4=zutw0e<;n:18'64b=9=i0Z??i:0yO677=9r\ho743f3_;?974=#=kk1=6sr}|9j50d=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8l4V066>7}#=kh1=6*:b`82?x{zu2c:9n4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821f=Q9=?1>v*:bc82?!3ei3;0qpsr;h36`?6=,;;o6<:l;W02b?7|D;8:683;>h6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>90;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>619U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7192\:884={%7af?7<,??51zT`g?4|,83;6<8=;W371?4|,{zut1b=;=50;&15a<6>6?u+5c`95>"2jh0:7psr}:k220<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::85Y15796~"2jk0:7);ma;38yx{z3`;=:7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;352>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=k;6=4<:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn8jl:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm5d394?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg3c=3:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2l?0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a1a>=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1a?=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0D??l;W02b?7|D;8:683;=86X>4481!3ej3o0(8ln:d9~yx{5<1290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0n7);ma;g8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?97:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f0be290=6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0n7);ma;g8yx{z3`;=;7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;k7;291?6=8r.9=i4>899K647o6=4+20f951e<^;;m6nl4>;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn8jk:18:>5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4i047>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in774$4`b><=zutw0e<88:18'64b=9=i0D??l;W02b?7|D;8:683;=;6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7102\:884={%7af?`<,290/>4}Qkj09w)?60;35=>P6<<09w);mb;d8 0df2o1vqps4i04b>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?9a:T200<5s-?in7h4$4`b>c=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f0bb29026=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej330(8ln:89~yx{??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2o1/9oo5f:~yx=n9?31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740>3_;?97c=#=kk1j6sr}|9j53g=83.9=i4>4b9K64e<^;;m6nl4>;|~y>{e=ml1<7=50;2x 77c28237E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj?8?6=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi:?750;494?6|,;;o6<:n;I025>"6>m0:=6g>4b83>!46l3;?o6X=1g82I4593;pZ<8j:3y'5<6=9=i0Z<:::3y'1gd=92.>nl4>;|~y>od=3:1(??k:b78R77a28qG>??51zT22`<5s-;2<7m:;W371?4|,{zut1bo;4?:%02`?e13_8:j74}Q9?o1>v*>918`2>P6<<09w);mb;18 0df2:1vqpB=2187S47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kh0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kk0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44l5:&07a<2jk1v(;:7:39~R4>a2;q]ol4={%7a=?e13-98h7;mb:'21>=991vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c411?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`563<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm63594?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f35d29096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=92.>nl4>;|~y>{e>=;1<7850;2x 77c28>j7E<>1:&22a<692c:8n4?:%02`?73k2\9=k4>{M015?7|^8>6?u+5c`95>"2jh0:7psr}:k`1?6=,;;o6n;4V33e>4}K:;;1=vX>6d81!7>83i>7[?;5;0x 0de281/9oo51:~yx=nk?0;6)<>d;a5?S46n38p@?<>:0yU53c=:r.:5=4l6:T200<5s-?in7=4$4`b>6=zutF9>=4;{W03a?5|^jk1>v*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91gdv*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91ggv*:b88`2>"4;m0>no5rVb`96~"2j00h96*<3e86fg=z,?>36?5rV0:e>7}Qkh09w);m9;a5?!54l3?in6s+65:955=zut1b>=j50;&15a<58m1]>76c3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;h6*<3e86fg=z,?>36k5r}|9j65e=83.9=i4=0b9U64`=:rF9><4>{W35a?4|,83;6?>l;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03g>"4;m0>no5r$76;>c=zut1d=5750;&15a<60010qo8o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74<729q/>5$33g>4>>32wi:>h50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=lo50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7b183>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;48 0df2?1vqps4}c3bf?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`2ef<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm1`g94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;58 0df2>1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4ga29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in794$4`b>2=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4gc29086=4?{%02`?7?02B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8h<6=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1:6*:b`85?x{zu2wi=o<50;194?6|,;;o6??n;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn5<7s-8:h7<=4:J154=h9131<7*=1e82<<=53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1;6*:b`84?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=m2.>nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7b783>6<729q/>o6=4+20f951e<^;;m6nl48;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7b583>6<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm1c:94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`2f`<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de2?1/9oo56:~yx=zj8h26=4<:183!46l38:m6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51;294~"59m09>95G2038k4>>290/>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=oj50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?972=#=kk1;6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=ol50;194?6|,;;o6<67;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af??<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm1b594?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`2gg<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?0<,50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd6k:0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a5f3=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?1<,4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8i=6=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;58 0df2>1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8i?6=4<:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=n2.>nl4i;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7c883>6<729q/>o6=4+20f951e<^;;m6nl46;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7c`83>6<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm1ba94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`2`7<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de2?1/9oo56:~yx=zj8io6=4<:183!46l38:m6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51;294~"59m09>95G2038k4>>290/>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd6l80;6>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=nh50;194?6|,;;o6<67;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol59:&6fd<>3twvq6g>5883>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh156*:b`8:?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8e?!3ei3l0qpsr;h353?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?7102\:884={%7af?`<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol59:&6fd<>3twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg:0yUgf<5s-;2<7?94:T200<5s-?in7h4$4`b>c=zutw0e<88:18'64b=9=i0D??l;W02b?7|D;8:683;=;6X>4481!3ej3l0(8ln:g9~yx{nl4>;|~y>{e9m?1<7650;2x 77c2;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af??<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<64}K:;;1=vXlc;0x 4?7284003_;?97c=#=kk1j6sr}|9j53>=83.9=i4>4b9K64e<^;;m6nl4i;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7d783>=<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af??<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9=>"2jh027psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0m7);ma;d8yx{z3`;=;7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8e?!3ei3l0qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej3l0(8ln:g9~yx{5<2290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;38 0df281vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sm1e;94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`2`a<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de2?1/9oo56:~yx=zj8nj6=4<:183!46l38:m6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51;294~"59m09>95G2038k4>>290/>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd6ll0;6;4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de201/9oo59:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97c=#=kk1j6sr}|9j50?=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<64}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2o1/9oo5f:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm1ed94?1=83:p(??k:4a8L7763`;?h7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l73m3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?`<,4}Qkj09w)?60;353>P6<<09w);mb;d8 0df2o1vqps4i04;>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in7h4$4`b>c=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4c7290<6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=12.>nl46;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?`<,4}Qkj09w)?60;353>P6<<09w);mb;d8 0df2o1vqps4i04;>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in7h4$4`b>c=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4c629036=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?71<2\:884={%7af??<,4}Qkj09w)?60;353>P6<<09w);mb;d8 0df2o1vqps4i04;>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in7h4$4`b>c=zutw0e<86:18'64b=9=i0D??l;W02b?7|D;8:683;=56X>4481!3ej3l0(8ln:g9~yx{5d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af??<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<64}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2o1/9oo5f:~yx=n9?21<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740?3_;?97c=#=kk1j6sr}|9j53?=83.9=i4>4b9K64e<^;;m6nl4>;|~y>{e9l91<7850;2x 77c28237E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de281/9oo51:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol51:&6fd<63twvq6g>6683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?j5;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a5c7=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<13-?im784}|~?xd6m?0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e9l=1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f4c>29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4cf29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:in4?:683>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5f:&6fd6583>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1j6*:b`8e?x{zu2c:::4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?=0Z<:::3y'1gd=n2.>nl4i;|~y>o6>10;6)<>d;37g>N59j1]><^8>>6?u+5c`9b>"2jh0m7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:ii4?:683>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7h4$4`b>c=zutw0e<;6:18'64b=9=i0D??l;W02b?7|D;8:683;>56X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?71<2\:884={%7af?`<,4}Qkj09w)?60;353>P6<<09w);mb;d8 0df2o1vqps4i04;>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in7h4$4`b>c=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4cb29036=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=12.>nl46;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0m7);ma;d8yx{z3`;=;7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8e?!3ei3l0qpsr;h35=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>689U513=:r.>no4i;%7ae?`f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5G20a8R77a28qG>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;h35P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk0m7);ma;d8yx{z3`;=m7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>h1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?i0;291?6=8r.9=i4>899K647o6=4+20f951e<^;;m6nl4>;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm1g:94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc85?!3ei3<0qpsr;|`2b6<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a5c2=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb0d5>5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd6n00;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?ib;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:jh4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:jk4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`93>"2jh0<7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9<=4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm21394?2=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;58 0df2>1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in794$4`b>2=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?972=#=kk1;6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>=<50;694?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?972=#=kk1;6sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`146<72=0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3=0(8ln:69~yx{n6=4+20f951e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a5ce=8321<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1=6*:b`82?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc82?!3ei3;0qpsr;h3583;=46X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e:9?1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th95}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in784$4`b>3=zutw0qoo6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74<729q/>5$33g>4>>32wi>=750;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>=o50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<4290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c763?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f03e29096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=>2.>nl49;|~y>{e=<21<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;:9;295?6=8r.9=i4=259K6479l4?:283>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm57494?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`62d<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?0<,50z&15a<59h1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi9;650;394?6|,;;o6?<;;I025>i6000;6)<>d;3;=>=zj<<26=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0<7);ma;58yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;6c;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1d2=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<13-?im784}|~?xd21m0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn87j:182>5<7s-8:h7<=4:J154=h9131<7*=1e82<<=53;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1d7=83;:6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l73m3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in774$4`b><=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97<=#=kk156sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh156*:b`8:?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8:?!3ei330qpsr;h3583;=46X>4481!3ej330(8ln:89~yx{??51zT`g?4|,83;6<8n;W371?4|,{zut1b=;l50;&15a<64}K:;;1=vXlc;0x 4?728517a8R4222;q/9ol5f:&6fd4g83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9h4V066>7}#=kh1j6*:b`8e?x{zu2c:9=4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<:0Z<:::3y'1gd=n2.>nl4i;|~y>o6=80;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>509U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?72:2\:884={%7af?`<,??51zT`g?4|,83;6<;<;W371?4|,{zut1b=8:50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>m?4?:0394?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97<=#=kk156sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh156*:b`8:?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8:?!3ei330qpsr;h353?6=,;;o6<:l;W02b?7|D;8:683;=;6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>h0;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k22g<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517`8R4222;q/9ol5f:&6fd6b83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>j1]=9;52z&6fg{M015?7|^ji1>v*>91820c=Q9=?1>v*:bc8e?!3ei3l0qpsr;h364?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>519U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?7292\:884={%7af?`<,??51zT`g?4|,83;6<;=;W371?4|,{zut1b=8=50;&15a<6>6?u+5c`95>"2jh0:7psr}:k211<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:995Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c7b7?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`6e`<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de2?1/9oo56:~yx=zj4b9K64e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74<729q/>5$33g>4>>32wi9o?50;194?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a011=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3;%35`?763`;?o7>5$33g>42d3_8:j7?tL302>4}Q9?o1>v*>91820f=Q9=?1>v*:bc82?!3ei3;0qpsr;ha6>5<#:8n1o85Y20d95~J5:80:w[?9e;0x 4?72j?0Z<:::3y'1gd=92.>nl4>;|~y>od>3:1(??k:b48R77a2;qG>??51zT22`<5s-;2<7m9;W371?4|,5+5cc97>{zuE89<7:tV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fg=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fd=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13i>7)={#>=21>6sY19d96~Pdi38p(8l6:b48 65c2{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:o7)={#>=21j6sr}:k14f<72-8:h77}#90:1>=m4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2e:444?:%02`?7?121vn9:;:180>5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:;5;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?854?:783>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2o1/9oo5f:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm50d94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`666<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?0<,50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2:80;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a174=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;;d;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`92>"2jh0=7psr}:a11c=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f02a290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c765?6=<3:1N5981b=9j50;&15a<6>6?u+5c`93>"2jh0<7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0<7);ma;58yx{z3`;>57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<03-?im794}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;:2;291?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol57:&6fd<03twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in794$4`b>2=zutw0e<8;:18'64b=9=i0D??l;W02b?7|D;8:683;=86X>4481!3ej3=0(8ln:69~yx{5<2290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3=0(8ln:69~yx{??51zT`g?4|,83;6<8;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a106=83>1<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi95<50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;48 0df2?1vqps4}c7;7?6=;3:183;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e=1>1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f0>129086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f0>0290=6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1;6*:b`84?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=?2.>nl48;|~y>o6=00;6)<>d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0n7);ma;g8yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj<236=48:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol57:&6fd<03twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in794$4`b>2=zutw0e<8;:18'64b=9=i0D??l;W02b?7|D;8:683;=86X>4481!3ej3=0(8ln:69~yx{f;3xH74628q]on4={%3:4?71?2\:884={%7af?c<,??51zT`g?4|,83;6<87;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1=3=83>1<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi:9<50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f32429086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`500<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn<9;:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm16194?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`230<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de2?1/9oo56:~yx=zj8==6=4<:183!46l38:m6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51;294~"59m09>95G2038k4>>290/>0D??>;n3;=?6=,;;o6<66;:a52g=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0?7);ma;68yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo78:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm9c83>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cc1>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`92>"2jh0=7psr}:a==<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a=<<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm9`83>6<729q/>o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7o6=4+20f951e<^;;m6nl46;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af??<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`9=>"2jh027psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk027);ma;;8yx{z3`;=m7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>h1]=9;52z&6fg<>3-?im774}|~?l71j3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91822g=Q9=?1>v*:bc8e?!3ei3l0qpsr;h35g?6=,;;o6<:l;W02b?7|D;8:683;=o6X>4481!3ej3l0(8ln:g9~yx{m6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73n2\:884={%7af?`<,4}Qkj09w)?60;364>P6<<09w);mb;d8 0df2o1vqps4i072>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?:7[?;5;0x 0de2o1/9oo5f:~yx=n9<81<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51408R4222;q/9ol51:&6fd<63twvq6g>5283>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=:1]=9;52z&6fg<63-?im7?4}|~?l72<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:4:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l73m3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af??<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`9=>"2jh027psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk027);ma;;8yx{z3`;=m7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35e>P6<<09w);mb;;8 0df201vqps4i04a>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72840d3_;?97<=#=kk156sr}|9j51`=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;?;W371?4|,{zut1b=8?50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k217<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9?5Y15796~"2jk0m7);ma;d8yx{z3`;>?7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;367>P6<<09w);mb;38 0df281vqps4i077>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728??7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm9d83>47=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in774$4`b><=zutw0e<;6:18'64b=9=i0D??l;W02b?7|D;8:683;>56X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>10;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7112\:884={%7af??<,??51zT`g?4|,83;6<8n;W371?4|,{zut1b=;l50;&15a<6>6?u+5c`9=>"2jh027psr}:k22f<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517a8R4222;q/9ol59:&6fd<>3twvq6g>4g83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9h4V066>7}#=kh1j6*:b`8e?x{zu2c:9=4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918215=Q9=?1>v*:bc8e?!3ei3l0qpsr;h365?6=,;;o6<:l;W02b?7|D;8:683;>=6X>4481!3ej3l0(8ln:g9~yx{nl4>;|~y>o6==0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>559U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in774$4`b><=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97<=#=kk156sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh156*:b`8:?x{zu2c::l4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822d=Q9=?1>v*:bc8:?!3ei330qpsr;h35f?6=,;;o6<:l;W02b?7|D;8:683;=n6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?71k2\:884={%7af??<,4}Qkj09w)?60;37b>P6<<09w);mb;;8 0df201vqps4i073>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:0:T200<5s-?in7h4$4`b>c=zutw0e<;>:18'64b=9=i0Z??i:0yO677=9r\ho74363_;?97c=#=kk1j6sr}|9j504=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8<4V066>7}#=kh1j6*:b`8e?x{zu2c:9>4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918216=Q9=?1>v*:bc82?!3ei3;0qpsr;h360?6=,;;o6<:l;W02b?7|D;8:683;>86X>4481!3ej3;0(8ln:09~yx{d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;;8 0df201vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de201/9oo59:~yx=n9?21<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol59:&6fd<>3twvq6g>6883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>01]=9;52z&6fg<>3-?im774}|~?l71i3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?9a:T200<5s-?in774$4`b><=zutw0e<8m:18'64b=9=i0Z??i:0yO677=9r\ho740e3_;?97<=#=kk156sr}|9j53e=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:i;W371?4|,{zut1b=8>50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k214<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9<5Y15796~"2jk0m7);ma;d8yx{z3`;>>7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;366>P6<<09w);mb;d8 0df2o1vqps4i070>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?87[?;5;0x 0de281/9oo51:~yx=n9<>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51468R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xdf93:1:7>50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<63-?im7?4}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7?4$4`b>4=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wim;4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qoon:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6smab83>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;48 0df2?1vqps4}cc4>5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cc;>5<6290;w)<>d;010>N5981d=5750;&15a<60010qoo6:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no48;%7ae?1h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?1<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vnll50;594?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{0Z<:::3y'1gd=12.>nl46;|~y>o6>>0;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0m7);ma;d8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c712?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f11129096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=92.>nl4>;|~y>{e=;n1<7850;2x 77c28>j7E<>1:&22a<6:2c:8n4?:%02`?73k2\9=k4>{M015?7|^8>6?u+5c`95>"2jh0:7psr}:k`1?6=,;;o6n;4V33e>4}K:;;1=vX>6d81!7>83i>7[?;5;0x 0de281/9oo51:~yx=nk?0;6)<>d;a5?S46n38p@?<>:0yU53c=:r.:5=4l6:T200<5s-?in7=4$4`b>6=zutF9>=4;{W03a?5|^jk1>v*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91gdv*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91ggv*:b88`2>"4;m0>no5rVb`96~"2j00h96*<3e86fg=z,?>36?5rV0:e>7}Qkh09w);m9;a5?!54l3?in6s+65:955=zut1b>=j50;&15a<58m1]>76c3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;h6*<3e86fg=z,?>36k5r}|9j65e=83.9=i4=0b9U64`=:rF9><4>{W35a?4|,83;6?>l;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03g>"4;m0>no5r$76;>c=zut1d=5750;&15a<60010qo;=7;297?6=8r.9=i4=1`9K647o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a17>=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb40b>5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>>44?:283>5}#:8n1=564H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi9>;50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c70e?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e=:<1<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;<7;295?6=8r.9=i4=259K647?54?:583>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi9;j50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;48 0df2?1vqps4}c75a?6=;3:183;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e=?l1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f017290>6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1;6*:b`84?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=?2.>nl48;|~y>o6=00;6)<>d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c740?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f01?29096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=92.>nl4>;|~y>{e=>l1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0=7);ma;48yx{z3th>;84?:283>5}#:8n1>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<6290;w)<>d;010>N5981d=5750;&15a<60010qo;87;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;89;291?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5f:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3l0(8ln:g9~yx{5<>290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;h35P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?7112\:884={%7af?`<,4}Qkj09w)?60;35e>P6<<09w);mb;d8 0df2o1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj<=i6=46:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?71?2\:884={%7af?`<,4}Qkj09w)?60;35<>P6<<09w);mb;d8 0df2o1vqps4i04:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<27[?;5;0x 0de2o1/9oo5f:~yx=n9?k1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740f3_;?97c=#=kk1j6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi9:m50;32>5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4i047>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in774$4`b><=zutw0e<88:18'64b=9=i0D??l;W02b?7|D;8:683;=;6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7102\:884={%7af?`<,290/>4}Qkj09w)?60;35=>P6<<09w);mb;d8 0df2o1vqps4i04b>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72840e3_;?97c=#=kk1j6sr}|9j53e=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;m4V066>7}#=kh1=6*:b`82?x{zu2c:8k4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820c=Q9=?1>v*:bc82?!3ei3;0qpsr;h364?6=,;;o6<:l;W02b?7|D;8:683;><6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6=:0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>529U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?72<2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn89k:1825?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8:?!3ei330qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?71?2\:884={%7af?`<,4}Qkj09w)?60;35<>P6<<09w);mb;d8 0df2o1vqps4i04:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?99:T200<5s-?in7h4$4`b>c=zutw0e<8n:18'64b=9=i0Z??i:0yO677=9r\ho740f3_;?97c=#=kk1j6sr}|9j53d=83.9=i4>4b9K64e<^;;m6nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4g9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7282\:884={%7af?7<,??51zT`g?4|,83;6<;>;W371?4|,{zut1b=8<50;&15a<6>6?u+5c`95>"2jh0:7psr}:k216<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9>5Y15796~"2jk0:7);ma;38yx{z3`;>87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;360>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj<=n6=49:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c7:6?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f0?>29096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=>2.>nl49;|~y>{e=1l1<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;60;295?6=8r.9=i4=259K6475<4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>5>4?:683>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97c=#=kk1j6sr}|9j532=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2o1/9oo5f:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm58694?1=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2o1/9oo5f:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97c=#=kk1j6sr}|9j532=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2o1/9oo5f:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm58794?1=83:p(??k:4a8L7763`;?h7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l73m3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8e?!3ei3l0qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0m7);ma;d8yx{z3`;=;7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8e?!3ei3l0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{58;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de201/9oo59:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd6683>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1j6*:b`8e?x{zu2c::54?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?20Z<:::3y'1gd=n2.>nl4i;|~y>o6>00;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>5:4?:983>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in774$4`b><=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97c=#=kk1j6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1j6*:b`8e?x{zu2c:::4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?=0Z<:::3y'1gd=n2.>nl4i;|~y>o6>10;6)<>d;37g>N59j1]><^8>>6?u+5c`9b>"2jh0m7psr}:k22<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517;8R4222;q/9ol5f:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2110;6;4?:1y'64b=9120D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>o6>=0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?71?2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn<6>:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm19794?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc85?!3ei3<0qpsr;|`2<7<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a5=5=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb0:7>5<6290;w)<>d;3;3>N5981d=5750;&15a<60010qo?8c;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`92>"2jh0=7psr}:a52b=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f41b290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c34b?6=93:15$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;f8 0df2m1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2m1/9oo5d:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6smd083>47=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in774$4`b><=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97<=#=kk156sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh156*:b`8:?x{zu2c::l4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?k0Z<:::3y'1gd=12.>nl46;|~y>o6>k0;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k22f<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::n5Y15796~"2jk0m7);ma;d8yx{z3`;?j7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>918215=Q9=?1>v*:bc8e?!3ei3l0qpsr;h365?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>509U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?72:2\:884={%7af?`<,??51zT`g?4|,83;6<;<;W371?4|,{zut1b=8:50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3tho>7>51083>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;;8 0df201vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de201/9oo59:~yx=n9?21<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol59:&6fd<>3twvq6g>6883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>01]=9;52z&6fg<>3-?im774}|~?l71i3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91822d=Q9=?1>v*:bc8:?!3ei330qpsr;h35f?6=,;;o6<:l;W02b?7|D;8:683;=n6X>4481!3ej3l0(8ln:g9~yx{??51zT`g?4|,83;6<:i;W371?4|,{zut1b=8>50;&15a<64}K:;;1=vXlc;0x 4?728?;7[?;5;0x 0de2o1/9oo5f:~yx=n9<;1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho74363_;?97c=#=kk1j6sr}|9j504=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8<4V066>7}#=kh1j6*:b`8e?x{zu2c:9>4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918216=Q9=?1>v*:bc82?!3ei3;0qpsr;h360?6=,;;o6<:l;W02b?7|D;8:683;>86X>4481!3ej3;0(8ln:09~yx{d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{0Z<:::3y'1gd=12.>nl46;|~y>o6>>0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7102\:884={%7af??<,290/>??51zT`g?4|,83;6<86;W371?4|,{zut1b=;o50;&15a<64}K:;;1=vXlc;0x 4?72840e3_;?97<=#=kk156sr}|9j53e=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;m4V066>7}#=kh1j6*:b`8e?x{zu2c:8k4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=l0Z<:::3y'1gd=n2.>nl4i;|~y>o6=90;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k214<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51438R4222;q/9ol5f:&6fd5383>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=;1]=9;52z&6fg:0yUgf<5s-;2<7?:3:T200<5s-?in7?4$4`b>4=zutw0e<;;:18'64b=9=i0Z??i:0yO677=9r\ho74333_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wih94?:0394?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97<=#=kk156sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh156*:b`8:?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8:?!3ei330qpsr;h353?6=,;;o6<:l;W02b?7|D;8:683;=;6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>h0;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k22g<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::o5Y15796~"2jk0m7);ma;d8yx{z3`;=o7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35g>P6<<09w);mb;d8 0df2o1vqps4i06e>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;f:T200<5s-?in7h4$4`b>c=zutw0e<;?:18'64b=9=i0D??l;W02b?7|D;8:683;><6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7292\:884={%7af?`<,??51zT`g?4|,83;6<;=;W371?4|,{zut1b=8=50;&15a<6>6?u+5c`95>"2jh0:7psr}:k211<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:995Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cf6>5<593:1N5981b=9j50;&15a<6>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72851758R4222;q/9ol59:&6fd<>3twvq6g>6983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg<>3-?im774}|~?l7113:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?99:T200<5s-?in774$4`b><=zutw0e<8n:18'64b=9=i0Z??i:0yO677=9r\ho740f3_;?97<=#=kk156sr}|9j53d=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;l4V066>7}#=kh156*:b`8:?x{zu2c::n4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822f=Q9=?1>v*:bc8:?!3ei330qpsr;h37b?6=,;;o6<:l;W02b?7|D;8:683;?j6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6=;0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>539U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?72;2\:884={%7af??<,??51zT`g?4|,83;6<;;;W371?4|,{zut1b=8;50;&15a<64}K:;;1=vXlc;0x 4?728?>7[?;5;0x 0de201/9oo59:~yx=n9<<1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51448R4222;q/9ol5f:&6fd5683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=>1]=9;52z&6fg<63-?im7?4}|~?l7203:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:8:T200<5s-?in7?4$4`b>4=zutw0e<;n:18'64b=9=i0Z??i:0yO677=9r\ho743f3_;?974=#=kk1=6sr}|9j50d=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8l4V066>7}#=kh1=6*:b`82?x{zu2c:9n4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821f=Q9=?1>v*:bc82?!3ei3;0qpsr;h36`?6=,;;o6<:l;W02b?7|D;8:683;>h6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>90;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>619U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7192\:884={%7af?7<,??51zT`g?4|,83;6<8=;W371?4|,{zut1b=;=50;&15a<6>6?u+5c`95>"2jh0:7psr}:k220<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::85Y15796~"2jk0:7);ma;38yx{z3`;=:7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;352>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zjm<1<7950;2x 77c28237E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de281/9oo51:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol51:&6fd<63twvq6g>6683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg<63-?im7?4}|~?l7103:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~fa1=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xdc03:1><4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de201/9oo59:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol59:&6fd<>3twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<>3-?im774}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in774$4`b><=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?97<=#=kk156sr}|9j53>=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh156*:b`8:?x{zu2c::44?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822<=Q9=?1>v*:bc8:?!3ei330qpsr;h35e?6=,;;o6<:l;W02b?7|D;8:683;=m6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4g9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7282\:884={%7af??<,??51zT`g?4|,83;6<;>;W371?4|,{zut1b=8<50;&15a<6>6?u+5c`9=>"2jh027psr}:k216<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9>5Y15796~"2jk027);ma;;8yx{z3`;>87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;360>P6<<09w);mb;;8 0df201vqps4i076>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:5:T200<5s-?in774$4`b><=zutw0e<;9:18'64b=9=i0Z??i:0yO677=9r\ho74313_;?97c=#=kk1j6sr}|9j501=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=894V066>7}#=kh1=6*:b`82?x{zu2c:954?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821==Q9=?1>v*:bc82?!3ei3;0qpsr;h36e?6=,;;o6<:l;W02b?7|D;8:683;>m6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6=m0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>5e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?72m2\:884={%7af?7<,??51zT`g?4|,83;6<;i;W371?4|,{zut1b=;>50;&15a<6>6?u+5c`95>"2jh0:7psr}:k224<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::<5Y15796~"2jk0:7);ma;38yx{z3`;=>7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;356>P6<<09w);mb;38 0df281vqps4i040>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<87[?;5;0x 0de281/9oo51:~yx=n9??1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51778R4222;q/9ol51:&6fd<63twvq6g>6783>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>?1]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qoj6:1815?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in774$4`b><=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97<=#=kk156sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh156*:b`8:?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8:?!3ei330qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>j0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>6b9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?73n2\:884={%7af??<,??51zT`g?4|,83;6<;?;W371?4|,{zut1b=8?50;&15a<6>6?u+5c`9=>"2jh027psr}:k217<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9?5Y15796~"2jk027);ma;;8yx{z3`;>?7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;367>P6<<09w);mb;;8 0df201vqps4i077>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728??7[?;5;0x 0de201/9oo59:~yx=n951478R4222;q/9ol5f:&6fd5783>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=?1]=9;52z&6fg:0yUgf<5s-;2<7?:7:T200<5s-?in7?4$4`b>4=zutw0e<;7:18'64b=9=i0Z??i:0yO677=9r\ho743?3_;?974=#=kk1=6sr}|9j50g=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8o4V066>7}#=kh1=6*:b`82?x{zu2c:9o4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821g=Q9=?1>v*:bc82?!3ei3;0qpsr;h36g?6=,;;o6<:l;W02b?7|D;8:683;>o6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6=o0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>5g9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7182\:884={%7af?7<,??51zT`g?4|,83;6<8>;W371?4|,{zut1b=;<50;&15a<6>6?u+5c`95>"2jh0:7psr}:k226<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::>5Y15796~"2jk0:7);ma;38yx{z3`;=97>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;351>P6<<09w);mb;38 0df281vqps4i045>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<=7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6smd`83>77=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in774$4`b><=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97<=#=kk156sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh156*:b`8:?x{zu2c::l4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822d=Q9=?1>v*:bc8:?!3ei330qpsr;h35f?6=,;;o6<:l;W02b?7|D;8:683;=n6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6=90;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>519U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7292\:884={%7af??<,??51zT`g?4|,83;6<;=;W371?4|,{zut1b=8=50;&15a<6>6?u+5c`9=>"2jh027psr}:k211<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:995Y15796~"2jk027);ma;;8yx{z3`;>97>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;361>P6<<09w);mb;d8 0df2o1vqps4i075>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?=7[?;5;0x 0de2o1/9oo5f:~yx=n9<=1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51458R4222;q/9ol51:&6fd<63twvq6g>5983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=11]=9;52z&6fg<63-?im7?4}|~?l72i3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:a:T200<5s-?in7?4$4`b>4=zutw0e<;m:18'64b=9=i0Z??i:0yO677=9r\ho743e3_;?974=#=kk1=6sr}|9j50e=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8m4V066>7}#=kh1=6*:b`82?x{zu2c:9i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821a=Q9=?1>v*:bc82?!3ei3;0qpsr;h36a?6=,;;o6<:l;W02b?7|D;8:683;>i6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>80;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>609U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?71:2\:884={%7af?7<,??51zT`g?4|,83;6<8<;W371?4|,{zut1b=;;50;&15a<6>6?u+5c`95>"2jh0:7psr}:k223<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::;5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cfa>5<3290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zjmi1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3thoh7>56;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?`<,4}Qkj09w)?60;353>P6<<09w);mb;d8 0df2o1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zjmo1<7?>:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk027);ma;;8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;;8 0df201vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de201/9oo59:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol59:&6fd<>3twvq6g>6683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg<>3-?im774}|~?l7103:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in774$4`b><=zutw0e<86:18'64b=9=i0Z??i:0yO677=9r\ho740>3_;?97<=#=kk156sr}|9j53g=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<8m;W371?4|,{zut1b=;m50;&15a<64}K:;;1=vXlc;0x 4?72842a3_;?97c=#=kk1j6sr}|9j506=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8>4V066>7}#=kh1j6*:b`8e?x{zu2c:9<4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918214=Q9=?1>v*:bc82?!3ei3;0qpsr;h366?6=,;;o6<:l;W02b?7|D;8:683;>>6X>4481!3ej3;0(8ln:09~yx{0Z<:::3y'1gd=92.>nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7o6=4+20f951e<^;;m6nl46;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af??<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`9=>"2jh027psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk027);ma;;8yx{z3`;=m7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>h1]=9;52z&6fg<>3-?im774}|~?l71j3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91822g=Q9=?1>v*:bc8e?!3ei3l0qpsr;h35g?6=,;;o6<:l;W02b?7|D;8:683;=o6X>4481!3ej3l0(8ln:g9~yx{m6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73n2\:884={%7af?`<,??51zT`g?4|,83;6<;?;W371?4|,{zut1b=8?50;&15a<6>6?u+5c`95>"2jh0:7psr}:k217<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9?5Y15796~"2jk0:7);ma;38yx{z3`;>?7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;367>P6<<09w);mb;38 0df281vqps4i077>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728??7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sme183>47=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in774$4`b><=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97<=#=kk156sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh156*:b`8:?x{zu2c::l4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?k0Z<:::3y'1gd=12.>nl46;|~y>o6>k0;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k22f<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517a8R4222;q/9ol5f:&6fd4g83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9h4V066>7}#=kh1j6*:b`8e?x{zu2c:9=4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918215=Q9=?1>v*:bc8e?!3ei3l0qpsr;h365?6=,;;o6<:l;W02b?7|D;8:683;>=6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6==0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>559U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af??<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`9=>"2jh027psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk027);ma;;8yx{z3`;=57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35=>P6<<09w);mb;;8 0df201vqps4i04b>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?9a:T200<5s-?in774$4`b><=zutw0e<8m:18'64b=9=i0D??l;W02b?7|D;8:683;=n6X>4481!3ej3l0(8ln:g9~yx{??51zT`g?4|,83;6<:i;W371?4|,{zut1b=8>50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k214<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9<5Y15796~"2jk0:7);ma;38yx{z3`;>>7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;366>P6<<09w);mb;38 0df281vqps4i070>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?87[?;5;0x 0de281/9oo51:~yx=n9<>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51468R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xdb:3:1:7>50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<63-?im7?4}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7?4$4`b>4=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wii>4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qok;:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4k;%7ae?bh7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?b<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vnh;50;794?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?`<,4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zjl<1<7;50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej330(8ln:89~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?`<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<64}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sme683>3<729q/>o6=4+20f951e<^;;m6nl46;|~y>o6=00;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k221<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd6683>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`f3:1N5981b=9j50;&15a<6>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg:0yUgf<5s-;2<7?94:T200<5s-?in7h4$4`b>c=zutw0e<88:18'64b=9=i0D??l;W02b?7|D;8:683;=;6X>4481!3ej3l0(8ln:g9~yx{5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97<=#=kk156sr}|9j532=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<88;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:aad<72>0;6=u+20f95=><@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`95>"2jh0:7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0:7);ma;38yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;38 0df281vqps4i04;>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6smec83>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cg`>5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8g?!3ei3n0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3n0(8ln:e9~yx{5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7h4$4`b>c=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f`c=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af??<,4}Qkj09w)?60;37a>P6<<09w);mb;d8 0df2o1vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in7h4$4`b>c=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97c=#=kk1j6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wiik4?:783>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5f:&6fd6583>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1j6*:b`8e?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk027);ma;;8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;;8 0df201vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in7h4$4`b>c=zutw0e<8;:18'64b=9=i0D??l;W02b?7|D;8:683;=86X>4481!3ej3l0(8ln:g9~yx{nl4>;|~y>{en80;6:4?:1y'64b==j1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<64}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2o1/9oo5f:~yx=n9?21<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5f:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xda:3:1;7>50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<63-?im7?4}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7?4$4`b>4=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?974=#=kk1=6sr}|9j53>=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`e7?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~fc2=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?b<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:ab0<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9K64e<^;;m6nl4>;|~y>{en?0;694?:1y'64b==j1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af??<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vnk950;694?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cd;>5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cd:>5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5f:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xdai3:1;7>50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<63-?im7?4}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7?4$4`b>4=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?974=#=kk1=6sr}|9j53>=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`ef?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~fce=83>1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk027);ma;;8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8:?!3ei330qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=12.>nl46;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:abc<72=0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej330(8ln:89~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af??<,290/>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8:;6=4;:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=12.>nl46;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?70083>3<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol51:&6fd<63twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<63-?im7?4}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f46529096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=92.>nl4>;|~y>{e9991<7;50;2x 77c2;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0m7);ma;d8yx{z3`;>57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8e?!3ei3l0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{56;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de201/9oo59:~yx=n9?>1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho74033_;?97c=#=kk1j6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`240<7210;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<64}K:;;1=vXlc;0x 4?7284003_;?97c=#=kk1j6sr}|9j53>=83.9=i4>4b9K64e<^;;m6nl4i;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?70783>=<729q/>o6=4+20f951e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2o1/9oo5f:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd6683>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1j6*:b`8e?x{zu2c::54?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?20Z<:::3y'1gd=n2.>nl4i;|~y>o6>00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>689U513=:r.>no4i;%7ae?`f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;h35P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk0m7);ma;d8yx{z3`;=m7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35e>P6<<09w);mb;d8 0df2o1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8:36=49:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?70883>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c33e?6=;3:1N5981b=9j50;&15a<6>6?u+5c`9`>"2jh0o7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0o7);ma;f8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c33f?6=13:1N5981b=9j50;&15a<6>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<>3-?im774}|~?l71<3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8e?!3ei3l0qpsr;h353?6=,;;o6<:l;W02b?7|D;8:683;=;6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7102\:884={%7af?`<,290/>4}Qkj09w)?60;35=>P6<<09w);mb;d8 0df2o1vqps4i04b>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sm11a94??=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97<=#=kk156sr}|9j532=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2o1/9oo5f:~yx=n9?31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740>3_;?97c=#=kk1j6sr}|9j53g=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;o4V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`24a<7200;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=12.>nl46;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0m7);ma;d8yx{z3`;=47>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg{M015?7|^ji1>v*>91822<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h35e?6=,;;o6<:l;W02b?7|D;8:683;=m6X>4481!3ej3l0(8ln:g9~yx{5<693:1N5981b=9j50;&15a<6>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72851758R4222;q/9ol59:&6fd<>3twvq6g>6983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg<>3-?im774}|~?l7113:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?99:T200<5s-?in774$4`b><=zutw0e<8n:18'64b=9=i0D??l;W02b?7|D;8:683;=m6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?71j2\:884={%7af??<,4}Qkj09w)?60;35g>P6<<09w);mb;d8 0df2o1vqps4i06e>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;f:T200<5s-?in7h4$4`b>c=zutw0e<;?:18'64b=9=i0D??l;W02b?7|D;8:683;><6X>4481!3ej3l0(8ln:g9~yx{nl4i;|~y>o6=:0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>529U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?72<2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn<>i:1825?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in774$4`b><=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97<=#=kk156sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh156*:b`8:?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8:?!3ei330qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?71i2\:884={%7af??<,4}Qkj09w)?60;35f>P6<<09w);mb;;8 0df201vqps4i04`>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?9c:T200<5s-?in7h4$4`b>c=zutw0e<:i:18'64b=9=i0D??l;W02b?7|D;8:683;?j6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7282\:884={%7af?`<,??51zT`g?4|,83;6<;>;W371?4|,{zut1b=8<50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k216<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9>5Y15796~"2jk0:7);ma;38yx{z3`;>87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;360>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8;;6=48:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>10;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8;96=48:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk027);ma;;8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;;8 0df201vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2o1/9oo5f:~yx=n9?>1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho74033_;?97c=#=kk1j6sr}|9j531=83.9=i4>4b9K64e<^;;m6nl4i;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?71283>=<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af??<,4}Qkj09w)?60;37a>P6<<09w);mb;;8 0df201vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in774$4`b><=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97c=#=kk1j6sr}|9j531=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<87;W371?4|,{zut1b=;750;&15a<6>6?u+5c`9b>"2jh0m7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:=94?:983>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in774$4`b><=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97c=#=kk1j6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1j6*:b`8e?x{zu2c:::4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?=0Z<:::3y'1gd=n2.>nl4i;|~y>o6>10;6)<>d;37g>N59j1]><^8>>6?u+5c`9b>"2jh0m7psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk0m7);ma;d8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c321?6=13:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de201/9oo59:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol59:&6fd<>3twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<>3-?im774}|~?l71<3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8:?!3ei330qpsr;h353?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0m7);ma;d8yx{z3`;=57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>01]=9;52z&6fg:0yUgf<5s-;2<7?9a:T200<5s-?in7h4$4`b>c=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f47129026=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej330(8ln:89~yx{??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2o1/9oo5f:~yx=n9?31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740>3_;?97c=#=kk1j6sr}|9j53g=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;o4V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`252<72?0;6=u+20f95=><@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`95>"2jh0:7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0:7);ma;38yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8;36=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=<750;;94?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7h4$4`b>c=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97c=#=kk1j6sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1j6*:b`8e?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8:?!3ei330qpsr;h353?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0m7);ma;d8yx{z3`;=57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35=>P6<<09w);mb;d8 0df2o1vqps4i04b>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sm10c94??=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;d8 0df2o1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2o1/9oo5f:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5f:&6fd6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8:?!3ei330qpsr;h35P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?7112\:884={%7af?`<,??51zT`g?4|,83;6<8n;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a54d=8331<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?`<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9b>"2jh0m7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk027);ma;;8yx{z3`;=;7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg<>3-?im774}|~?l7103:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8e?!3ei3l0qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej3l0(8ln:g9~yx{nl4>;|~y>{e98i1<7:50;2x 77c28237E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm10f94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`25`<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97a=#=kk1h6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1h6*:b`8g?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`25c<72?0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<64}K:;;1=vXlc;0x 4?7284003_;?97c=#=kk1j6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=?>50;594?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?`<,4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4i044>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in7h4$4`b>c=zutw0e<87:18'64b=9=i0D??l;W02b?7|D;8:683;=46X>4481!3ej3l0(8ln:g9~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0m7);ma;d8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;h3583;=46X>4481!3ej3l0(8ln:g9~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?`<,4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4i044>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in7h4$4`b>c=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97c=#=kk1j6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=?=50;594?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0m7);ma;d8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;h3583;=46X>4481!3ej3l0(8ln:g9~yx{5<0290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;38 0df281vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72851758R4222;q/9ol51:&6fd<63twvq6g>6983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?=5;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8g?!3ei3n0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3n0(8ln:e9~yx{5<1290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0m7);ma;d8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{56;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=n2.>nl4i;|~y>o6=00;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k221<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd6683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?=9;293?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=n2.>nl4i;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k222<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51758R4222;q/9ol5f:&6fd6983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?=a;293?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0m7);ma;d8yx{z3`;=47>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35<>P6<<09w);mb;d8 0df2o1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj88i6=48:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1j6*:b`8e?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=n2.>nl4i;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k222<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51758R4222;q/9ol5f:&6fd6983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?=c;293?6=8r.9=i4>899K647o6=4+20f951e<^;;m6nl4>;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?7<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:>i4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?=e;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5d:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?=f;290?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?97c=#=kk1j6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1j6*:b`8e?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{55;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=n2.>nl4i;|~y>o6=00;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k221<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd6;80;684?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de201/9oo59:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97c=#=kk1j6sr}|9j50?=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<8;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a564=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0m7);ma;d8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4i;%7ae?`f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5f:&6fd6583>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1j6*:b`8e?x{zu2c:::4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?=0Z<:::3y'1gd=n2.>nl4i;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73583>2<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol51:&6fd<63twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<63-?im7?4}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in7?4$4`b>4=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=>;50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73783>6<729q/>o6=4+20f951e<^;;m6nl4k;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73683>3<729q/>o6=4+20f951e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9b>"2jh0m7psr}:k221<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd6683>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`27=<72>0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=12.>nl46;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k222<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51758R4222;q/9ol5f:&6fd6983>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`27<<72>0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=n2.>nl4i;|~y>o6>=0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0m7);ma;d8yx{z3`;=47>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8:?!3ei330qpsr;h350?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0m7);ma;d8yx{z3`;=47>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?71?2\:884={%7af?`<,4}Qkj09w)?60;35<>P6<<09w);mb;d8 0df2o1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj89h6=48:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>10;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj89n6=48:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk027);ma;;8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;;8 0df201vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in7h4$4`b>c=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97c=#=kk1j6sr}|9j531=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<87;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a56`=83=1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af??<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2o1/9oo5f:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd6683>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1j6*:b`8e?x{zu2c::54?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?20Z<:::3y'1gd=n2.>nl4i;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74183>47=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in774$4`b><=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97<=#=kk156sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh156*:b`8:?x{zu2c::l4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?k0Z<:::3y'1gd=12.>nl46;|~y>o6>k0;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k22f<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517a8R4222;q/9ol5f:&6fd4g83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9h4V066>7}#=kh1j6*:b`8e?x{zu2c:9=4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<:0Z<:::3y'1gd=n2.>nl4i;|~y>o6=80;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>509U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?72:2\:884={%7af?7<,??51zT`g?4|,83;6<;<;W371?4|,{zut1b=8:50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:8<4?:0394?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97<=#=kk156sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh156*:b`8:?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8:?!3ei330qpsr;h353?6=,;;o6<:l;W02b?7|D;8:683;=;6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>h0;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k22g<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517`8R4222;q/9ol5f:&6fd6b83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>j1]=9;52z&6fg{M015?7|^ji1>v*>91820c=Q9=?1>v*:bc8e?!3ei3l0qpsr;h364?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>519U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?7292\:884={%7af?`<,??51zT`g?4|,83;6<;=;W371?4|,{zut1b=8=50;&15a<6>6?u+5c`95>"2jh0:7psr}:k211<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:995Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c376?6=980;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8:?!3ei330qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>689U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190::l5Y15796~"2jk027);ma;;8yx{z3`;=n7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>k1]=9;52z&6fg<>3-?im774}|~?l71k3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91822f=Q9=?1>v*:bc8e?!3ei3l0qpsr;h37b?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4g9U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:9=5Y15796~"2jk0m7);ma;d8yx{z3`;>=7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;365>P6<<09w);mb;d8 0df2o1vqps4i071>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?97[?;5;0x 0de281/9oo51:~yx=n9<91<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51418R4222;q/9ol51:&6fd<63twvq6g>5583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6==1]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?;3;292?6=8r.9=i4>899K647o6=4+20f951e<^;;m6nl4>;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?7<,??51zT`g?4|,83;6<88;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:p8`9]42c34>2i7?;d:?7=c<64e9>`0<64e9>`<<64e9~w=?=839p15o519c8Z=?<5k?1=9m4}r`6>56<66;<6:a?73m27?5k4>4d9>5c0=9=n01i;515g89a>=9=o01i7515g89ag=9=o0q~87:18080>282j7S87;<;1>42d3ty2>7>58z?:6?7?127?5h4>589>0<`=9<30128?270jn:07:?xu1>3:1?v397;3;e>X1>272=7?;c:p=4<7282p14?519;8963028>o70=9d;37`>;4?90:8i52506951b<5<;>6<:k;<7`0?73l27?5h4>659>0<`=9?>01:06g?83f93;?h636d;37`>;>m3;?h63k1;37`>;c:3;?h63k5;350>;c03;=863k9;350>;ci3;=863ke;37`>;cn3;?h63>0d820a=:9=:1=9j4=062>42c3ty=87>53z?51?7?i2T=86360;37g>{t190;6<6t=8295=?<5:?<6<:j;<15a?73l278;<4>4e9>140=9=n018?8:06g?83d<3;?i63;9d8222=:<0l1=;94=0a6>42c34?j>7?;d:?:g?73l272j7?;d:?b4?73l27o?7?;d:?g0?73l27o97?97:?g4e9>514=9=n0q~8=:180804282j7S8=;<:e>42d3ty3j7>58z?;b?7?127?5h4>699>0<`=9?20128<370jn:04;?xu183:1?v391;3;e>X18273i7?;c:p<`<721q64h4>889>0;c03;=563k9;35=>;ci3;=56s|5d83>6}:=o0:4l5Q5d9>n3;=m63>ad820a=:l<0::l52d9822d=:l00::l52d`822d=z{>h1<7=t=6a95=gh01lk515a8yvgb290>:v3ne;3;=>;3mm0:8i524dg951b<5;ki6<:j;<6bg?73l27==k4>4e9>276=9=n01>42c349>;7?:9:?025<6o70=>8;37`>;4900:8i5230c951b<5:;i6<:k;<12g?73l27><84>4e9>0`5=9=n018m>:06g?83d:3;?h63:c2820a=:=j>1=874=5;f>40e34>2j7?9b:?6`d<6o70?k3;37`>;6l=0:8i521e7951b<58n=6<:k;<3gb?73l27:i=4>4e9>5`7=9=n01eg820a=:9ol1=9j4=472>42c34?3;7?;d:?6<=<64d9>=`<64d9>e5<64d9>12g=9=n0189m:06g?830k3;?h63:7e820a=:=0<1=9j4=4;4>42c34n>6<8m;40e34n26<8m;40e34o>6<:k;42c34on6<:k;42c34l=6<:k;42c34l36<:k;42c34lh6<:k;42c34ln6<:k;42c34;;<7?;d:?241<6o70?>3;37`>;69=0:8i52107951b<58;=6<:k;<32b?73l27:>:4>4e9>57>=9=n01<=?:06g?874?3;?h6s|7983>6}:?00:4l5Q799>ea<6;3n90:8i522``950?<5=kh6<:j;<42b?73m278>i4>4d9>77c=9=o01>:6:06f?853i3;?i63<55820a=:;403349=>7?;d:?026<6n70=>8;37a>;4900:8h5230c951c<5:;i6<:j;<12g?73m27=

4e9>25`=9=n019k<:06f?83d93;?i63:c3820`=:=j>1=;:4=5;f>40d34>2j7?9c:?6`a<6n70?k4;37a>;6l<0:8h521e4951c<58nm6<:j;<3f4?73m27:i<4>4d9>5`4=9=o01ed820`=:9ll1=9k4=322>42c348;>7?;d:?146<6o70;77;37a>;2010:8h529e821<=:1l0:94529g821<=:i90:9452ac821<=:=>k1=9k4=45a>42b34?o70;65;37`>;21?0:8h52585951c<5m?1=;m4=e:953e<5m31=;m4=ec953e<5mn1=9j4=d5951b<5l21=9j4=d;951b<5ll1=9j4=g2951b<5o;1=9k4=g4951c<5o=1=9k4=g:951c<5o31=9k4=ga951c<5on1=9k4=gg951c<5ol1=9k4=024>42c34;;n7?;d:?24f<6o70?>3;37a>;69=0:8h52107951c<58;=6<:j;<314?73l27:><4>4e9>574=9=n01<<<:06g?87513;?h63>2`820a=:9;h1=9j4=010>42c34;847?;d:?27<<6o515f8945e28>o70?;6;o0:8i5rs6494?5|5>=1=5o4^6489d3=9=i0q~o::1864~;f=3;3563;eg820`=:>8l1=874=703>42b3499h7?:9:?06`<6=016?9o514;8963328>n70=92;37a>;4>:0:8h5237a950?<5:4d9>727=9=o018?;:06f?836=3;?i63:17820`=:=8=1=9k4=23;>43>34?h?7?;e:?7=`<6;6l<0:94521e4950?<58o:6<;6;<3f6?72127:ik4>589>657=9=o018o>:06f?83f:3;?i63:53820`=:=121=874=8a951c<50n1=;:4=8g9532<50l1=;:4=`29532<5h31=9j4=`;951c<5hh1=;:4=45`>43>34?4d9>`7<64>4d9>`1<64g9>`=<64g9>`d<64d9>`c<64d9>a4<64d9>a=<64d9>b3<6=016==>515g8946328>n70??7;37a>;68k0:8h5211g951c<58:m6<:j;<321?72127:=;4>589>576=9=o01<<>:06f?87513;?i63>32820`=:9:21=9k4=01:>42b34;8i7?;e:?205<6n7p}84;297~;0=3;3m6P84:?b0?73k2wxm94?:2cx9d2=913019h?:06f?806n3;=863921821<=:;;n1=;:4=20f>403349>97?;e:?021<6;4?80:9452506950?<5<;>6<;6;<722?72127>=:4>589>1f7=9<3018m<:07:?82>m3;><63;9g8215=::931=9j4=4c2>43>34?j>7?:9:?616<6589>=a<6>>165h4>669>=c<6>>16m=4>669>`4<6=016h?4>589>`6<6=016h94>589>`0<6=916h54>519>`<<6=916hl4>519>`a<6589>`c<6=016i=4>589>a4<6=016i44>4d9>b5<6589>556=9<301<>;:07:?877k3;?i63>0e820`=:99o1=874=02e>43>34;:>7?;e:?27d<6l515g8945a28>n70?;0;36=>;6<80:9452150950?4>f3W=;7079:06`?xu>>3:1>5u29782<<=:;?n1=;:4=24f>403349<<7?94:?034<6>=169<:517689072286;350>;29>0::95230;950?<5==h6<:k;<64g?73m27?5h4>509>0<`=9<;01?>n:06g?83f93;=863:a38221=:1j0::9529e822==:1l0::5529g822==:i90::552d08221=:l;0::952d28221=:l=0::952d48214=:l10:9<52d88214=:lh0:9<52dd8221=:lo0::952e18221=:m80::952f6821<=:99o1=;:4=02e>40334;?<7?94:?204<6>=16=9<51768yv0b2908w08i:0:b?[0b343>6<:l;|q:1?6=:hq6584>889>73b=9?=01>8j:044?85083;=;63<708222=:=8>1=;94=436>40034?::7?97:?652<6>>169n<514;891?b28?970:6f;366>;6no0:8h52212951b<5;::6<;6;<036?73m279<>4>4d9>1d7=9?=018o=:044?8?d28<<707k:04:?8?b28<2707i:04:?8g728<270j>:044?8b528<<70j<:044?8b328<<70j::071?8b?28?970j6:071?8bf28?970jj:044?8ba28<<70k?:044?8c628<<70hk:07:?877m3;=;63>0g8222=:9=:1=;94=062>40034;?>7?97:p2f<72:q6:i4>8`9]2f=:1=0:8n5rs8694?41s43?6<66;<15`?710278:h4>699>726=9?201>9>:04;?836<3;=463:14822==:=8<1=;64=434>40?349:m7?:9:?7=`<6=:1684h5141894`028>o70;n1;35<>;2i;0::5529b822==:1m0::l529d822d=:1o0::l52a1822d=:l80::552d3822==:l:0::552d5822==:l<0:9>52d98216=:l00:9>52d`8216=:ll0::552dg822==:m90::552e0822==:nl0:945211g953><58:m6<87;<374?71027:8<4>699>514=9?20q~8n:18080e282j7S8n;<;0>42d3ty2?7>527y>=6<60016?;j517;8960b28<270=80;35=>;4?80::452506953?<5<;>6<86;<722?71127>=:4>689>74d=9<30197j:077?82>n3;>863>e`820a=:=h;1=;74=4c1>40>343h6<86;<;g>40e343n6<8m;<;e>40e34k;6<8m;40>34n96<86;40>34n?6<86;43334n36<;;;43334nj6<;;;40>34nm6<86;40>34o:6<86;43>34;;i7?99:?24c<6>016=9>517;8942628<270?;2;35=>{tj00;69u2b`824b9>ff<6l3i=70=j2;a5?825j3i=70:<7;a5?xuek3:1mv3mc;3;=>;3=<0h:63;608`2>;3<80h:63;038`2>;40:0h:63<8g8`2>;3:<0h:63;308`2>;3;2j10:8n5rs4`;>5<5mr7>n54>889>0g>=9=n019l6:06g?851l3;=m63<6d822d=:;>:1=;o4=252>40f34?:87?9a:?650<6>h169<8517c8907028;3m:0:945257;951b<5<3m6<:k;<7b5?71i27>m?4>6`9>107=9=o018;=:07:?832;3;>5636c;35e>;>l3;=o636e;35g>;>n3;=o63n0;35g>;2?90:8i52d0822d=:l;0::l52d2822d=:l=0::l52dd822d=:lo0::l52e1822d=:m80::l52f9821<=:99?1=9k4=025>42b34;;;7?:9:?24g<6=016==m514;8946c28?270??e;35e>;68o0::l52152953g<58>:6<8n;<376?71i2wxo<4?:5y>g7<60h1Uo<52c2820f=:k=0:8n5rsb194?75s4i86<66;<05659>046=9?>019?>:047?826:3;=863;128221=:;o<1=;:4=2d4>403349m47?94:?0b<<6>=16?ho514;896ce28?270=jc;36=>;3<10:945210;9532<58;j6<8;;<32f?71<2wxo94?:04x9f2=91301?==:b48973c2j<01?8n:b4897132j<01?9i:b4897?22j<01?6n:b4897g72j<01?ok:b4897e42j<01?=k:b48972?2j<01?l7:b4897342j<01>?i:b4897ef2j<01?j<:b48974e2j<01><7:b4896bd2j<018=n:b48yv73>3:18v3>4682278?n4l6:?7ec27=>>4l6:?0772788n4l6:?01<278:;4l6:?727?594l6:?7ff27?o:4l6:?7`427?h54l6:?03127>=l4l6:?6a`27>jn4l6:?54=27>27==;4l6:?7ba27>h>4l6:?7<127?i84l6:?6g227=>k4l6:?57027?;84l6:?73`27?m?4l6:?6a427=>44l6:?50427>>i4l6:p51?=839p1<:6:0::?853;3i=708;7;a5?xu2:l0;6>u253d95=g{t=::1<7:n{<704?7?127?in4>4e9>0`b=9=o019ki:07:?82a83;>563<36820a=:400349?47?;d:?017<6515g8960528?270=94;36=>;3k;0:8i524b6951c<5<;96<:k;<720?71j27>=;4>6c9>1`g=9=n018kl:06g?83a>3;?h63902820a=:=9?1=9k4=731>42b34>mn7?;e:?6`4<6o70=;1;37`>;4<80:8h525e`951b<5659>5ac=9=n0142c34?>=7?:9:?617<6>=1698=5176890>028?270;78;350>;>l3;?j636f;37b>;2?90:8h5256c950?<5<=h6<8;;<7:2?72127o=7?9b:?g7?71j27o97?:5:?g;68o0::o52101950?<58;>6<8;;<305?73l27:?54>589>56g=9<301<:?:04a?873:3;=n6s|59c94?2|58=i6<:l;<7;f?7?i2T>4l5259c95=?57z?23g<60016?>=515f8965428>n70:=3;37`>;3::0:8h5243;951b<5=826<:j;|q61c<72:q69;>519c8Z03a34?==7?;c:p137=83?p188>:0::?82?i3;?i63;93820a=:<081=9k4=453>43>3ty>m84?:2y>1d0=91k0R8o:;<7b3?73k2wx9l950;7x90g0282270:le;37a>;3l?0:8i524e4951c<5=li6<;6;|q67a<72:q69>k519c8Z05c34?8j7?;c:p16`=83np18=i:0::?84103;=;63400349m57?97:?0<4<6028>o70=77;37a>;6900:::5210c9531<58;i6<88;|q``?6=;r7hi7?7a:\``>;6<=0:8n5rs067>5<69r7:894>889>75e=9=n01>>l:06f?84bn3;?h63=eg820`=::o21=9j4=3d;>42b348n47?;d:?1a=<6n70=ka;37`>;4lh0:8h522e7951b<5;n>6<:j;<0gb?73l279hk4>4d9~w03d2908w0;:d;3;e>X2=j1698k515a8yv32m3:1?v3:5d82<<=::;31=9j4=30:>42b3ty>8;4?:2y>111=91k0R8:9;<77;40m0:8h52381951b<5:386<:j;|q6f6<72:q69o:519c8Z0d434?i97?;c:p1g3=83?p18l::0::?825n3;?h63;2g820`=:<:?1=9j4=516>42b3ty<>7>53z?47?7?i2T<>63n3;37g>{ti:0;6?u2a282<<=:9hl1=9k4}r5g>5<4s4=n6<6n;_5g?8ga28>h7p}nf;296~;fn3;3563>b7820`=z{>l1<7=t=9295=gl01o>515a8yvd72909w0l?:0::?87el3;?i6s|8083>6}:0;0:4l5Q809>f4<6X?;27i>7?;c:pf7<72;q6n?4>889>766=9=o0q~6::1808>1282j7S6:;<`0>42d3tyi?7>52z?a7?7?127:o44>4d9~w=1=839p156519c8Z=1<5k>1=9m4}r`7>5<5s4h?6<66;<3ab?73m2wx9lo50;1x90ge282j7S;na:?6ed<6001v98::18183fi3;?o63;6482<<=z{=34>=87?79:p134=839p188<:0:b?[31:27>:?4>889~w12e2909w0;92;37g>;35<5s4?=>7m6;<67g?7?12wx9l650;1x90g>282j7S;n8:?6e=<6001v9;8:18183f03;?o63;5682<<=z{=?36=4={<7b34>>47?79:p167=839p18==:0:b?[34927>?<4>889~w15?2909w0;<1;37g>;3;10:445rs51:>5<5s4?8=7m6;<60=?7?12wx99:50;1x9022282j7S;;4:?601<6001v>88:181833<3;?o63<6682<<=z{<9i6=4<{<70g?7?i2T>?o5252`95=?52z?67g<6:06`?87fi3;356s|18:94?5|58326<6n;_3:<>;6110:445rs0`2>5<5s4;247?;c:?2f4<6001v<7n:18087>j3;3m6P>9`9>553z?2=a<60h1U=4m4=0;`>4>>3ty:o<4?:3y>5:0::?xu61l0;6>u218d95=g{t9ji1<742d34;ho7?79:p5d6=839p1:0:b?[7f827:m=4>889~w4b>2909w0?n0;37g>;6l00:445rs0c1>5<4s4;j?7?7a:\2e7=:9h81=574}r3f1?6=:r7:m?4>4b9>5`3=9130q~?n4;297~;6i<0:4l5Q1`6894g328227p}>f383>7}:9h>1=9m4=0d1>4>>3ty:m;4?:2y>5d1=91k0Rh70?i9;3;=>{t9h21<7=t=0c:>4>f3W;j463>a982<<=z{;:>6=4={<3b889~w0322908w0;:6;3;e>X2=<1698;519;8yv32?3:1>v3:54820f=:=<=1=574}r750?6=;r7>:84>8`9]132<5<6}:=0h1=5o4^4;b?83>i3;356s|58a94?4|5<3j6<:l;<7:g?7?12wx9lm50;1x90gc282j7S;nc:?6ef<6001v8oj:18183fk3;?o63:ad82<<=z{<>26=4<{<77e?7?i2T>845255;95=???7>52z?60<<6;2090:445rs4:1>5<5s4?3<7?;c:?6<7<6001v8:=:180833;3;3m6P:439>114=9130q~8;2;296~;2<;0:8n5265095=?52z?6v3:8`8`=>;6?:0:445rs0;5>5<4s4;2;7?7a:\2=3=:90<1=574}r;4>5<5s4;2:7?;c:?:3?7?12wx=4=50;1x94?3282j7S?63:?2=6<6001vl850;0x94?428>h70o9:0::?xu2:=0;6>u253795=g{t=;<1<742d34?9:7?79:p165=839p18=;:0:b?[34;27>?>4>889~w0522909w0;<3;37g>;2;<0:445rs44a>5<4s4?=o7?7a:\62g=:=?h1=574}r75`?6=:r7>:o4>4b9>13b=9130q~;82;297~;2?:0:4l5Q5608901528227p}:7583>7}:=>81=9m4=457>4>>3ty>4n4?:2y>1=b=91k0R86l;<7;g?7?12wx95k50;0x90>d28>h70;7e;3;=>{tjo0;6>u2c182889~w4>62909w0li:06`?87?93;356s|be83>6}:jl0:4l5Qbe9>fa<6001v<9l:1818dc28>h70?8c;3;=>{t42d34>=?7?;c:?72c<642c349o87?;d:p030=838p198;:06`?821>3;356s|47g94?4|5=<=6<:l;<65a?7?12wx8;950;0x910a28>o70:97;3;=>{t4>>34>=h7?;c:p51d=83how0?;b;3;=>;3>m096?>k;<661?47k27?:<4=0e9>037=:9i019:>:32g?823938;o63=33814a=:::81>=m4=37g>76c348>h7;o521a897132;:o70<84;03g>;5?o096?>k;<0:1?47k27?j?4=0e9>0c4=:9i01?6n:32g?84?i38;o63=a1814a=::h:1>=m4=3cg>76c348jh7n=521a8965d2;:o70=;5;m0936?>k;<076g>=:9i01?;<:32g?842;38;o63;ag814a=:=m4=700>76c34<9?7<521f8962d2;:o70=;c;03g>;4=009k;<152?47k27?4i4=0e9>0=b=:9i0197;:32g?82><38;o63;bb814a=:=m4=5a4>76c34>h;7;4?=09k;<72e?47k278=k4=0e9>74`=:9i018kj:32g?83bm38;o63<10814a=:;8;1>=m4=53e>76c34>:j7;39<09k;<4315d=:9i01;=m:32g?804j38;o63917814a=:>8<1>=m4=2c5>76c349j:7;5n?09k;<0eb?47k278n=4=0e9>7g6=:9i01?kk:32g?84bl38;o63<08814a=:;931>=m4=2`a>76c349in7;5kh09k;<6;0?47k279h>4=0e9>6a5=:9i019k::32g?82b=38;o63:c6814a=:=j=1>=m4=70e>76c34<9j7;1;<09k;<01f?47k278>54=0e9>77>=:9i01>j9:32g?85c>38;o63=m4=2gf>76c349ni7ij521a897c12;:o70;4<:09k;<14`?47k2784>4=0e9>7=5=:9i01>66:32g?85?138;o63<8g814a=:;1l1>=m4=2;6>76c349297;3:<09k;<61f?47k27??<4=0e9>067=:9i019=8:32g?824?38;o63;74814a=:<>?1>=m4=55f>76c34>;1:009:6?>k;<475?47k27?8l4=0e9>01g=:9i01;:8:32g?803?38;o63:2e814a=:=;n1>=m4=41b>76c34?8m7509>03d=9<80198m:070?821j3;>863;548`1>;3>80h963;408`1>;5=k0:9?5224`9505<5;?i6<;;;<6e6?e2348h<7?;f:?1g5<6=916>n>5143897e728?970;5k90:99522b3951`<5;i:6<;?;<0`5?729279o<4>539>6f7=9<901?m>:077?844i3;><63=3`8214=:::k1=8<4=31b>4343488m7?:4:?17g<6=916>>l51438975e28?970<;5;k0:99522579507<5;>>6<;=;<071?72;279884>559>610=9<;01?:9:071?843>3;>?63=478211=::k?1=;m4=3`6>42a348i97?:0:?1f0<6=816>o;5140897d228?870;5j?0::n522c4951`<5;h=6<;?;<0a2?729279n;4>539>6g0=9<901?l9:077?84283;>>63=518216=::<:1=8:4=372>435348>=7?:3:?114<6==168lh5c49>275=k<16?><5c49>71e=k<16?875c49>730=k<168om5c49>0f1=k<16?::5c49>73b=9<801>8k:070?851l3;>863<6d8217=:;?o1=8=4=24f>433349<<7?:1:?035<6=;16?:>51418961728??70=81;365>;4?80:9?523639505<5:=:6<;;;<72e?e234?:87?:2:?651<6=:169<:51468907228?970;>5;367>;29<0:99525049504<5<;=6<;<;<722?72<27>=:4>539>141=9<9018?8:077?856n3i>70;je;a6?85693i>70:>f;a6?83ak3i>70:?a;a6?826=3i>708?8;a6?837j3i>7083i>70=n6;a6?82al3i>70;k3;a6?84a>3i>707070=mb;a6?85d<3i>70=lf;a6?84di3i>70:74;a6?84c;3i>70:j5;a6?83d?3i>708=f;a6?85aj3i>70:?2;a6?804=3i>70<=b;a6?85503i>70=k6;a6?85ck3i>70=je;a6?84cl3i>7070=8d;a6?85?;3i>70=79;a6?85?n3i>70=65;a6?85>l3i>70=j2;a6?825=3i>70:=b;a6?82493i>70:<7;a6?820=3i>70:8e;a6?82f:3i>70:6e;362>;31l0:9:5248g950><5=3n6<;n;<6:a?72j27?5h4>5b9>0m3;>j63;9d8225=:<0o1=;?4=5;f>40534>2i7?93:?7=`<6><1684k5174891?a28?=70:6f;363>;31o0:955248d950g<5=3m6<;m;<6:b?72k27?5k4>5e9>0<`=9n3;=<63;9g8224=:<0l1=;<4=5;e>40434>2j7?95:?7=c<6>?169h?5c49>27?=k<16:9?5c49>1d7=9<9018o>:077?83f:3;>?63:a38211=:<=k1o8526559g0=:1j0:9?529b8216=:1j0:99529e8216=:1m0:99529d8216=:1l0:99529g8216=:1o0:9952a18216=:i90:995253f9g0=:=:k1o85256a953e<5<=h6<:i;<74g?72827>;n4>509>12e=9<80189l:070?830k3;>863:7e822f=:=>n1=9h4=45g>43734?:070?8b628??70j=:070?8b528??70j<:070?8b428??70j;:070?8b328??70j::074?8b228?370j::07b?8b228?i70j::07`?8b228?o70j::07f?8b228?m70j::043?8b228<:70j::041?8b228<870j::046?8b228<=70j7:074?8b?28?370j7:07b?8b?28?i70j7:07`?8b?28?o70j7:07f?8b?28?m70j7:043?8b?28<:70j7:041?8b?28<870j7:046?8b?28<=70j6:074?8b>28?370j6:07b?8b>28?i70j6:07`?8b>28?o70j6:07f?8b>28?m70j6:043?8b>28<:70j6:041?8b>28<870j6:046?8b>28<=70jn:074?8bf28?370jn:07b?8bf28?i70jn:07`?8bf28?o70jn:07f?8bf28?m70jn:043?8bf28<:70jn:041?8bf28<870jn:046?8bf28<=70jj:072?8bb28?970jj:070?8bb28??70ji:072?8ba28?970ji:070?8ba28??70k?:072?8c728?970k?:070?8c728??70k>:072?8c628?970k>:070?8c628??70??e;367>;68l0:995211d9505<58:m6<;;;<374?72:27:8=4>529>516=9<>01<:>:071?87393;>?63>408211=:9=81=8<4=061>43434;?>7?:4:p03>=838p1988:06g?82103;356s|47;94?4|5=<<6<:j;<65=?7?12wx?4k50;33821i3;?i63;6c820`=:;0o1=574=2c1>42c349j?7?;d:?0e1<6o70=m5;37`>;4j10:8i523b3951b<5:i96<:k;<1`f?73l278on4>4e9>7fb=9=n01>j;:06f?xu4i10;6ku247c950?<5=4d9>7d>=91301>ol:06f?85fl3;?i6342b349h>7?;e:?0gg<6n70=k4;36=>{t;k;1<7ht=54b>40334>=n7?94:?0e6<6=016?l:514;896d6282270=m5;37a>;4j?0:8h523c:950?<5:h26<:k;<1`5?721278o?4>589>7fd=9<301>ml:07:?85dl3;>563669>7d5=9?>01>o;:047?85e13;?i63403349ho7?94:?0ga<6>=16?i:51758yv5d>3:15v3;6`822==:400349j87?97:?0f<<6=016?n8519;896ef28>o70=ld;353>;4l=0::55rs54b>5<5s4>=m7?79:?72<<6k<:187821j3;=563;83820a=:<181=9k4=2g0>4>>3ty?>n4?:3y>03d=9?k01928>n7p}=2483>g}:42b34>>:7?;d:?713<6n70:;2;37`>;3<;0:8h5240f951b<5h70::5;3;=>{t<=n1<742d34>?h7?79:p000=838p19:k:06`?822>3;356s|45g94?4|5=?>6<:l;<67a?7?12wx89h50;0x912b28>o70:;f;3;=>{t<<:1<742b34>><7?79:p0=3=83>p19;>:06g?822:3;?h63;52820a=:<1?1=574}r623?6=9lq688?515g8913428>n70::d;37`>;3=o0:8i5242g951b<5;<36<:k;<623?7?127?=n4>4e9>051=9=n019>7:06g?827n3;?h63;11820a=:<8;1=9j4=531>42c34>:?7?;d:?0b0<6o70=i8;37`>;4n00:8i523d;951b<5:oj6<:k;<1ff?73l278in4>4e9>72d=9=n01>7n:06g?82303;?h63>18820a=:98k1=9j4=03a>42c3ty?;3=m0:8h5244g951b<5=9n6<:j;<60b?73l279:54>4d9>04d=9=n019?l:06f?826l3;?i63;09820`=:<9h1=574=52e>42b34>:<7?;e:?754<6n70=i5;37a>;4n?0:8h523g5951c<5:l36<:j;<1e=?73m278i54>4e9>7`?=9=o01>9n:06g?850j3;?i63<9c820a=:<=<1=9j4=03:>42b34;:m7?;e:?25g<6:18182293;3563;51820a=z{=:86=4>fz?717<6=01688=514;8913b28>n70::f;37a>;3;o0:8h5227:950?<5=;i6<:j;<62g?72127?=i4>589>055=913019>8:06f?827n3;>563;11821<=:<8;1=874=531>43>34>:?7?:9:?0b0<6=016?k8514;896`028?270=i8;36=>;4n00:94523d:951c<5:oj6<:j;<1ff?73m278in4>4d9>72g=9=o019:9:06f?82303;?i63>18821<=:98k1=874=03a>43>3ty?9?4?:3y>004=913019;?:06f?xu3i:0;6ou24419532<5=?m6<;6;<6b7?7?127?m44>4e9>0dg=9=n019om:06g?82fk3;>563;ae820a=:;0k1=9k4=2;a>42b34;;87?94:p005=838p19;<:0::?82283;>56s|47294?4|5=?<6<:l;<655?7?12wx88750;0x913?28>h70::9;3;=>{t42d34>=>7?79:p00g=838p198>:06`?822i3;356s|44`94?4|5=?j6<:k;<66f?7?12wx88m50;0x913f28>n70::c;3;=>{t43>34>>i7?:9:?71c<6>=168n7519;8yv22l3:1>v3;5e82<<=:<889>00e=9=o0q~::f;296~;3=o0:445244a950??<7>52z?77=<6v3;38820f=:<:k1=574}r676?6=:r7??l4>4b9>014=9130q~:8o7>52z?77g<6m519;8yv24l3:1>v3;3c820`=:<:n1=574}r60a?6=:r7??h4>889>06b=9=n0q~:53z?16f<6>=515g8975528227p}=2b83>4d|5;8h6<66;<004?73l2799o4>4e9>632=9=n01?8::06g?84083;?h63=70820a=::>i1=9j4=35g>42c3482>7?;d:?1=6<659515f897>?28>o70<6d;37`>;51l0:8i522`:951b<5;k26<:k;<0`4?73l279o<4>4e9>66g=9=n01?=m:06g?843=3;?h63=47820a=::k?1=9j4=3`5>42c348><7?;d:?114<64b9~w74c2909w0<<2;a6?845l3;356s|23d94?4|5;8n6<:k;<01b?7?12wx>>>50;0x974b28>n70<<0;3;=>{t:889>60g=9=n01?89:06g?84083;?i63=73820a=::>h1=9j4=35`>42b3482=7?;d:?1=7<658515f897>028>n70<6c;37`>;51m0:8h522`5951b<5;k36<:j;<0ab?73l279o=4>4d9>66?=9=n01?=n:06f?843<3;?h63=44820`=::k>1=9j4=3`6>42b348?j7?;d:?115<6n6515f897b728>o70;4:<0:8i5rs22a>5<4lr79?=4>589>67b=9=n01?42b348=<7?;d:?125<6:?515g8971528>n70<9c;37`>;5>j0:8h5226`951c<5;=o6<:j;<043?73l279;:4>4d9>6<7=9=o01?7<:06f?84?l3;?h63=8e820`=::1<1=9k4=3:;>42b3483>7?;d:?1<7<64m515g897?b28>n70<68;37`>;5110:8h522`5951c<5;k26<:j;<0b7?73l279m>4>4d9>6g`=9=o01?m>:06f?84ej3;?h63=bc820`=:::31=9k4=31a>42b348897?;d:?170<69:515g8972128>n70<;0;37`>;5<90:8h522c6951c<5;h=6<:j;<0a4?73l279n=4>4d9>61`=9=o01?;>:06f?843j3;?h63=4c820`=:;9h1=574=3d0>42c348m87?;d:?1bf<6kj515f897ce28>o70=?6;37`>;48>0:8i5rs37`>5<4s48>97?;c:?11`<68j519;8yv42?3:1>v3=5d820a=::<=1=574}r06a?6=:r799h4>889>60b=9=i0q~<:6;296~;5=m0h963=5782<<=z{;?36=4={<063?73l279954>889~w73>2909w0<:7;37a>;5=00:445rs37b>5<5s48>m7?79:?11<<6589>627=9<301?9l:07:?840l3;>563=93821<=::091=874=3:4>43>348347?:9:?1=a<6=016>4k514;897g?28?270;5k90:94522b3950?<5;9j6<;6;<00f?721279884>589>610=9<301?l::07:?84e>3;>563=51821<=::<;1=874}r05f?6=9>q6>8l514;8970e282270<82;36=>;5?j0::95226f9532<5;396<8;;<0:7?71<2794:4>659>6=>=9?>01?7k:047?84>m3;=863=a98221=::h31=;:4=3a3>403348h=7?94:?17d<6>=16>>l51768972228;5j<0::9522c49532<5;?;6<8;;<065?71<2wx>:850;36842j3;=863=7782<<=::>h1=874=3;1>4003482?7?97:?1<2<6>>16>565175897?c28<<70<6e;353>;5i10:::522`;9531<5;i;6<88;<0`5?71?279?l4>669>66d=9?=01?:::044?843>3;=;63=b48222=::k<1=;94=373>400348>=7?97:p6=e=83;:w0<:b;353>;50j0:4452283950?<5;3o6<87;<0:a?710279m54>699>6d?=9?201?m?:04;?84d93;=463=3`822==:::h1=;64=366>40?348?:7?98:?1f0<6>116>o8517:8973728<370<:1;35<>{t:1;1<7?<{<06f?7102795?4>699>6<5=9?201?6>:0::?84?>3;>563=9e822<=::0o1=;74=3c;>40>348j57?99:?1g5<6>016>n?517;8975f28<270<;5<<0::452254953?<5;h>6<86;<0a2?7112799=4>689>607=9?30q~<67;29b~;5=k0::45228595=?<5;3h6<;6;<0b6`9>6f6=9?k01?m>:04b?844i3;=m63=3c822d=::=?1=;o4=365>40f348i97?9a:?1f3<6>h16>8>517c8973628a}::4>>348j;7?:9:?1g5<6>k16>n?517`8975f28;5<<0::o52254953d<5;h>6<8m;<0a2?71j2799=4>6c9>607=9?h0q~6b9>613=9?i01?:9:04`?84283;=o63=50822f=z{;9?6=48{<06f?71k279?94>889>66?=9<301?:::06e?843>3;?j63=51820c=::<;1=9h4}r00b?6==r799o4>4g9>66`=91301?:;:07:?84283;><63=508215=z{;km6=4m{<06f?728279o=4>6b9>6f7=9?i01?=n:06e?844j3;?j63=448215=::=<1=8>4=3ce>4>>348i87?:9:?115<6=816>8?51438yv43i3:1?v3=5c8214=::=k1=574=36e>43>3ty99o4?:3y>60d=91301?;6:06f?xu5>00;6?u224d951e<5;;9515a8970?28227p}=6683>6}::?=1=574=2d0>42c349m?7?;e:p637=838p1?8n:06`?84193;356s|27294?4|5;4>>3ty9:?4?:3y>637=9=n01?8=:0::?xu5>:0;6?u2273951c<5;<86<66;|q121<72;q6>;:519;8970428>o7p}=6483>7}::??1=574=340>42b3ty9:;4?:3y>630=91301?8<:07:?xu4mo0;6<>t=34;>40?34>h?7?;d:?7g1<6o708>2;37`>;19:0:8i523dd95=?<5:l>6<8;;<1e2?710278j:4>699>7c>=9?201>h6:04;?87613;=463>1`822==:98h1=;64}r614?6==r79:54>689>047=9?=01918822<=z{=8=6=4:{<05699>070=913019:7:044?87613;=m6s|26194?5|5;889~w70c2909w0<85;37`>;5>m0:445rs356>5<5s48<97?79:?131<670<9c;3;=>{t:?o1<742c348=i7?79:p63`=838p1?8k:06f?841n3;356s|26294?4|5;=;6<66;<05b?73l2wx>:?50;0x9716282270<9f;37a>{t:>81<74>>348=j7?:9:p62c=839p1?99:06`?84?83;?i63=7g82<<=z{;=36=4={<0;4?73l279;54>889~w7>72909w0<70;3;=>;5?o0:8n5rs354>5<5s48:750;0x971?28>o70<89;3;=>{t:>k1<742b348:j50;0x971c282270<8a;36=>{t:0>1<7=t=3:`>42d3482:7?;e:?1=0<6001v?6j:18184>>3;?h63=8d82<<=z{;3=6=4={<0:2?7?1279584>4b9~w7>c2909w0<65;a6?84?l3;356s|29d94?4|5;2n6<:k;<0;b?7?12wx>4>50;0x97>b28>n70<60;3;=>{t:0;1<74>>3482<7?;d:p6<4=838p1?7=:0::?84>83;?i6s|28194?4|5;386<66;<0:4?7212wx8k?50;1x91c128>h70:i3;37a>;3n;0:445rs5g5>5<41r7?i;4>889>0`e=9=o019kk:07:?82bm3;?i63;eg8221=:42b34>i87?;d:?7f1<6;29=0::n52507953d<5<;=6<8l;<723?71j27><84>589>247=9=o01;?=:07:?806;3;?i63;de820a=:40e34?j>7?9b:?:g?71j272h7?:0:?:a?73n272j7?:0:?b4?73n27oh7?:9:?ga?71k27oj7?9b:?f4?71k27n=7?9b:?f1?72127n:7?;e:?f3?71<27n47?:9:?f=?71<27:<84>659>550=9<301<>8:044?877j3;=863>0b8222=:99n1=;:4=02f>40d34;;j7?9c:?272<6651768945>28?270?;6;k0:945212g950?<589m6<;6;<374?71k27:8<4>6c9>514=9?i0q~:j7;296~;3n:0:8i524d595=?m?7>52z?7b6<600168k<515a8yv2b03:1>v3;e6820a=:4d9>0`?=9130q~7m:18082bi3;?h63;e`820`=:1k0:445rs5gb>5<5s4>nm7?79:?7a<<6889>0`?=9=o0q~=43>3498;7?;e:?7ea<6=016??k51758962?28>n70=:2;37a>;4>80:8h52371950?<5=i96<:j;<6`1?72127>=?4>4d9>143=9?i018?8:04`?83bj3;?h63:eb820`=:>9>1=9j4=730>43>34?o=7?;e:?7a4<6;2ll0::9521e6950?<58n=6<8;;<3ga?73m27:i=4>589>5`4=9?>018o>:04`?83f:3;=o636c;35g>;>m3;><63n0;364>;2?00:8i5256`950?<5<=o6<8;;<7:3?72127o>7?9b:?g0?71j27o57?:5:?ge?72=27oh7?94:?gb?71k27n=7?9c:?f2?72127n47?94:?f`?73m27m97?;e:?243<6>=16==l51758946c28<<70?>2;36=>;69=0:94521049532<589:6<:j;<303?72127:?44>659>56d=9?>01<=j:047?874n3;=863>40822f=z{=oh6=4={<6fg?7?127?i44>589~w1`b2908>v3;ee8221=:40034>m<7?97:?7e<<6o70:l3;36=>;3k=0::9524b79532<5=ij6<:k;<720?73n27>=84>4g9>140=9=l018?8:06e?82am3;3563:05820a=:=9?1=;:4=732>43>34<:>7?94:?556<6>=168k6515f891`?28>n70:j2;37`>;3?:0:8i5246c951b<5=3j6<:k;<6:f?73l27>m<4>4g9>1d4=9=l014m515d8946428>o70??5;353>;68?0:::52115953><58:i6<87;<33g?71027:699>55c=9=l01<>i:06e?874?3;=863>398222=:9:31=;94=01b>40034;8n7?97:?27`<6>>16=>h51758942728>m70?;1;37b>;6<;0:8k5rs5d7>5<4>r7?ii4>669>0`c=9?=019ki:04;?82a83;=463;a`820`=:<1<1=9k4=5`b>42b34>hm7?;e:?651<6=9169<;51428907128?;70;>7;364>;28:0:8i52516951c<5<:>6<88;<6e0?7?127?j44>4e9>0`4=9=o0199<:06f?820i3;?i63;9`820`=:<0i1=9j4=4c2>43734?j>7?:0:?:g?72827oh7?97:?ga?73n27oj7?;f:?f4?73n27n=7?;f:?f1?71<27n:7?94:?f3?71?27n47?97:?f=?71?27:<>4>4d9>553=9?201<>9:04;?877?3;=563>0c822<=:99i1=;74=02g>40>34;;i7?:0:?24c<6=916=>951758945?28<370?<9;35<>;6;h0::55212`953><589n6<87;<30b?71027:8=4>519>517=9<:01<:=:073?xu3mm0;6?u24df95=?<5=o26<8;;|q7a`<72;q68hk519;891c>28<<7p};eg83>7}:40?3ty?j=4?:3y>0c6=913019k6:04:?xu5000;6>u2293951e<5;2i6<:j;<0;e?7?12wx>5=50;0x97>e28>o70<73;3;=>{t:1h1<74>>3483m7?;c:p6=4=838p1?6n:b7897>528227p}=8583>7}::191=9j4=3:7>4>>3ty9484?:3y>6=5=9=o01?6::0::?xu50?0;6?u229495=?<5;2>6<:k;|q1<2<72;q6>59519;897>228>n7p}=8983>7}::121=574=3:6>43>3ty95k4?:2y>6<1=9=i01?o>:06f?84f83;356s|28;94?4|5;k:6<:k;<0:=?7?12wx>l?50;0x97g6282270{t:021<7f3<5;336<66;|q1=d<72;q6>47515f897?f28227p}=9c83>7}::031=9k4=3;a>4>>3ty95n4?:3y>64k519;897?e28?27p}=ab83>6}::h81=9m4=3cf>42b348jh7?79:p6dd=838p1?on:06`?84fj3;356s|2`c94?5|5;kj6<66;<3e`?73l27:ji4>4d9~w7g32909w0;5i=0:445rs3cf>5<5s48ji7?79:?1ea<670{t:h?1<742c348j97?79:p6d0=838p1?o;:06f?84f>3;356s|2`594?4|5;k<6<66;<0b2?73l2wx>l650;0x97g?282270{t:h31<74>>348j:7?:9:p760=83>jw0;4;?0:44526329532<5:>26<;6;<17e?71<278:n4>659>73b=9?h01>8j:04a?85083;=n63<70822g=:=8>1=8?4=436>43634?::7?:1:?652<6=816?n708?f;37a>;2lm0:::525eg9531<58n86<8;;<3g0?71<27:h84>669>5a0=9?=01e08222=:9l81=;94=0g`>42b34;nh7?;e:?2a`<6=016=hh51768976528?270;2i80:9<525`09507<5<2<6<8;;<7;;l4>659>12d=9?>0189l:044?830l3;=;63:95820`=:=0?1=9k4=4;5>40334?2;7?94:?g5?71k27o>7?9c:?g7?71k27o87?9c:?ga?72827oj7?:0:?f4?72827n=7?:0:?e5?72127m57?:9:?24`<6=816==h514389474284;350>;69<0:::521049531<58896<:j;<317?73m27:>l4>4d9>57d=9=o01<:?:072?87393;>=63>438214=z{8z?1eg<6>>16?>>515f890c5282270?ne;37a>;6io0:8i521c7951c<58h=6<:k;<3ag?73m27:ni4>4e9>5g`=9=n013;?h63>c9820`=:9j31=9j4=0f2>42b34;nm7?;e:?2b3<6n70;58h0:8h52657951b<5?>>6<:j;40?3ty9o?4?:2y>6gg=9=i01?m;:06f?84d;3;356s|2ca94?4|5;i?6<:k;<0ag?7?12wx>n:50;0x97e3282270{t:kh1<7f3<5;hi6<66;|q1fa<72;q6>om515f897dc28227p}=bd83>7}::ki1=9k4=3`f>4>>3ty9nk4?:3y>6g`=91301?lj:06g?xu5k90;6?u22b295=?<5;hn6<:j;|q1g4<72;q6>n?519;897db28?27p}<3c83>7}:;:<1=9m4=21`>4>>3ty8?54?:3y>76e=9=i01>=7:0::?xu4;>0;6?u232a9g0=:;:=1=574}r10=?6=:r78?54>4e9>76?=9130q~=55z?07d<6o515g8964>282270==d;35<>;4:l0::55rs31`>5<4s48887?;c:?17`<6>j519;8yv44>3:1>v3=3d820a=:::<1=574}r00a?6=:r79?h4>889>66b=9=i0q~<<5;296~;5;m0h963=3482<<=z{;9<6=4={<002?73l279?:4>889~w75?2909w0<<6;37a>;5;10:445rs31:>5<5s48857?79:?17=<6589~w7202908w0<;5<00:8h5225:95=?52z?10<<69?519;8yv4313:1>v3=4882<<=::=21=9m4}r074?6=:r79854l5:?105<6001v?:=:18184393;?h63=4382<<=z{;>86=4={<075?73m2798>4>889~w7232909w0<;4;3;=>;5<:0:8i5rs366>5<5s48?97?79:?106<63;3563=42821<=z{;h<6=4<{<0bb?73k279n44>4d9>6g>=9130q~52z?1f<<60016>o6515a8yv4e83:1>v3=b98`1>;5j90:445rs3`1>5<5s48i=7?;d:?1f7<6001v?l<:18184e93;?i63=b282<<=z{;h?6=4={<0a0?7?1279n>4>4e9~w7d22909w0;5j:0:8h5rs3`5>5<5s48i:7?79:?1f6<6=01v?;=:180843i3;?o63=55820`=::<91=574}r07g?6=:r79994>4e9>61e=9130q~<:4;296~;5==0:4452241951e52z?116889~w72c2909w0<;c;37`>;55<5s48?o7?;e:?10`<6001v?:i:181843n3;3563=4d820a=z{;?;6=4={<064?7?12798h4>4d9~w7362909w0<:1;3;=>;55<5s4>j?7?;c:?7ec<6001v9o;:18182fn3;?o63;a582<<=z{=k>6=4={<6b0?73l27?m84>889~w1g12909w0:n4;37a>;3i?0:445rs021>5<4s4>j;7?;d:?7e2<6v3;a682<<=:4e9>0d>=9=o01<>6:0::?xu3i10;6?u24`:95=?<5=k=6<:j;|q7e<<72;q68l7519;891g128?27p};a`83>7}:4033ty?;;4?:3gx91ge28?270:nc;350>;3im0::95249c951b<5=2i6<:k;<6a1?73l27?n84>4d9>0f5=9?>019m;:044?82d=3;=;63;cd820a=:40d349=i7?9c:?035<6>j16?:?517a8906328?2708>1;350>;19;0:::526019531<5=nn6<:k;<6ga?73m27?;l4>589>0:071?83f:3;>>636d;366>;>m3;>>636f;366>;f83;>>63;7782<<=:=;h1=9j4=e3951`<5m81=9h4=e1951`<5m>1=9h4=020>43>34;;87?97:?240<6>016==8517;8946028;68j0::l5211f953g<58:n6<;=;<33b?72:2wx8ll50;0x91ge282270:n6;353>{t4>>34>j:7?98:p0db=838p19ok:0::?82f>3;=56s|63094?4|5?;36<:l;<417?7?12wx:<650;6x937?2822708>c;37`>;1;00:8i5263f951b52z?55`<642b3ty==44?:3y>275=9=i01;?6:0::?xu19h0;6?u260;951b<5?;j6<66;|q55g<72;q6:<7515g8937e28227p}93183>1}:>8i1=9k4=73g>42c34<9h7?;e:?575<6001v;?l:181806k3;356391c820a=z{fz?55a<6n70;jb;37a>;2mo0:44525g6951b<56<:k;<7e2?73m27>j54>4e9>1c?=9=n01;>=:06g?807;3;?i63905820`=:>9o1=874=72e>43>34?hj7?;d:?6`5<6o70?k3;353>;6l=0:::521e7953><58n=6<87;<74=?73m27>;l4>669>12d=9?=0189l:04;?830l3;=463>2g820a=:9::1=9k4=010>43>3ty>ji4?:27x937c28?270;j8;37a>;2m00:8i525da950?<5ji4>889>254=9=o01;>::06g?807>3;?h6390d8221=:>9l1=;:4=4ag>42c34?o=7?:9:?6`<<6;2ll0::552635951c<58nn6<;6;<3gb?71?27:i=4>669>5`7=9?201563>ee821<=:9lo1=;:4=0ge>40034?1169:m517;8901c28<270;63;36=>;21=0:9452587950?<5<3=6<88;<7:3?71?27:=k4>4d9>576=9<301<<>:07:?875:3;>563>22821<=:9;=1=9k4=00;>42b34;957?:9:?26d<6=016=?l514;8944a28>n70?<0;36=>;6;80:9452120951b<58986<8;;|q55a<72;q6:n7p}91g83>7}:>8l1=574=702>42c3ty=>=4?:3y>276=91301;<>:06f?xu4;80;6>u233;951e<5:9>6<:j;<106?7?12wx?>>50;0x964a28>h70=<0;3;=>{t;;l1<7=t=20e>4>>34;o<7?;d:?2`5<66=4={<101?7?1278??4>4b9~w6542909w0=<4;37`>;4;:0:445rs217>5<5s49887?79:?077<58j1v>n4>889~w64c2909w0==d;3;=>;4:j0:8i5rs20f>5<5s499i7?79:?06f<6:m:181853<3;?o63<4b82<<=z{:>?6=46{<170?7?1278844>659>71g=9?=01>8l:044?8`6283;353>;69=0:::52107953><58;=6<87;|q000<72;q6?9m515a8962228227p}<4783>7}:;=?1=9j4=265>4>>3ty88:4?:3y>713=9=o01>:8:0::?xu4<10;6?u235:95=?<5:><6<:k;|q6`1<720q6?9751758962f28<370=9c;35<>;2l=0:4452f08222=:9891=;64=037>40?34;:97?99:?253<6>01v>:6:18185313;3563<46820`=z{:>j6=4={<17e?7?12788:4>589~w63?2909w0=;d;37g>;4=00:445rs26g>5<60r788i4>889>705=9=o01>;;:07:?852=3;>563<61821<=:;?;1=874=241>403349=?7?94:?021<6>=16?;j515d8960b28>m70=80;37b>;4?80:8k5248g9503<5m;1=8>4=e09506<5m91=8>4=e69506<5m21=884=e;9500<5lo1=9k4=dd950?<5o:1=874=031>4033ty89:4?:3y>700=9=i01>;8:0::?xu4=?0;6>u234495=?<5o>1=9j4=g6951c52z?01<<6v3<4d820a=:;=l1=574}r164?6=:r788h4>4d9>706=9130q~=9f;297~;4=80:8i52343951c<5:o7p}<5383>7}:;<81=574=273>42b3ty?nh4?:0ax963428?270=:4;350>;4=<0::9523729532<5:<:6<8;;<156?71?278:>4>669>732=9?=019lj:0::?82d:3;>563;c28222=:1=;64=5a6>40?349=h7?:0:?02`<6=916?:>51428961628?;70:6f;361>;c93;>=63k2;365>;c;3;>=63k4;365>;c=3;>:63ka;362>;bm3;>563jf;350>;a83;=863>138222=z{:?86=4={<167?7?12789=4>589~w6332909w0=:4;3;=>;4=90::95rs276>5<5s49>97?79:?015<6>>1v>8::181852i3;?o63<6782<<=z{:?j6=4>0z?01d<60016?;>51758960628<<70=92;35<>;4>:0::552376953><543534n96<;=;43534n?6<;=;<326?71027:=>4>689>542=9?3013;=m6s|34`94?4|5:<=6<:l;<16f?7?12wx?8m50;0x963e28>o70=:c;3;=>{t;42b349>h7?79:pgc<72:q6?8k515f8963b28>n70mi:0::?xu4=l0;6?u234g95=?<5:?o6<:k;|q254<72:q6?8h515f8963a28>n70?>1;3;=>{t;4>>349>h7?;e:p736=838p1>8?:0::?852l3;>56s|37394?4|5:<:6<66;<16`?71<2wx?;<50;0x9605282270=:d;353>{t;?91<74>>349>h7?98:p732=838p1>8;:0::?852l3;=56s|49a94?4|5=2>6<:l;<6;`?7?12wx85950;0x91>c28>h70:77;3;=>{t<1<1<7f3<5=2=6<66;|q7<=<72;q6859515f891>?28227p};8883>7}:<1=1=9k4=5::>4>>3ty?4l4?:3y>0=g=91301966:06g?xu30l0;6?u249`951c<5=2n6<66;|q7>28>n7p};9283>7}:<1o1=9m4=5;7>4>>3ty?5=4?:3y>0<2=9=i0197?:0::?xu30o0;6?u24869g0=:<1l1=574}r6:5?6=:r7?5=4>4e9>0<7=9130q~:62;296~;3190:8h5248095=?o57>57z?7o70:k3;37a>;3l00:445253c951b<5<8j6<:j;|q7fg<72:q68o>515a891dc28>n70:mc;3;=>{t4>>34>i47?;e:?7f<<6v3;be820a=:889>0ge=9=i0q~:m2;296~;3j80:8i524c095=?i?7>52z?7f4<6v3;b582<<=:889>0g5=9=o0q~h<:18082e>3;?h63;b7820`=:n:0:445rs5`5>5<5s4>i:7?79:?7f6<6=01vkl50;1x91d028>o70:m7;37a>;aj3;356s|4c594?4|5=h<6<66;<6a7?71<2wx8o650;0x91d?282270:m3;353>{t4>>34>i?7?98:p0gg=838p19ln:0::?82e;3;=56s|4b494?5|5=hn6<:l;<6`889~w1da2909w0:l8;37`>;3jo0:445rs5a;>5<5s4>h47?79:?7g2<6889~w1e52909w0:l2;3;=>;3k80:8i5rs55e>5h?7?98:?7g1<6>0168n;517;8906428>n708>1;353>;19;0::552601953><5=l26<:j;<64b?7?127?5o4>4d9~w1e42909w0:l3;3;=>;3k80:8h5rs5a7>5<5s4>h87?79:?7g4<6=01v9m::18182d=3;3563;c08221=z{=n;6=4={<6`=?73k27?h<4>889~w1ee2909w0:k1;37g>;3kk0:445rs5ab>5<5s4>o=7m:;<6`e?7?12wx8nm50;0x91ee28>o70:lc;3;=>{t42b34>hh7?79:p0fc=838p19mj:0::?82dl3;?h6s|4e094?4|5=im6<:j;<6g6?7?12wx8nh50;0x91ea282270:ld;37a>{t42d34>o47?79:p0a2=838p19j7:06`?82c<3;356s|4e194?4|5=n36n;4=5f0>4>>3ty?h84?:3y>0a2=9=n019j::0::?xu3l?0;6?u24e6951c<5=n=6<66;|q036<72:q6?;9515a8961228>h70=84;3;=>{t;>?1<76t=256>4>>349=o7?99:?02a<6=816?;k514389`c=9?>01hh517589c6=9?=01k?517:8yv50:3:1>v3<6g820f=:;>81=574}r154b9>73>=9130q~=99;296~;4>10:8i5237;95=?52z?02=<6;4>k0:8h52ec82<<=z{:4e9~w60d2909w0=9c;3;=>;4>h0:8h5rs24g>5<5s49=h7?79:?02d<6=01v>8j:181851m3;3563<6`8221=z{:=;6=4={<144?7?1278;?4>4e9~w6162909w0=81;3;=>;4?;0:8h5rs43:>5<3s4?;h7?;c:?65g<60}:=8h1=574=431>43>34<:=7?98:?557<6>016:<=517;8yv3603:1>v3:12820f=:=821=574}r727?6=;r7>=>4>889>560=9=n01<=9:06f?xu28l0;6?u250a951b<5<:n6<66;|q65f<72;q69h7p}:0g83>7}:=9o1=9j4=42e>4>>3ty>==4?:3y>15c=9=o018??:0::?xu1990;6>u2503951b<5<;:6<:j;<424?7?12wx90;37`>{t=881<74>>34?:<7?;e:p142=838p18?;:0::?83603;?h6s|50794?4|5<;>6<66;<728;36=>{t=8=1<74>>34?:47?94:p74c=83>p1>?<:06`?856:3;?o63<21820`=:;8l1=574}r126?6=889>151=9=n018>7:06g?83403;?h6s|30f94?4|5:;<6<:l;<12`?7?12wx?<950;1x9670282270:kf;37`>;3lo0:8h5rs237>5<5s499<7?;d:?051<6001v>6=4={<120?73l278=84>889~w6712909w0=>4;37a>;49?0:445rs425>5<4s49::7?;d:?053<6v3<1982<<=:;8n1=9j4}r12=?6=:r78=44>889>74b=9=o0q~=>a;296~;49h0:445230f950?52z?05g<60016?v3<1b82<<=:;8n1=;94}r7f`?6=:r7>i?4>4b9>1`c=9130q~;j3;296~;2ml0:8n525d195=?52z?6a6<6v3:e2820`=:=l?1=574}r7:6?6=;r7>i;4>4e9>1`0=9=o0187=:0::?xu2m?0;6?u25d495=?<56<:k;|q260<72:q69h9515f890c028>n70?=5;3;=>{t=l=1<74>>34?n97?;e:p1`>=838p18k7:0::?83b=3;>56s|61;94?53s4?n57?;e:?6ad<6=0169hl514;890cd28;2n?0:94525g:950?<5589>253=9=o01;>9:06f?80713;356390d8222=:>9l1=;94=4af>42c34?o=7?94:?6`<<6=0169io514;890be28;2ll0::4521eg9532<58nm6<87;<3f4?71027:i<4>689>5`4=9?301ed8222=:9ll1=;64=45:>43>34?2?7?94:?6=1<6>=1694;5176890?128<370;67;35<>;69o0:94521329532<588:6<8;;<316?71<27:>>4>659>571=9<301<<7:07:?87513;=863>2`8221=:9;h1=;:4=00e>43>34;8<7?94:?274<6>=16=><515g8945428<<7p}:e883>7}:=l31=574=4g6>4033ty>il4?:3y>1`g=913018k::044?xu2mk0;6?u25d`95=?<56<87;|q573<72;>p18kl:044?83a>3;=863:f8821<=:>9?1=874=725>43>34<8:7?79:?6gc<6515g890b628<<70;k9;350>;2lh0::9525e`9531<58nn6<88;<3fg?71?27:ii4>669>5`c=9?201h1=;74=45`>40f34?>1694:5175890?228<<70?>f;350>;6:90:::521339531<58896<88;<317?71?27:>:4>659>57>=9?>01<<6:044?875i3;=;63>2c8222=:9:81=874}r7fg?6=:r7>in4>889>1`3=9?30q~=>0;296~;48k0:8n5230395=?52z?054<6v3<108`2>;48j0:445rs22f>5<5s49;h7?;d:?04`<6001v>>i:181857l3;?i63<0g82<<=z{;on6=4n{<13b?73l279ih4>889>6c5=9=o01?h;:06f?84al3;?i63<06820`=::m31=9j4=3fa>42c348n?7?;d:?1a1<643>348om7?;d:?1`g<6h=515g897c328>n7p}=e683><}:;9l1=874=3d0>43>348m87?:9:?1ba<6=016>h9519;897ce28>n70=?7;350>;5lk0:94522d6950?58z?04c<6>=16?=?519;8966128>n70;5lh0:8h522e`9532<5;o86<;6;<0f0?71<2wx8h70:>f;3;=>{t<821<742d34>:47?79:p04?=838p19?7:06g?82613;356s|40c94?4|5=;36<:j;<62e?7?12wx8a;37`>{t<8i1<74>>34>:m7?;e:p7cb=83>p19?k:044?85al3;3563h1=874}r62`?6=:r7?=i4>889>04g=9<30q~;ib;296~;2mo0:8n525ga95=?52z?6b2<642b3ty>j=4?:3y>1ce=9=i018h?:0::?xu2n80;6?u25g2951b<5515g890`528227p}:7983>6}:=o91=9j4=4d0>42b34?<47?79:p1c5=838p18h<:0::?83a:3;?h6s|5g694?4|5{t=o<1<74>>34?m>7?94:p1c>=838p18h7:0::?83ai3;?h6s|5g;94?4|5h70:?a;3;=>{t<9>1<742d34>;87?79:p053=838p19>;:06g?827=3;356s|41494?4|5=:?6<:j;<632?7?12wx8=950;0x9160282270:?6;37`>{t<921<74>>34>;:7?;e:p042=839p19>m:06`?826>3;?i63;1482<<=z{=:h6=4={<622?73l27?889~w1712909w0:>6;3;=>;39<0:8n5rs52g>5<5s4>;o7?;d:?74a<6001v9>j:181827k3;?i63;0d82<<=z{=:m6=4={<63b?7?127?

4e9~w6b6290>w0:>0;353>;4n?0::4523g5953?<5:n:6<66;<1fe?71<2wx8<>50;0x9177282270:?e;37a>{t<8;1<74>>34>;i7?:9:p72c=83?p19?=:044?85a03;=563o1=574=03b>40>3ty8494?:4y>044=9?201>h7:04b?85bj3;=;63<8582<<=:98k1=;o4}r626?6=:r7?=?4>889>05c=9?>0q~=7a;291~;39:0:::523g;953?<5:oh6<8;;<1;e?7?127:=o4>689~w6?7290>w0:>3;35<>;4n00::l523da9531<5:3;6<66;<32f?71i2wx8<=50;0x9174282270:?e;353>{t>9=1<742d34<;47?79:p1cc=838p1;>7:06`?83am3;356s|5gd94?4|550;0x90`b28>n708?0;3;=>{t9mn1<7=t=722>42c34<;=7?;e:?2`a<6001v;>>:18180793;3563901820a=z{?:96=4={<436?7?127=<=4>4d9~w3642909w08?3;3;=>;1890:945rs727>5<5s4<;87?79:?545<6>=1v;;k1=574=0g`>40?34;nh7?98:?6=6<6>11694:517:890?228<370?>f;353>;6:90::552135953152z?540<60016:=>51758yv3ek3:1nv39078221=:=m:1=874=4``>4>>34?om7?97:?264<6>116=?<517:8944428<370?=8;353>;6:00::55213c953><588i6<87;|q543<72;q6:=8519;8936728<37p}:0`83>6}:42b34?;n7?79:p15?=838p18>9:06`?83713;356s|4gd94?4|5<:h6<:k;<6eb?7?12wx9=m50;0x906d282270;?b;37g>{t=9:1<742c34?;<7?79:p157=838p19hi:06f?83793;356s|12794?5|5<:96<:k;<736?73m27:?84>889~w0652909w0;?2;3;=>;2880:8i5rs420>5<5s4?;?7?79:?644<6;:181837<3;3563:00821<=z{<:>6=4={<731?7?127><<4>659~w7e22903w0;?7;37a>;2810:8h522b795=?<5;i36<:j;<0g4?73m278>84>4d9>770=9=n018=7:06f?xu5kj0;65u2515950?<5<:36<;6;<0`889>6a7=9=o01><::07:?855>3;?i63:39821<=z{<:<6=4={<733?7?127><44>4e9~w7412908w0;?8;350>;5k10::95223495=?52z?64=<600169=7515g8yv04i3:1>v3937820f=:>:h1=574}r403?6=:r7=?o4>4b9>261=9130q~8<8;296~;1;>0:8i5262:95=?52z?572<67519;8yv04k3:1>v3938820`=:>:i1=574}r421?6=;r7=<44>4b9>241=9=o01;?9:0::?xu19=0;6?u2602951e<5?;?6<66;|q54d<72;q6:<9515f8936f28227p}91683>7}:>8=1=574=735>42d3ty=25g=9=n01;>m:0::?xu18j0;6?u261c951c<5?:h6<66;|q26a<72:q6:=j515f8936c28>n70?=d;3;=>{t>9n1<74>>34<;o7?;d:p25c=838p1;>j:0::?807k3;?i6s|61d94?4|5?:m6<66;<43g?7212wx:4;37`>{t>881<74>>34<:87?;e:p245=838p1;?<:0::?806<3;>56s|3`794?5|5:3n6<:l;<1b3?73m278m;4>889~w6?a2909w0=n7;37`>;41o0:445rs2c4>5<5s49j;7?79:?0e3<6o?:18185>n3;?h63889~w6b0290iw0=n2;37a>;4i:0::5523`g951b<5:h<6<:k;<1`4?73m278o<4>659>7fg=9=o01>mm:044?85c?3;3563589>7d2=9?201>oj:06f?85e?3;?i6343>349ho7?97:?0`a<6001v>o=:18185f:3;35634d9~w6g32909w0=n4;3;=>;4i80:945rs5d`>5<5s4>m87?;c:?7ba<6001v9hm:18182ai3;?o63;fc82<<=z{=lj6=4<{<6ee?7?127>;:4>4e9>121=9=o0q~:i5;296~;3nm0:8n524g795=?m:7>52z?7b0<6v3;f4820`=:889>0c1=9=n0q~:i9;296~;3n00:44524g5951c519y>0cd=9?>018m7:0::?83dl3;?i63:cd820`=:=jl1=;:4=4f3>40334?oh7?9a:?6``<6>h16=i=517:894b328<370?k5;35=>;6l?0::4521dg953?<58om6<8n;<7;3?71?27>454>699>126=9?>0189n:04b?830j3;=m63:7b822g=:=>n1=;l4=4;5>40>34?2;7?99:?277<6>=1v8j=:18183d03;?o63:d282<<=z{o44>889~w0ef2909w0;l9;37`>;2kh0:445rs4aa>5<5s4?h57?;e:?6gg<6001von4>889>1fd=9=n0q~;ld;296~;2km0:44525b`951c52z?6g`<600169nl514;8yv3dn3:1>v3:cg82<<=:=jh1=;:4}r7g4?6=:r7>h=4>889>1fd=9?=0q~;k1;296~;2l80:44525b`953>52z?1a`<6k8519;8yv4a83:1>v3=f7820f=::o:1=574}r0fb?6=:r79j;4l6:?1ac<6001v?h>:18184a83;?h63=f082<<=z{;l96=4={<0e4?73m279j?4>889~w7`42909w0;5n;0:8i5rs3d7>5<5s48m87?79:?1b7<64e9>6c?=9130q~=?0;296~;4890:44522gd951e52z?1bc279j54>889~w7`f2909w0;5nh0:445rs3da>5<5s48m57?;e:?1bg<6001v?hl:18184ak3;3563=fc820a=z{;lo6=4={<0e`?7?1279jo4>4d9~w6ga2909w0=n8;37g>;4j90:445rs2c:>5<5s49i<7?;c:?0e<<6001v>on:18185f13;?h63889~w6gd2909w0=nc;3;=>;4ik0:8i5rs2cg>5<5s49jh7?79:?0eg<6oj:18185fm3;3563889~w7c>2909w0;5m00:445rs3g;>5<5s48nh7m9;<0fho50;0x97c>28>o70{t:lh1<742b348nn7?79:p75>=839p1>>>:06`?857i3;?i63<0882<<=z{::86=4={<13e?73l278<>4>889~w66f2909w0=?a;3;=>;4800:8n5rs221>5<5s49;57m9;<136?7?12wx?=:50;0x966428>o70=?4;3;=>{t;9?1<742b349;97?79:p750=838p1>>9:0::?857=3;?h6s|31594?4|5::<6<66;<131?73m2wx?oo50;0x96d628>h70=mb;3;=>{t;k81<742d349i>7?79:p7g5=838p1>l=:06g?85e;3;356s|3c694?4|5:h96<:j;<1a0?7?12wx?o;50;0x96d2282270=m4;37`>{t;k<1<74>>349i87?;e:p7g1=838p1>l8:0::?85e<3;>56s|3c:94?4|5:h36<66;<1a0?71<2wx?o750;0x96d>282270=m4;353>{t;j91<7=t=2``>42d349h97?;e:?0g1<6001v>lk:18185d=3;?h636=4={<1`1?7?1278o94>4b9~w6db2909w0=md;37`>;4jl0:445rs2`e>5<5s49ih7?;e:?0fc<6001v>m?:18185d83;35634d9~w6e52909w0=l2;3;=>;4jo0:945rs2af>5<4s49h:7?;c:?0`5<6v3889>7f`=9=i0q~=l8;296~;4k>0:8i523b:95=?52z?0g2<6v3889>7f?=9=o0q~=lc;296~;4kj0:44523b;950?52z?0ga<60016?n751768yv4d13:1?v3=c4820f=::jh1=9k4=3ab>4>>3ty9o;4?:3y>6fd=9=n01?m9:0::?xu5kk0;6?u22b`95=?<5;ij6<:l;|q1g2<72;q6>n8515f897e028227p}=c983>7}::j<1=9k4=3a;>4>>3ty?4>4?:3y>02`=9=i0196;:0::?xu3090;6?u2496951e<5=2;6<66;|q7<4<72;q685>515f891>628227p};8383>7}:<1:1=9k4=5:1>4>>3ty9h?4?:3y>6fe=9=i01?j<:0::?xu5km0;6?u22e1951e<5;io6<66;|q1g`<72;q6>nj515f897eb28227p}=cg83>7}::jn1=9k4=3ae>4>>3ty9h=4?:3y>6a6=91301?mi:06g?xu5l80;6?u22e395=?<5;im6<:j;|q7a1<72;q68i7515a891c228227p};d`83>7}:4>>3ty?ho4?:3y>0ag=9=n019jm:0::?xu3lj0;6?u24ec951c<5=nh6<66;|q7`a<72;q68ij519;891bd28>o7p};dd83>7}:42b3ty?hk4?:3y>0a`=913019jl:07:?xu2k90;6>u24d2951b<5=o;6<:j;<7`4?7?12wx8h>50;0x91c7282270:kc;350>{t4>>34>oo7?97:p0`4=838p19k=:0::?82ck3;=46s|4d194?4|5=o86<66;<6gg?7112wx9n850;0x90dd28>h70;l7;3;=>{t=j?1<742d34?h97?79:p1gb=838p18m8:06`?83el3;356s|5cg94?4|5n70;mf;3;=>{t=j;1<74>>34?h97?;d:p1f4=838p18m=:0::?83d=3;?i6s|5b194?4|5{t>;o1<742d34<9j7?79:p27d=838p1;n708=d;3;=>{t;ok1<7=t=2ge>42d349mo7?;e:?0bg<6001v>h?:18185ak3;?h634b9~w6`62909w0=i0;37`>;4n80:445rs2d1>5<5s49m<7?;e:?0b7<6001v>h<:18185a;3;35634d9>54>=9130q~=i4;296~;4n=0:44523g0951c52z?0b0<60016?k<514;8yv5a>3:1>v3889>7c4=9?=0q~=i8;296~;4n10:44523g0953>52z?0b<<60016?k<517;8yv2793:1>v34b9>7cc=9130q~=if;296~;4nl0:8i523gd95=?;<7>52z?0b`<6519;8yv2>=3:1?v3;01820a=:<9:1=9k4=5;6>4>>3ty=?94?:3y>266=9=i01;=::0::?xu1;80;6?u2627951e<5?9:6<66;|q577<72;q6:>?515f8935528227p}93283>7}:>:;1=9k4=710>4>>3ty9h94?:2y>265=9=n01;=<:06f?84c<3;356s|23c94?4|5;8=6<:l;<01f?7?12wx>?950;0x974e28>h70<=7;3;=>{t:;21<742c348947?79:p67?=838p1?<8:06f?84513;356s|33594?4|5:8:6<:l;<11;6?h0:8i5216c951c7>52z?06=<6v3<23820a=:;;91=574}r110?6=:r78>?4>4d9>772=9130q~==5;296~;4:<0:4452336951b52z?063<60016??:515g8yv5c=3:1>v34b9>7a4=9130q~=k3;296~;4l;0:8i523e195=?52z?0`7<6v34b9>7a>=9130q~=k9;296~;4l10:8i523e;95=?52z?0`=<6v34b9>7`2=9130q~=j5;296~;4m=0:8i523d795=?52z?0a1<64>>3ty8i:4?:3y>7`1=91301>k9:06g?xu4m10;6?u23d:95=?<5:o=6<:j;|q0a<<72;q6?h7519;896c128?27p}7}:;lk1=574=2g5>4033ty8io4?:3y>7`d=91301>k9:044?xu4mj0;6?u23da95=?<5:o=6<87;|q1`f<72;q6>i:515a897bc28227p}=d783>7}::mn1=9m4=3f5>4>>3ty9h84?:3y>6ab=k?16>i;519;8yv4c?3:1>v3=d7820a=::m=1=574}r0g4d9>6a>=9130q~52z?1`d<60016>i6515g8yv4cj3:1>v3=dc82<<=::m21=874}r0f1?6=:r79hh4>4b9>6`0=9130q~h8515a897c728227p}=dg83>7}::l<1o;522ed95=?52z?1a5<6h?519;8yv4b:3:1>v3=e1820`=::l81=574}r0f7?6=:r79i>4>889>6`4=9=n0q~7>52z?07`<6v3<42820f=:;:l1=574}r174?6=:r78?k4>4e9>716=9130q~=;1;296~;4;o0:8h5235395=?52z?033<63:1>v3<7782<<=:=;81=9j4}r143?6=:r78;i4>4b9>721=9130q~=88;296~;4?>0:8i5236:95=?52z?032<6v3<7`82<<=:;>31=9j4}r14f?6=:r78;o4>889>72?=9=o0q~=72;296~;4?l0:8n5239195=?52z?0<6<6v3<7g820a=:;1:1=574}r1;5?6=:r78;k4>4d9>7=7=9130q~=78;296~;40=0:8n5239;95=?52z?0<<<63:1>v3<84820a=:;1<1=574}r1;3?6=:r78484>4d9>7=1=9130q~=7e;296~;40h0:8n5239d95=?52z?0v3<8c820a=:;1i1=574}r1;`?6=:r784o4>4d9>7=b=9130q~=64;296~;4190:8n5238795=?52z?0=0<6:3:1>v3<90820a=:;081=574}r1:7?6=:r785<4>4d9>7<5=9130q~=6c;296~;41?0:8n5238f95=?52z?0=3<6001698o515g8yv5>?3:1>v3<9e820f=:;0=1=574}r1:4e9>7<>=9130q~=69;296~;41>0:8h5238;95=?52z?0=d<60016?47515f8yv5>j3:1>v3<9c82<<=:;031=9k4}r1f5?6=:r78hi4>4b9>7`4=9130q~=ke;296~;4m;0:8n523eg95=?52z?0``<6v3=4>4b9>073=9130q~:=1;296~;3:<0:8n5243395=?9>7>52z?764<6v3;20820`=:<;91=574}r61e?6=:r7?>;4>4b9>07d=9130q~:=7;296~;3:k0:8n5243595=?947>52z?762<6v3;26820`=:<;31=574}r604?6=:r7?>n4>4b9>067=9130q~:=d;296~;3;80:8n5243f95=?9i7>52z?76a<6v3;2e820`=:<;l1=574}r602?6=:r7???4>4b9>061=9130q~:<3;296~;3;>0:8n5242195=?887>52z?776<6:519;8yv24=3:1>v3;32820`=:<:?1=574}r640?6=:r7?;=4>4b9>023=9130q~:80;296~;3?90:4452530951c<=7>52z?730<6v3;70820a=:<>81=574}r647?6=:r7?;<4>4d9>025=9130q~:8d;296~;3?>0:8n5246g95=?<;7>52z?732<600169?l515g8yv20k3:1>v3;7c820f=:<>i1=574}r64f?6=;r7?;o4>889>=d<64d9~w11?2909w0:8e;37g>;3?10:445rs55:>5<5s4><47?;d:?73<<6001v99n:18182003;?i63;7`82<<=z{=k:6=4={<6:1?73k27?m?4>889~w1g72909w0:6d;37g>;3i90:445rs5;g>5<4s4>2h7?79:?fg?73l27no7?;e:p0<0=838p19o=:06`?82>>3;356s|48594?4|5=3=6<:k;<6:3?7?12wx84650;0x91?128>n70:68;3;=>{tm:0;6>u248;951b<5=326<:j;4>>3ty?544?:3y>07}:<0i1=574=5;;>4033ty?5h4?:3y>07}:=mi1=9m4=4fe>4>>3ty>hn4?:2y>1ae=91301<<9:06g?875>3;?i6s|5e794?4|5o70;k6;3;=>{t=m=1<742b34?o;7?79:p54b=839p18j7:06g?83c03;?i63>1e82<<=z{h:4>4e9~w0b>2909w0;k9;3;=>;2l>0:8h5rs4fb>5<5s4?om7?79:?6`2<6=01v8jm:18183cj3;3563:d68221=z{hk4>4e9~w0bb2909w0;ke;3;=>;2lo0:8h5rs70;>5<5s4<987?;c:?56<<6001v;<;:180805<3;3563:87820a=:=1<1=9k4}r411?6=:r7=>44>4b9>273=9130q~8=6;296~;1:<0:8i5263495=?52z?560<6v393b820f=:>=;1=574}r40`?6=:r7=8<4>4b9>26b=9130q~852z?57a<6h519;8yv7e83:1>v3>a`820f=:9k:1=574}r3bf?6=:r7:n=4>4b9>5dd=9130q~?nc;296~;6ik0:8i521`a95=?52z?2eg<6v3>ad82<<=:9hn1=9j4}r3bb?6=:r7:mk4>889>5db=9=o0q~?m7;296~;6j80:8n521c595=?7>52z?2f2<6v3>b3820a=:9k91=574}r3a0?6=:r7:n?4>4d9>5g2=9130q~?m5;296~;6j<0:44521c6951b52z?2f3<60016=o:515g8yv7d83:1>v3>b9820f=:9j:1=574}r3ab?6=:r7:nh4>4b9>5g`=9130q~?me;297~;6jl0:44521d;951b<58o26<:j;|q2f<<72;q6=n>515a894d>28227p}>b`83>7}:9k31=9j4=0`b>4>>3ty:no4?:3y>5g?=9=o01n7p}>cc83>7}:9j;1=9m4=0aa>4>>3ty:ol4?:3y>5f1=9=i010;6>u21b595=?<58nh6<:k;<3gg?73m2wx=n<50;0x94ee28>h70?l2;3;=>{t9j91<742c34;h?7?79:p5f2=838p16<66;<3`0?73l2wx=n850;0x94e1282270?l4;37a>{t9j21<74>>34;hm7?;d:p5f?=838p1h70?k7;3;=>{t9jn1<742d34;hh7?79:p5fc=838p150;0x94b7282270?lf;37`>{t9m;1<74>>34;hj7?;e:p5a5=838p1{t9m<1<74>>34;o;7?94:p5`2=838p1h70?ka;3;=>{t9mh1<742c34;on7?79:p5ae=838p1{t9l:1<74>>34;n?7?:9:p5`7=838p1:0::?87b;3;=86s|1d094?4|58o96<66;<3f7?71?2wx=k?50;0x94c228>h70?i1;3;=>{t9o:1<742d34;m<7?79:p5`d=839p11d820`=z{8o=6=4={<3e5?73k27:i;4>889~w4c02909w0?j6;37`>;6m>0:445rs0g;>5<5s4;n:7?;e:?2a=<6001ve9820a=z{8oj6=4={<3fe?7?127:i54>4d9~w4cd2909w0?jc;3;=>;6n90:8i5rs0gg>5<5s4;nh7?79:?2b5<6f1821<=z{8om6=4={<3fb?7?127:j=4>659~w4`?2909w0?i2;37g>;6n10:445rs0d0>5<5s4;m47?;c:?2b6<6001vf582<<=z{8l>6=4={<3e7?73m27:j84>889~w4`12909w0?i6;3;=>;6n<0:8i5rs0d4>5<5s4;m;7?79:?2b0<6;:18187a13;?o63=0582<<=z{8lj6=4={<030?73k27:jl4>889~w4`e2909w0?ia;37`>;6nk0:445rs0d`>5<5s4;mm7?;e:?2bf<6001vfb820a=z{hk1<7=t=0df>42c34;mi7?;e:?be?7?12wx=kk50;0x94`b282270?ic;37a>{t9ol1<74>>34;mo7?:9:p656=838p1?>?:0::?87ak3;=86s|21394?4|5;::6<66;<3eg?71?2wx>=<50;0x9765282270?ic;35<>{t:991<74>>34;mo7?99:p65d=838p1?>::06`?847j3;356s|21494?4|5;:i6<:l;<032?7?12wx>=950;0x976128>o70{t:921<742b348;47?79:p65?=838p1?>6:0::?84703;?h6s|21c94?4|5;:j6<66;<03h70;:b;3;=>{t=<21<742d34?>47?79:p10?=838p18;7:06g?83213;356s|54c94?4|5h70;9a;3;=>{t=?=1<742d34?=;7?79:p13>=838p1888:06g?83103;356s|57;94?4|5<<<6<:j;<75=?7?12wx9l:50;0x90?d28>h70;n4;3;=>{t=h91<742d34?j?7?79:p1d6=839p18o?:0::?877i3;?h63>0`820`=z{<3o6=4={<7b0?73k27>5i4>889~w0?b2909w0;6d;37`>;21l0:445rs4;e>5<5s4?2h7?;e:?6=c<6001v8o>:18183f93;3563:a2820a=z{m>4>4d9~w0d52909w0;ne;37g>;2j;0:445rs4ce>5<5s4?i>7?;c:?6ec<6001v8l?:18183fn3;?h63:b182<<=z{n<4>889~w12>2909w0:;3;37g>;35<5s4>?;7?;c:?70=<6001v9:;:181823i3;?o63;4582<<=z{=>>6=4={<670?73l27?884>889~w1212909w0:;4;37a>;35<5s4?:j7?;c:?666<6001v8><4>889~w0452909w0;=0;37a>;2:;0:445rs477>5<5s4??h7?;c:?611<6001v8:j:181832<3;?o63:4d82<<=z{<>m6=4={<77a?73l27>8k4>889~w0372909w0;;e;37a>;2=90:445rs472>5<5s4?>=7?79:?615<69=4>589~w0>>2909w0;72;37g>;2000:445rs4:0>5<5s4?357?;c:?6<6<6001v86;:18183?;3;?h63:8582<<=z{<2>6=4={<7;7?73m27>484>889~w0>12909w0;76;3;=>;20<0:8i5rs4:4>5<5s4?3;7?79:?6<0<6=6=4={<476?73k27=8:4>889~w3242909w08;7;37g>;1<:0:445rs767>5<5s4889~w4122909w0?83;37g>;6?<0:445rs05b>5<5s4;<97?;c:?23d<6001v<99:18187013;?o63>7782<<=z{8=<6=4={<342?73l27:;:4>889~w41?2909w0?86;37a>;6?10:445rs`094?4|50=1=9m4=`095=?42d34k:6<66;|q:7?;c:?:==<6889~w:06g?xu>l3:1>v36d;3;=>;f93;?i6s|9d83>7}:1l0:4452a0821<=z{0l1<75<5s4k;6<66;4003tyjo7>52z?b2?73k27jo7?79:peg<72;q6ml4>4b9>eg<6001vl950;0x9de=9=i01l9519;8yvg?2909w0o8:06g?8g?28227p}n9;296~;f?3;?i63n9;3;=>{t=;i1<7=t=405>42d34><:7?;c:?66a<6001v8<8:181835l3;?o63:2682<<=z{<836=4={<713?73l27>>54>889~w04>2909w0;=7;37a>;2:00:445rs40b>5<5s4?9m7?79:?66<<684>4b9>16g=9130q~;<6;296~;2;h0:8n5252495=?52z?673<69519;8yv3403:1>v3:37820`=:=:21=574}r745?6=:r7>:i4>4b9>127=9130q~;9e;296~;2?80:8n5257g95=?52z?62`<6v3:6d820`=:=>:1=574}r74b?6=:r7>;94>4b9>12`=9130q~;8e;296~;2?10:8n5256g95=?52z?63c<63:1>v3:74820a=:=><1=574}r743?6=:r7>;84>4d9>121=9130q~;89;296~;2?00:445256g951b52z?63d<600169:k515g8yv30j3:1>v3:7c82<<=:=>o1=874}r74g?6=:r7>;n4>889>12c=9?>0q~;8d;296~;2?m0:445256g953152z?6<`<603:1>v3:93820f=:=021=574}r7;b?6=:r7>544>4b9>1=`=9130q~;60;296~;20o0:8i5258295=?52z?6;3:1>v3:9282<<=:=021=9j4}r7:0?6=:r7>594>889>1<>=9=o0q~;65;296~;21<0:445258:950?52z?6=3<6001694651768yv3>?3:1>v3:9682<<=:=021=;94}r3;1?6=:r7:4<4>4b9>5=3=9130q~?72;296~;60<0:8n5219095=?52z?2<7<6v3>83820`=:91>1=574}r3;4?6=:r7:;n4>4b9>5=6=9130q~?8d;296~;6090:8n5216f95=?52z?23a<6v3>7e820`=:9>l1=574}rf5>5<5s4im6<:l;4>>3tyo;7>53z?g4?73l27o<7?;e:?g3?7?12wxh=4?:3y>`5<60016h;4>4e9~wa7=838p1i?519;89a0=9=o0q~j=:1818b5282270j9:07:?xuc;3:1>v3k3;3;=>;c>3;=86s|d583>7}:l=0:4452d78222=z{m?1<75<5s4n<6<:l;4>>3tyo47>52z?g889>`g<6;b<3;?i6s|de83>7}:lm0:4452e3820a=z{mo1<75<5s4nm6<66;43>3tyn<7>52z?f4?7?127n>7?94:pa4<72;q6i<4>889>a7<6>>1vho50;0x9`5=9=i01ho519;8yvc32909w0k;:0::?8cf28>o7p}j5;296~;b=3;3563ja;37a>{tm?0;6?u2e782<<=:mh0:945rsd594?4|5l=1=574=dc95324>>34oj6<88;|qf=?6=:r7n57?79:?fe?7102wxj?4?:3y>ag<6889~w`e=838p1hm519;89c4=9=n0q~kk:1818cc282270h=:06f?xubm3:1>v3je;3;=>;a:3;>56s|eg83>7}:mo0:4452f38221=z{o:1<75<5s4l:6<66;40?3tymm7>52z?e7?73k27mm7?79:pb1<72;q6j94>889>bd<6{tn10;6?u2f982<<=:nh0:::5rsg;94?4|5o31=574=gc953>52z?ef?73k27:<<4>889~wce=838p1km519;8946628>o7p}id;296~;al3;3563>00820`=z{oo1<7=1v<>?:18187783;3563>008222=z{8:36=4={<336?73k27:<54>889~w4642909w0??3;3;=>;6810:8i5rs027>5<5s4;;87?79:?24=<6::181877=3;3563>09821<=z{8:=6=4={<332?7?127:<54>659~w4602909w0??7;3;=>;6810:::5rs033>5<5s4;;57?;c:?255<6001v<>n:181877i3;3563>11820a=z{8:i6=4={<33f?7?127:==4>4d9~w46d2909w0??c;3;=>;6990:945rs02g>5<5s4;;h7?79:?255<6>=1v<>j:181877m3;3563>118222=z{8:m6=4={<33b?7?127:==4>699~w4702909w0?>1;37g>;69>0:445rs031>5<5s4;:>7?79:?252<616820`=z{8;?6=4={<320?7?127:=:4>589~w4722909w0?>5;3;=>;69>0::95rs035>5<5s4;::7?79:?252<6>>1v1b82<<=z{8;26=4={<32=?7?127:=n4>4e9~w47f2909w0?>a;3;=>;69j0:8h5rs03a>5<5s4;:n7?79:?25f<6=01v<<;:181876l3;?o63>2582<<=z{8;n6=4={<32a?7?127:>94>4e9~w47a2909w0?>f;3;=>;6:=0:8h5rs003>5<5s4;9<7?79:?261<6=01v<<>:18187593;3563>258221=z{8896=4={<316?7?127:>94>669~w4442909w0?=3;3;=>;6:=0::55rs00`>5<5s4;997?;c:?26f<6001v<<9:181875>3;3563>2b820a=z{88<6=4={<313?7?127:>n4>4d9~w44?2909w0?=8;3;=>;6:j0:945rs00:>5<5s4;957?79:?26f<6>=1v<2b8222=z{88i6=4={<31f?7?127:>n4>699~w4532909w0?=d;37g>;6;=0:445rs00f>5<5s4;9i7?79:?271<635820`=z{89;6=4={<304?7?127:?94>589~w4562909w0?<1;3;=>;6;=0::95rs011>5<5s4;8>7?79:?271<6>>1v<=<:181874;3;3563>35822==z{89h6=4={<301?73k27:?n4>889~w4512909w0?<6;3;=>;6;j0:8i5rs014>5<5s4;8;7?79:?27f<63b821<=z{8926=4={<30=?7?127:?n4>659~w45f2909w0?;6;j0:::5rs01a>5<5s4;8n7?79:?27f<6>11v<:<:181874l3;?o63>4282<<=z{89n6=4={<30a?7?127:8>4>4e9~w45a2909w0?;6<:0:8h5rs063>5<5s4;?<7?79:?206<6=01v<:>:18187393;3563>428221=z{8>96=4={<376?7?127:8>4>669~yk01k:0;6j<1<7?t}o45g2<728qvb;8l8;295~{i>?i26=4>{|l52fg=83;pqc89cc83>4}zf?51zm23ec290:wp`96bg94?7|ug<=ok4?:0y~j30c83:1=vsa67f2>5<6std=:i<50;3xyk01l:0;6m<1<7?t}o45`2<728qvb;8k8;295~{i>?n26=4>{|l52ag=83;pqc89dc83>4}zf?51zm23bc290:wp`96eg94?7|ug<=hk4?:0y~j30b83:1=vsa67g2>5<6std=:h<50;3xyk01m:0;6l<1<7?t}o45a2<728qvb;8j8;295~{i>?o26=4>{|l52`g=83;pqc89ec83>4}zf?51zm23cc290:wp`96dg94?7|ug<=ik4?:0y~j30a83:1=vsa67d2>5<6std=:k<50;3xyk01n:0;6o<1<7?t}o45b2<728qvb;8i8;295~{i>?l26=4>{|l52cg=83;pqc89fc83>4}zf?51zm23`c290:wp`96gg94?7|ug<=jk4?:0y~j31783:1=vsa6622>5<6std=;=<50;3xyk008:0;6::182xh1?9<1<7?t}o4442<728qvb;9?8;295~{i>>:26=4>{|l535g=83;pqc880c83>4}zf?=;o7>51zm226c290:wp`971g94?7|ug<<5<6std=;<<50;3xyk009:0;68;295~{i>>;26=4>{|l534g=83;pqc881c83>4}zf?=:o7>51zm227c290:wp`970g94?7|ug<<=k4?:0y~j31583:1=vsa6602>5<6std=;?<50;3xyk00::0;6>826=4>{|l537g=83;pqc882c83>4}zf?=9o7>51zm224c290:wp`973g94?7|ug<<>k4?:0y~j31483:1=vsa6612>5<6std=;><50;3xyk00;:0;6>926=4>{|l536g=83;pqc883c83>4}zf?=8o7>51zm225c290:wp`972g94?7|ug<5<6std=;9<50;3xyk00<:0;6>>26=4>{|l531g=83;pqc884c83>4}zf?=?o7>51zm222c290:wp`975g94?7|ug<<8k4?:0y~j31283:1=vsa6672>5<6std=;8<50;3xyk00=:0;6>?26=4>{|l530g=83;pqc885c83>4}zf?=>o7>51zm223c290:wp`974g94?7|ug<<9k4?:0y~j31183:1=vsa6642>5<6std=;;<50;3xyk00>:0;6><26=4>{|l533g=83;pqc886c83>4}zf?==o7>51zm220c290:wp`977g94?7|ug<<:k4?:0y~j31083:1=vsa6652>5<6std=;:<50;3xyk00?:0;6<1<7?t}o4432<728qvb;988;295~{i>>=26=4>{|l532g=83;pqc887c83>4}zf?=51zm221c290:wp`976g94?7|ug<<;k4?:0y~j31?83:1=vsa66:2>5<6std=;5<50;3xyk000:0;6>226=4>{|l53=g=83;pqc888c83>4}zf?=3o7>51zm22>c290:wp`979g94?7|ug<<4k4?:0y~j31>83:1=vsa66;2>5<6std=;4<50;3xyk001:0;6>326=4>{|l534}zf?=2o7>51zm22?c290:wp`978g94?7|ug<<5k4?:0y~j31f83:1=vsa66c2>5<6std=;l<50;3xyk00i:0;6>k26=4>{|l53dg=83;pqc88ac83>4}zf?=jo7>51zm22gc290:wp`97`g94?7|ug<5<6std=;o<50;3xyk00j:0;6>h26=4>{|l53gg=83;pqc88bc83>4}zf?=io7>51zm22dc290:wp`97cg94?7|ug<5<6std=;n<50;3xyk00k:0;6>i26=4>{|l53fg=83;pqc88cc83>4}zf?=ho7>51zm22ec290:wp`97bg94?7|ug<5<6std=;i<50;3xyk00l:0;6>n26=4>{|l53ag=83;pqc88dc83>4}zf?=oo7>51zm22bc290:wp`97eg94?7|ug<5<6std=;h<50;3xyk00m:0;6>o26=4>{|l53`g=83;pqc88ec83>4}zf?=no7>51zm22cc290:wp`97dg94?7|ug<5<6std=;k<50;3xyk00n:0;6>l26=4>{|l53cg=83;pqc88fc83>4}zf?=mo7>51zm22`c290:wp`97gg94?7|ug<783:1=vsa6922>5<6std=4=<50;3xyk0?8:0;6::182xh109<1<7?t}o4;42<728qvb;6?8;295~{i>1:26=4>{|l5<5g=83;pqc870c83>4}zf?2;o7>51zm2=6c290:wp`981g94?7|ug<3683:1=vsa6932>5<6std=4<<50;3xyk0?9:0;68;295~{i>1;26=4>{|l5<4g=83;pqc871c83>4}zf?2:o7>51zm2=7c290:wp`980g94?7|ug<3=k4?:0y~j3>583:1=vsa6902>5<6std=4?<50;3xyk0?::0;61826=4>{|l5<7g=83;pqc872c83>4}zf?29o7>51zm2=4c290:wp`983g94?7|ug<3>k4?:0y~j3>483:1=vsa6912>5<6std=4><50;3xyk0?;:0;61926=4>{|l5<6g=83;pqc873c83>4}zf?28o7>51zm2=5c290:wp`982g94?7|ug<3?k4?:0y~j3>383:1=vsa6962>5<6std=49<50;3xyk0?<:0;61>26=4>{|l5<1g=83;pqc874c83>4}zf?2?o7>51zm2=2c290:wp`985g94?7|ug<38k4?:0y~j3>283:1=vsa6972>5<6std=48<50;3xyk0?=:0;61?26=4>{|l5<0g=83;pqc875c83>4}zf?2>o7>51zm2=3c290:wp`984g94?7|ug<39k4?:0y~j3>183:1=vsa6942>5<6std=4;<50;3xyk0?>:0;61<26=4>{|l5<3g=83;pqc876c83>4}zf?2=o7>51zm2=0c290:wp`987g94?7|ug<3:k4?:0y~j3>083:1=vsa6952>5<6std=4:<50;3xyk0??:0;6<1<7?t}o4;32<728qvb;688;295~{i>1=26=4>{|l5<2g=83;pqc877c83>4}zf?251zm2=1c290:wp`986g94?7|ug<3;k4?:0y~j3>?83:1=vsa69:2>5<6std=45<50;3xyk0?0:0;61226=4>{|l5<=g=83;pqc878c83>4}zf?23o7>51zm2=>c290:wp`989g94?7|ug<34k4?:0y~j3>>83:1=vsa69;2>5<6std=44<50;3xyk0?1:0;61326=4>{|l5<4}zf?22o7>51zm2=?c290:wp`988g94?7|ug<35k4?:0y~j3>f83:1=vsa69c2>5<6std=4l<50;3xyk0?i:0;61k26=4>{|l54}zf?2jo7>51zm2=gc290:wp`98`g94?7|ug<3mk4?:0y~j3>e83:1=vsa69`2>5<6std=4o<50;3xyk0?j:0;61h26=4>{|l54}zf?2io7>51zm2=dc290:wp`98cg94?7|ug<3nk4?:0y~j3>d83:1=vsa69a2>5<6std=4n<50;3xyk0?k:0;61i26=4>{|l54}zf?2ho7>51zm2=ec290:wp`98bg94?7|ug<3ok4?:0y~j3>c83:1=vsa69f2>5<6std=4i<50;3xyk0?l:0;61n26=4>{|l54}zf?2oo7>51zm2=bc290:wp`98eg94?7|ug<3hk4?:0y~j3>b83:1=vsa69g2>5<6std=4h<50;3xyk0?m:0;61o26=4>{|l5<`g=83;pqc87ec83>4}zf?2no7>51zm2=cc290:wp`98dg94?7|ug<3ik4?:0y~j3>a83:1=vsa69d2>5<6std=4k<50;3xyk0?n:0;61l26=4>{|l54}zf?2mo7>51zm2=`c290:wp`98gg94?7|ug<3jk4?:0y~j3?783:1=vsa6822>5<6std=5=<50;3xyk0>8:0;6::182xh119<1<7?t}o4:42<728qvb;7?8;295~{i>0:26=4>{|l5=5g=83;pqc860c83>4}zf?3;o7>51zm2<6c290:wp`991g94?7|ug<25<6std=5<<50;3xyk0>9:0;68;295~{i>0;26=4>{|l5=4g=83;pqc861c83>4}zf?3:o7>51zm2<7c290:wp`990g94?7|ug<2=k4?:0y~j3?583:1=vsa6802>5<6std=5?<50;3xyk0>::0;60826=4>{|l5=7g=83;pqc862c83>4}zf?39o7>51zm2<4c290:wp`993g94?7|ug<2>k4?:0y~j3?483:1=vsa6812>5<6std=5><50;3xyk0>;:0;60926=4>{|l5=6g=83;pqc863c83>4}zf?38o7>51zm2<5c290:wp`992g94?7|ug<2?k4?:0y~j3?383:1=vsa6862>5<6std=59<50;3xyk0><:0;60>26=4>{|l5=1g=83;pqc864c83>4}zf?3?o7>51zm2<2c290:wp`995g94?7|ug<28k4?:0y~j3?283:1=vsa6872>5<6std=58<50;3xyk0>=:0;60?26=4>{|l5=0g=83;pqc865c83>4}zf?3>o7>51zm2<3c290:wp`994g94?7|ug<29k4?:0y~j3?183:1=vsa6842>5<6std=5;<50;3xyk0>>:0;60<26=4>{|l5=3g=83;pqc866c83>4}zf?3=o7>51zm2<0c290:wp`997g94?7|ug<2:k4?:0y~j3?083:1=vsa6852>5<6std=5:<50;3xyk0>?:0;6<1<7?t}o4:32<728qvb;788;295~{i>0=26=4>{|l5=2g=83;pqc867c83>4}zf?351zm2<1c290:wp`996g94?7|ug<2;k4?:0y~j3??83:1=vsa68:2>5<6std=55<50;3xyk0>0:0;60226=4>{|l5==g=83;pqc868c83>4}zf?33o7>51zm2<>c290:wp`999g94?7|ug<24k4?:0y~j3?>83:1=vsa68;2>5<6std=54<50;3xyk0>1:0;60326=4>{|l5=4}zf?32o7>51zm25<6std=5l<50;3xyk0>i:0;60k26=4>{|l5=dg=83;pqc86ac83>4}zf?3jo7>51zm25<6std=5o<50;3xyk0>j:0;60h26=4>{|l5=gg=83;pqc86bc83>4}zf?3io7>51zm25<6std=5n<50;3xyk0>k:0;60i26=4>{|l5=fg=83;pqc86cc83>4}zf?3ho7>51zm25<6std=5i<50;3xyk0>l:0;60n26=4>{|l5=ag=83;pqc86dc83>4}zf?3oo7>51zm25<6std=5h<50;3xyk0>m:0;60o26=4>{|l5=`g=83;pqc86ec83>4}zf?3no7>51zm25<6std=5k<50;3xyk0>n:0;60l26=4>{|l5=cg=83;pqc86fc83>4}zf?3mo7>51zm2<`c290:wp`99gg94?7|ug<2jk4?:0y~j3g783:1=vsa6`22>5<6std=m=<50;3xyk0f8:0;6::182xh1i9<1<7?t}o4b42<728qvb;o?8;295~{i>h:26=4>{|l5e5g=83;pqc8n0c83>4}zf?k;o7>51zm2d6c290:wp`9a1g94?7|ug5<6std=m<<50;3xyk0f9:0;68;295~{i>h;26=4>{|l5e4g=83;pqc8n1c83>4}zf?k:o7>51zm2d7c290:wp`9a0g94?7|ug5<6std=m?<50;3xyk0f::0;6h826=4>{|l5e7g=83;pqc8n2c83>4}zf?k9o7>51zm2d4c290:wp`9a3g94?7|ugk4?:0y~j3g483:1=vsa6`12>5<6std=m><50;3xyk0f;:0;6h926=4>{|l5e6g=83;pqc8n3c83>4}zf?k8o7>51zm2d5c290:wp`9a2g94?7|ug5<6std=m9<50;3xyk0f<:0;6h>26=4>{|l5e1g=83;pqc8n4c83>4}zf?k?o7>51zm2d2c290:wp`9a5g94?7|ug5<6std=m8<50;3xyk0f=:0;6h?26=4>{|l5e0g=83;pqc8n5c83>4}zf?k>o7>51zm2d3c290:wp`9a4g94?7|ug5<6std=m;<50;3xyk0f>:0;6h<26=4>{|l5e3g=83;pqc8n6c83>4}zf?k=o7>51zm2d0c290:wp`9a7g94?7|ug5<6std=m:<50;3xyk0f?:0;6<1<7?t}o4b32<728qvb;o88;295~{i>h=26=4>{|l5e2g=83;pqc8n7c83>4}zf?k51zm2d1c290:wp`9a6g94?7|ug5<6std=m5<50;3xyk0f0:0;6h226=4>{|l5e=g=83;pqc8n8c83>4}zf?k3o7>51zm2d>c290:wp`9a9g94?7|ug83:1=vsa6`;2>5<6std=m4<50;3xyk0f1:0;6h326=4>{|l5e4}zf?k2o7>51zm2d?c290:wp`9a8g94?7|ug5<6std=ml<50;3xyk0fi:0;6hk26=4>{|l5edg=83;pqc8nac83>4}zf?kjo7>51zm2dgc290:wp`9a`g94?7|ug5<6std=mo<50;3xyk0fj:0;6hh26=4>{|l5egg=83;pqc8nbc83>4}zf?kio7>51zm2ddc290:wp`9acg94?7|ug5<6std=mn<50;3xyk0fk:0;6hi26=4>{|l5efg=83;pqc8ncc83>4}zf?kho7>51zm2dec290:wp`9abg94?7|ug5<6std=mi<50;3xyk0fl:0;6hn26=4>{|l5eag=83;pqc8ndc83>4}zf?koo7>51zm2dbc290:wp`9aeg94?7|ug5<6std=mh<50;3xyk0fm:0;6ho26=4>{|l5e`g=83;pqc8nec83>4}zf?kno7>51zm2dcc290:wp`9adg94?7|ug5<6std=mk<50;3xyk0fn:0;6hl26=4>{|l5ecg=83;pqc8nfc83>4}zf?kmo7>51zm2d`c290:wp`9agg94?7|ug5<6std=n=<50;3xyk0e8:0;6::182xh1j9<1<7?t}o4a42<728qvb;l?8;295~{i>k:26=4>{|l5f5g=83;pqc8m0c83>4}zf?h;o7>51zm2g6c290:wp`9b1g94?7|ug5<6std=n<<50;3xyk0e9:0;68;295~{i>k;26=4>{|l5f4g=83;pqc8m1c83>4}zf?h:o7>51zm2g7c290:wp`9b0g94?7|ug5<6std=n?<50;3xyk0e::0;6k826=4>{|l5f7g=83;pqc8m2c83>4}zf?h9o7>51zm2g4c290:wp`9b3g94?7|ugk4?:0y~j3d483:1=vsa6c12>5<6std=n><50;3xyk0e;:0;6k926=4>{|l5f6g=83;pqc8m3c83>4}zf?h8o7>51zm2g5c290:wp`9b2g94?7|ug5<6std=n9<50;3xyk0e<:0;6k>26=4>{|l5f1g=83;pqc8m4c83>4}zf?h?o7>51zm2g2c290:wp`9b5g94?7|ug5<6std=n8<50;3xyk0e=:0;6k?26=4>{|l5f0g=83;pqc8m5c83>4}zf?h>o7>51zm2g3c290:wp`9b4g94?7|ug5<6std=n;<50;3xyk0e>:0;6k<26=4>{|l5f3g=83;pqc8m6c83>4}zf?h=o7>51zm2g0c290:wp`9b7g94?7|ug5<6std=n:<50;3xyk0e?:0;6<1<7?t}o4a32<728qvb;l88;295~{i>k=26=4>{|l5f2g=83;pqc8m7c83>4}zf?h51zm2g1c290:wp`9b6g94?7|ug5<6std=n5<50;3xyk0e0:0;6k226=4>{|l5f=g=83;pqc8m8c83>4}zf?h3o7>51zm2g>c290:wp`9b9g94?7|ug83:1=vsa6c;2>5<6std=n4<50;3xyk0e1:0;6k326=4>{|l5f4}zf?h2o7>51zm2g?c290:wp`9b8g94?7|ug5<6std=nl<50;3xyk0ei:0;6kk26=4>{|l5fdg=83;pqc8mac83>4}zutwKLNu808795c6?>lk=qMNM{1CDU}zHI \ No newline at end of file +$4d:0=#Zl|/;6&?:183-c=/83:1<$.'0;294,773!;;<=4>01295567!890$0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(f8,1672=:;69>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5<5=2:036>45:288=95=3;KMTPR=IVLXN1<<:0<1?7253;?97?9=;3:1?7?63:80?=<43308764<;=80?8<43708727<<;1?8?5:539627=20;1>5<592:406>03:2<>>6891:51?2653>897::=;641?2153>297:7>;908<54<08804?<48408<34<0>8044?4939:47=>9;12>?56339:07=>=;12:?56749BH@6J?2KGI=C!D99BH@6J&M;37LBJ0L,G6a=FDL:F"IGKM9G%H?Q_T^34?DJB8D$Z56OCE1O-V@A23HFN<^94AMG3W+B?3HFN<^ K199BH@6T&M8<7LBJ0R,R=>GKM9Y%^HI;;@NF56=FFM30MC@@T@VF@<=FWOYI0<>1d:C\BVD;994%\LJ6;@]EWG:697n0MRH\B=32:+VFL01JSK]M<00=`>GXNZH7=?0!P@F:?DYA[K6:?3j4A^DPF9746'ZJH45N_GQA8429l2KTJ^L315<-TDB>3HUM_O2>5?f8EZ@TJ5;>2#^ND89B[CUE48<5h6OPFR@?538)XHN27LQISC>23;b/RB@<=FWOYI0<61d:C\BVD;914%\LJ6;@]EWG:617n0MRH\B=3::+VFL11JSK]M<0<`?DYA[K6:2#^ND89B[CUE4;:5h6OPFR@?658)XHN27LQISC>15;b>/RB@<=FWOYI0?<1d:C\BVD;:;4%\LJm;@]EWG:5;3;556OPFR@?668c3HUM_O2=3?,SEA>7$[MI64A^DPF919k2KTJ^L37?,SEA>GXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_M=?5N_GQA[4?XAK_M(O[I0068EZ@TJV;2SDLZF%@VB5(C9<1JSK]M_0;\MGSA,K_M<#J>149B[CUEW83TEO[I$CWE4+B59?1JSK]M_0;\MGSA,K_M<#KWP0`8EZ@TJV;2SDLZF%@VB5(BPYU[XR>>b:C\BVDX90UBNXH+BTD3*@^WWY^T=W@H^J)LZF1,F\UYW\V8:n6OPFR@\50MRH\B^02[LDRN-H^J= K149B[CUEW;;TEO[I$CWE4+B69<1JSK]M_33\MGSA,K_M<#J=159B[CUEW;;TEO[I$CWE4+Wc3HUM_OQ=2^KAQCb5MUG:8FPUXAGLD86M>6L:8G40J&YKOi6M>6L]JFP@(NJF@j6M>6L]JFP@(NJF@!;5L21OFJd=D:9GNB#^ND79@60KBFh1H>8CJN/RB@468CJN^MAQC"E]O:%H<=4C37NAKYHJ\L/NXH?.E327>E5=DOESBLZF%@VB5(C:880O?;BEO]LFP@#J\L;"\j4C37NAKYHJ\L/]i5L24OFJZIE]O$Oi6M=5LGM[JDRN'N:i6M=5LGM[JDRN'N9h6M=5LGM[JDRN'[87N6B7:A;I+VFL11H4@QFBTDf?F>JW@H^J"HLLJ/1?FC43JF@56M@MLKWP@B03JXNMYKK3:AWH<=Ddfi`_}kl8:FbpdUwmj?0Hhbny09F1>C)XHNj7HV_11]-A]Va3LR[==Q!EYR\TQY7n2OS\<>P.DZS[URX9o1NT]??_/G[TZVSW;l0IU^>0^,F\UYW\V9m7HV_11]-A]VXX]U?j6KWP02\*@^WWY^T9_/G[TZVSW;;i7HV_10]-A]VX|zcf~h`nmdf\j`rXi2OS\<i;DZS56Y)MQZT\YQ>f:G[T45X&LR[S]ZP2g9F\U74W'OS\R^[_2d8A]V6;V$NT]Q_T^6e?@^W9:U%IU^PPU]65g=BPY;8S#KWP^vpmhtbfhgnhR`jt^c8A]V6i;DZS51Y)MQZT\YQ>f:G[T42X&LR[S]ZP2g9F\U73W'OS\R^[_2d8A]V6C_X8>T"HV__uqjiwciidooSck{_`9F\U72W'OS\k5JXQ36[+C_XVZ_S=h4EYR21Z(BPYU[XR?i;DZS50Y)MQZT\YQ=f:G[T43X&LR[S]ZP3g9F\U72W'OS\R^[_53a?@^W9P.DZSb>C_X;:T"HV__QV\4c=BPY8;S#KWP^RW[4`=Q!EYR\TQY4n2OS\?>P.DZS[URX<8h0IU^=0^,F\UYs{`gyicobee]maqYf3LR[>C_X;;T"HV__QV\5c=BPY8:S#KWP^RW[7`_/G[TZrtadxnblcjd^lfpZgR JXQd8A]V5:V$NT]Q_T^2e?@^W:;U%IU^PPU]2b>C_X;8T"HV__QV\6c=BPY89S#KWP^RW[6`R JXQ]SPZ26j2OS\?OI8:1BB<:4IO330>OI98>0EC?=4:KM562OI9090EC<;;HL141=NF;;?7D@=259JJ7533@D9895FN377?LH5>=1BB?9;;HL1<1=NF;387D@<4:KM752OHIJ^%H?Q_T^74?LIFK]$Z56G@ABV-V@A13@EII_\7;HMAAWT)L01BCOK]R/F2=>OHJLXY"Ij;HMAAWT)L;U[XR?j;HMAAWT)L;U[XROHD9$Z46G@L1,QAB38:KLV@W)L;n0EB\JQ/F1[URX8m1BC_K^.E0\TQY6l2CD^H_!D3]SPZ4c3@EYI\ K2^RW[6bOHZL[%H?Q_T^44?LIUMX$Z56G@RDS-V@A23@EY[=94INPT4+B?3@EY[= K199JKWQ7&M8o7DA]W1,G6ZVSW9n0EB\X0/F1[URX9m1BC_Y?.E0\TQY5l2CD^Z>!D3]SPZ5c3@EY[= K2^RW[1bOHZ^:%]45FOSU3*WC@<2CDY=94INW3*FC13@E^<#J8;HMV4+B6?2CDY= K2b9JKP6)L;U[XR>l;HMV4+B5WY^T=;5FOT2-U==NG\:%^HI7;Hlw@`jfq:1GCN94LNEJGDJd3DkacXjrrkljf=JageyZh||inl1?K623G:/CHm4N1,AQCIB&F__86@?.E78J5(C9<1E<#J=4:L3*T1HB\^EYG>5@ND08KW52Zn~dak8:RlvqVf|a?0^L2?>89QE969&YKO:6\N<02=e>TF48:5"]OK8:PB847=87<0^L2>1?c8VD:697$[MI;4R@>2:<=UI5;5"]OK5:PB878>3[K7>3 _AE78VD:4601YM1=1.QCG1>TF4=427_O34?,SEA3245]A=7=*UGC=2XJ0;06;SC?2;(WIM?0^L28>89QE919&YKO96\N<9<:?WG;07$[MI;4R@>::<=UI535"]OK9:PB[5YHJ\Li7_OP0^MAQC(Ck2XJS=Q@BTD-@4ePOCWE*A4XX]U:n6\N_1]LFP@)Y01YMR?POCWEf>TFW8UDNXH!Db9QEZ7XGK_M"I?l;SC\5ZIE]O$O>RAMUG,G6ZVSW9;:7_OP2^MAQC(C:VZ_S1:PB[6YHJ\L%H?Q_T^225>TFW:UDNXH!D3]SPZ7e3[KT?RAMUG,R=>TFW=UDNXHm;SC\0ZIE]O$Oo6\N_5]LFP@)L8i0^LQ;_N@VB+B5l2XJS9Q@BTD-A]V6:2XJS9Q@BTD-A]VXX]U;=?5]A^6\KGSA&LR[S]ZP1008VDY3WFH^J#KWP^RW[7753[KT8RAMUG,F\UYW\V9:>6\N_5]LFP@)MQZT\YQ;1d9QEZ2XGK_M"HV__uqjiwciidooSck{_c9QEZ2XGK_M"\74R@]6[JDRNk1YMR;POCWE*AeSBLZF/F2g>TFW3[KT:RAMUG`8VDY1WFH^J#Jl;SC\2ZIE]O$O=n5]A^4\KGSA&M8:=6\N_7]LFP@)L;U[XR>>1:PB[3YHJ\L%H?Q_T^3g?WGX>VEIYK JXQ31?WGX>VEIYK JXQ]SPZ66:2XJS;Q@BTD-A]VXX]U:=?5]A^4\KGSA&LR[S]ZP2008VDY1WFH^J#KWP^RW[67b3[KT:RAMUG,F\UYs{`gyicobee]maqYe3[KT:RAMUG,R=>TFW>UDNXHm;SC\3ZIE]O$Oo6\N_6]LFP@)L8i0^LQ8_N@VB+B5981YMR9POCWE*A4XX]U;=<5]A^5\KGSA&M8T\YQ>b:PB[2YHJ\L%]45]A^:\KGSAj2XJS5Q@BTD-@f=UIV2TCO[I.E3`?WGX0VEIYK K2038VDY?WFH^J#J=_QV\447WFH^Jo5]A^;\KGSA&Mi0^LQ6_N@VB+B6k2XJS4Q@BTD-@7763[KT5RAMUG,G6ZVSW9;:7_OP9^MAQC(C:VZ_S"TP334%.Txloo,Kgce;"Uawungg*8"=';;YFNH4b3:+B6k2iex"K}<1<-@7d/Sg?fhs'Lx7<3 ]EF;8gkr(M{6:2o5lnu-Fv979&Mi0ocz Es>2:+B6k2iex"K}<0<-@7d>/Sg?fhs'Lx7=3 ]EF31?fhs'@DBX^QISL]EBa76<2iex"GAIUQ\BVKXNOn:"I?:;blw+LHN\ZUM_@QIFe3-@4723jd#D@FTR]EWHYANm;%H??9;blw+LHN\ZUM_@QIFe3-A]V6j2iex"GAIUQ\BVKXNOn:"HV__QV\44dei|&CEEY]PFRO\BCb6&LR[S]ZP20`8gkr(AGC__RH\M^DE`4(BPYU[XR==7:amp*OIA]YTJ^CPFGf2*@^WW}ybakaalgg[kcsW8>0ocz IOKWWZ@TEVLMh< ^179`jq)NF@^XSK]B_GDg5+TBO;90ocz IOKWWZ@TEVLMh2:amp*OIA]YTJ^CPFGf151=df}%BBDZ\_GQN[C@c:'N:96mat.KMMQUXNZGTJKj=.E321>ei|&CEEY]PFRO\BCb5&M8:m6mat.KMMQUXNZGTJKj=.E0\TQY79h1hby!FNHVP[CUJWOLo>#J=_QV\542/F154=df}%GXdcjr=2=*@^W9?1hby!CThofv969&LR[S]ZP0048gkr(D]cfi2?>/G[TZVSW8;=7n`{/MVji`t;87$NT]Q_T^022>ei|&F_e`k}<1<-A]VXX]U8>?5lnu-OPlkbz5:5"HV__uqjiwciidooSck{_g9`jq)K\`gn~1>1.P32?fhs'E^bah|30?,QAB7b3jd#AZfmdp?4;Ys{`gh~ho{ee]{kwYb3jd#AZfmdp?558692iex"B[ilgq8469&JO:<6mat.NWmhcu48:5"I?>;blw+IRnelx7==0!D032?fhs'E^bah|311<-@7773jd#AZfmdp?558)Y880ocz LUknaw:687$YIJ?i;blw+IRnelx7==0Ptrkngwcf|lnTtb|Pe:amp*JSadoy0>/AF55=df}%GXdcjr=32:+B692iex"B[ilgq8479&M;:=6mat.NWmhcu48;5"I<>0:amp*JSadoy04cov,HQojm{6:>3 K109`jq)K\`gn~1?=>/F254=df}%GXdcjr=31:+B5991hby!CThofv9756'[:>6mat.NWmhcu4885"_KH1g9`jq)K\`gn~1?=>^vpmheumh~nhRv`r^f8gkr(D]cfi2>>028gkr(D]cfi2>>/AFb>ei|&F_e`k}<0<-@462:+B6991hby!CThofv979&M8m7n`{/MVji`t;97$Z=<5lnu-OPlkbz5;5"_KH1d9`jq)K\`gn~1?1_uqjiftbi}ooSua}_e9`jq)K\`gn~1<1119`jq)K\`gn~1<1.BGe?fhs'E^bah|32?,G55=df}%GXdcjr=0=*A7682iex"B[ilgq878)L;l0ocz LUknaw:56'[:=6mat.NWmhcu4;4%^HI>e:amp*JSadoy0?0Ptrkngwcf|lnTtb|Pd:amp*JSadoy0>0>0:amp*JSadoy0>0!CDd8gkr(D]cfi2<>/F24>ei|&F_e`k}<2<-@4773jd#AZfmdp?7;(C:o1hby!CThofv959&X;:7n`{/MVji`t;;7$YIJ?j;blw+IRnelx7?3Q{sho`v`gsmmUscQk;blw+IRnelx783??;blw+IRnelx783 LEg9`jq)K\`gn~1:1.E33?fhs'E^bah|34?,G5467:+B5n2iex"B[ilgq818)Y8;0ocz LUknaw:36'XNK2<>4cov,HQojm{6>2#MJf:amp*JSadoy080!D028gkr(D]cfi2:>/F255=df}%GXdcjr=7=*A4a3jd#AZfmdp?1;(V981hby!CThofv939&[OL=h5lnu-OPlkbz5?5Sy}fmbpfeqccWqeySi5lnu-OPlkbz5<5==5lnu-OPlkbz5<5"NKi;blw+IRnelx7:3 K119`jq)K\`gn~181.E324>ei|&F_e`k}<7<-@7`5:+W692iex"B[ilgq838)ZLM:i6mat.NWmhcu4?4Tx~gbcsgbp`bXpfxTh6mat.NWmhcu4>4:<6mat.NWmhcu4>4%OHh4cov,HQojm{6<2#J>0:amp*JSadoy0:0!D033?fhs'E^bah|37?,G6c=df}%GXdcjr=5=*T763jd#AZfmdp?3;(UMN;n7n`{/MVji`t;?7UdclrdcwaaYg{Uo7n`{/MVji`t;07;;7n`{/MVji`t;07$HIk5lnu-OPlkbz525"I??;blw+IRnelx743 K1028gkr(D]cfi27>/F1b>ei|&F_e`k}<9<-U47;:+TBO8o0ocz LUknaw:?6V~xe`m}e`vf`Z~hzVn0ocz LUknaw:>68:0ocz LUknaw:>6'INj6mat.NWmhcu404%H<>4cov,HQojm{622#J>119`jq)K\`gn~171.E0e?fhs'E^bah|39?,R54=df}%GXdcjr=;=*WC@9l1hby!CThofv9?9W}yban|jaugg[}iuWj1hby!CThofvQEa3jd#AZfmdpWG+EBm2iex"B[ilgqPF(Cn2iex"B[ilgqPF(C9o1hby!CThofvQE)L;o0ocz LUknawRD&X;;7n`{/MVji`tSK'XNKn5lnu-Wmhcu494m7n`{/Uknaw:76'INi6mat.Vji`t;87$Oj6mat.Vji`t;87$O=k5lnu-Wmhcu494%H???;blw+Qojm{6;2#KWP338gkr(\`gn~1>1.DZS[qune{oem`kk_ogw[`=df}%_e`k}<1<-U462:+Ba3jd#Ygbes>2:+B6n2iex"Zfmdp?5;(C:8>0ocz Thofv979&M8T\YQ?159`jq)Sadoy0<0!D3]SPZ7682iex"Zfmdp?5;(BPY;>7n`{/Uknaw:66'OS\R^[_136?fhs']cfi2>>/G[TZVSW8;>7n`{/Uknaw:66'OS\R^[_302?fhs']cfi2>>/G[TZrtadxnblcjd^lfpZc2:+TBOj1hby![ilgq878a3jd#Ygbes>1:+EBm2iex"Zfmdp?6;(Cn2iex"Zfmdp?6;(C9o1hby![ilgq878)L;;?7n`{/Uknaw:56'N9S]ZP0068gkr(\`gn~1<1.E0\TQY69=1hby![ilgq878)L;U[XR<>4:amp*Rnelx7>3 K2^RW[6773jd#Ygbes>1:+C_X;;0ocz Thofv949&LR[Sy}fmsgmehccWgoSh5lnu-Wmhcu4;4%]<>4cov,Plkbz585"_KHb:amp*Rnelx_Oh5lnu-Wmhcu\J$HIi5lnu-Wmhcu\J$Oi6mat.Vji`tSK'N:i6mat.Vji`tSK'N9h6mat.Vji`tSK'[m7n`{/UknawRD&[OLm6mat.lJTI4uk2iex"`FPM0q*Abei|&dB\A<}.Pg8gkr(f@ZG> ]EF`8gv)iGoy`lwk;bq,jJpbzekr"Ik4cr-mKscudhs%H5isc-Qadb~8{$O>R^[_130?cue'[ojht>}.E0\TQY6n2lxn"\jae{3v+C_X8>0j~l Rdcg}5t)MQZT\YQ?159ewg)Umhnr< JXQ]SPZ7582lxn"\jae{3v+C_XV~xe`|jn`of`Zhb|Vn0j~l Rdcg}5t)Yo1mo!]e`fz4w(UMNh0j~l Rdcg}4tc3oyi#_kndx3q*Ac5isc-Qadb~9{$O>R^[_030?cue'[ojht?}.E0\TQY59:1mo!]e`fz5w(C:VZ_S>?<;gqa+Wcflp;y"Im7k}m/WRB*A4XX]U>j6h|b.TSE+B5WY^T:45isc-UTD(Vj2lxn"X_A/PFCg=ngkg$NH\]rgf8mjdj'KOY^h!Dd9jkgk(JLXY~k K1d9jkgk(JLXY~k K2e9jkgk(JLXY~k ^f:klfh)EM[Xyj#\JGc9jkgk(JLXY~j4in`n+GCUZ{x%Hh5foco,F@TUz{$O=h5foco,F@TUz{$O>i5foco,F@TUz{$Zj6g`bl-AAWTuz'XNKn5foco,@QGDC{ln7damm.FWEFMun'Nm7damm.FWEFMun'N:j6g`bl-GPDELzo$O>h5foco,@QGDC{l%]<>4in`n+ARFKBxm"_KHc:klfh)C\HI@~k4in`n+ARFKBxy"Ih4in`n+ARFKBxy"I?i;hmai*BSIJAy~#J=e:klfh)C\HI@~ ^119jkgk(L]KHG|!RDEa?liee&NdyyAJd:klfh)Cg|~DI#Jj;hmai*Bh}}EN"I?j;hmai*Bh}}EN"I<>3:klfh)Cg|~DI#J=_QV\445ohjd%N_1>1.E0\TQY5k2cdn`!JS=2=*Tcohjd%N_1?1c:klfh)B[5;5"Ij4in`n+@U;97$O=i5foco,AV:66'N9=?5foco,AV:66'N9S]ZP0008mjdj'LY7=3 K2^RW[4753`eia"K\<0<-@7YW\V8h7damm.GP848)Yl1bcoc ER>2:+TBOh1bcoc ER>1:f=ngkg$I^2=>/Fg?liee&OX0?0!D0f8mjdj'LY7>3 K2008mjdj'LY7>3 K2^RW[5753`eia"K\<3<-@7YW\V;:>6g`bl-FW949&M8T\YQ=139jkgk(MZ692#J=_QV\744ohjd%N_1=1.Ef8mjdj'LY7?3 K1e9jkgk(MZ682#J=139jkgk(MZ682#J=_QV\444/F2`>ohjd%N_1:1.E026>ohjd%N_1:1.E0\TQY79;1bcoc ER>7:+B5WY^T=<<4in`n+@U;<7$O>R^[_331?liee&OX090!D3]SPZ5d3`eia"K\<5<-U`=ngkg$I^2;>/PFC4d1bcoc Es;8mjdj'Lx%Hl5foco,Aw(C9h1bcoc Es,G6<=ngkg$I ^b:klfh)Bz'XNK55foco,Aw4f3`eia"K}2/Fa?liee&Oy>#J>b:klfh)Bz;$O>l5foco,Aw4)Yj1bcoc Es0-V@Ae3`eia"G@RDSq`>ohjd%BC_K^r/Ff?liee&CD^H_}.E3f?liee&CD^H_}.E0g?liee&CD^H_}.Pd8mjdj'@EYI\|!RDE25>ohjd%BC^QISL]EBa76;2cdn`!FOR]EWHYANm;%H<:4in`n+LITWOYFSKHk1/F251=ngkg$EB]PFRO\BCb6&M8:56g`bl-JKVYA[DUMJi?!D3]SPZ6612cdn`!FOR]EWHYANm;%H?Q_T^327>ohjd%BC^QISL]EBa7)Y8?0eblb/HMP[CUJWOLo=#\JG038mjdj'@EXSK]B_GDg645#J=_QV\44?<74in`n+LITWOYFSKHk2/F1[URX;830eblb/HMP[CUJWOLo>#J=_QV\045ohjd%BC^QISL]EBa4X|zcfokntdf\|jtX981bcoc INQ\BVKXNOn8=>5foco,MJUXNZGTJKj<.E37?liee&CD_RH\M^DE`6(C98>0eblb/HMP[CUJWOLo?#J=189jkgk(AFYTJ^CPFGf0*A4XX]U;=45foco,MJUXNZGTJKj<.E0\TQY6901bcoc INQ\BVKXNOn8"I7damm.KLWZ@TEVLMh> ]EFc8mjdj'[OX~km4in`n+WCTzo$Oh6g`bl-QAVta&M;o7damm.PFWw`)L;i0eblb/SGPvc(Vm2cdn`!]ERpe*WC@i2cdn`!]ERpqg>ohjd%YI^|}.Ef8mjdj'[OX~ K1e9jkgk(ZLYy~#J=c:klfh)UMZxy"\k4in`n+WCTz{$YIJo4in`n+SVFzoi0eblb/WRBvc(Cl2cdn`!YP@pe*A7c3`eia"X_Asd-@7eohjd%]\L|i.SGDe>ohjd%]\L|}c:klfh)QXHxy"Ij4in`n+SVFz{$O=i5foco,RUGuz'N9o6g`bl-UTDtu&Xo0eblb/WRBvw(UMNh0ebl|/Bnfew7c3`ei"Mce`p2*Acohjz%H`ho}1/G[TZrtadxnblcjd^lfpZbohjz%BCA?!Qe9jkgu(AFF:"_KHa:klfv)NG[]:o6g`br-JKWQ6&Mn0ebl|/HMQS4(C9m1bco} INPT5+B59;1bco} INPT5+B5WY^T<<<4in`p+LIU_8$O>R^[_031?lie{&CD^Z?!D3]SPZ46:2cdn~!FOSU2*A4XX]U8=?5focq,MJTP9'N9S]ZP4008mjdt'@EY[< K2^RW[0753`ei"G@RV3-@7YW\V7dams.KLV`gcq'OS\R^[_136?lie{&CD^hoky/G[TZVSW8;>7dams.KLV`gcq'OS\R^[_336?lie{&CD^hoky/G[TZVSW:;>7dams.KLV`gcq'OS\R^[_502?lie{&CD^hoky/G[TZrtadxnblcjd^lfpZcohjz%BCX?!CD`8mjdt'@E^=#Jl;hmaw*OH]8$O=n5focq,MJS6&M8i7dams.KLQ4(Vl2cdn~!FOT3-V@Af3`ei"B`ae3`?lie{&Fdmi?!De9jkgu(Dfko=#J>d:klfv)Kghn:"I<>2:klfv)Kghn:"Iohjz%Gclj>.E0\TQY39;1bco} Lncg5+B5WY^T9<<4in`p+Iifl8$O>R^[_7a8mjdt'Eejh< ^e:klfv)Kghn:"_KH9:klfv)Hfjoi7dams.Mmg`(Ck2cdn~!@nbg-@4e=<5focq,Kkeb&M8T\YQ9b:klfv)Hfjo%]i5focq,Kkeb&[OL=l5focq,KkebW}yban|jaugg[}iuW8:0ebl|/QQ\BVKXNOn:=?5focq,TVYA[DUMJi?!D018mjdt'YYTJ^CPFGf2*A76;2cdn~!_S^DPIZ@Al8$O><64in`p+UUXNZGTJKj>.E0\TQY7911bco} PR]EWHYANm;%H?Q_T^320>ohjz%[_RH\M^DE`4(BPY;27dams.RP[CUJWOLo=#KWP^RW[57>3`ei"^\_GQN[C@c9'OS\R^[_006?lie{&ZXSK]B_GDg5+C_XV~xe`|jn`of`Zhb|V;97dams.RP[CUJWOLo=#_>4:klfv)W[VLXARHId0,QAB773`ei"^\_GQN[C@c:880ebl|/QQ\BVKXNOn9"I?<;hmaw*VTWOYFSKHk2/F256=ngky$\^QISL]EBa4)L;;37dams.RP[CUJWOLo>#J=_QV\44>199jkgu(XZUM_@QIFe0-@7YW\V8:46g`br-SWZ@TEVLMh? K2^RW[67?3`ei"^\_GQN[C@c:'N9S]ZP40:8mjdt'YYTJ^CPFGf1*A4XX]U>=55focq,TVYA[DUMJiohjz%[_RH\M^DE`7Ys{`gh~ho{ee]{kwY>3gKOcxzCE1f8jDBh}}FN<#^NDd9mEAir|EO;SBLZF028jDBh}}FN.QCGa>hFLf@H?POCWE55=iIMe~xAK>_N@VB+B692dJHb{{LD3\KGSA&M;:=6`NDnwwH@7XGK_M"I<>6:lB@jssDL;TCO[I.E0\TQY79?1eMIaztMG2[JDRN'N9S]ZP1028jDBh}}FN=RAMUG,R57=iIMe~xAK>_N@VB+TBO>1eM^QISC`8jDUXNZH%\LJl;oCP[CUEW@H^J:5aAR]JKGd;o@FVWYA[KUDNXH!D032?kDBZ[UM_OQ@BTD-@7713gHN^_QISC]LFP@)L;U[XR>>6:lAAWTXNZHTCO[I.E0\TQY69?1eNH\]_GQA[JDRN'N9S]ZP2048jGCUZVLXNRAMUG,G6ZVSW:;97cLJRS]EWGYHJ\L%IU^=3:lAAWTXNZHTCO[I.DZS[qune{oem`kk_ogw[46hEM[XTEBLk;o@FVWYNGK$[MIk4nCGQVZOHJVCIYK94nCP\MJDe3gHYSDAM.QCGg>hEZVCDNRAMUGg8jGTXAFHTCO[I$Pg8jGTXAFHTCO[I.Ed8jGTXAFHTCO[I.E3e?kDUW@EISBLZF/F151=iJ[UBCOQ@BTD-@7YW\V::86`MR^KLFZIE]O$O>R^[_033?kDUW@EISBLZF/G[T43<;4nCP\MJDXGK_M"HV__QV\777hDIZUDNXH!D0a8jFGTWFH^J#J=d:l@EVYHJ\L%IU^>e:l@EVYHJ\L%IU^Ptrknv`hfelnTbhzPb:l@EVYHJ\L%]i5aC@Q\KGSA&[OLm6`KT@AH[CUEm2dOXLMD_GQA*UGCn2dOXLMD_GQA[JDRN8;0bIZNCJ]EWGYHJ\L%H<<4nEVBGNYA[KUDNXH!D031?kBSIJATJ^LPOCWE*A46?2dOXLMD_GQA[JDRN'N9S]ZP0058jARFKBUM_OQ@BTD-@7YW\V;:;6`KT@AH[CUEWFH^J#J=_QV\6417:lGPDELWOYISBLZF/F1[URX<890bIZNCJ]EWGYHJ\L%IU^=4:lGPDELWOYISBLZF/G[TZrtadxnblcjd^lfpZ763gN_MNEPFR@\KGSA&X;87cJ[ABI\BVDXGK_M"_KH129m@QGDCVLXNRAMUG,VMHghCagFNSBLZF/Ff?kBnfEOTCO[I.E3f?kBnfEOTCO[I.E0e?kBnfEOTCO[I.DZS51=iL`dGIRAMUG,F\UYW\V::86`KioNF[JDRN'OS\R^[_037?kBnfEOTCO[I.DZS[URX:8>0bIgaLD]LFP@)MQZT\YQ<219m@lhKMVEIYK JXQ]wwlkumgkfiiQaeu]g?kBnfEOTCO[I.Pd8jAoiDLUDNXH!RDE5?kBnfFOj7cJfnNG-TDBe3gNbbBKPOCWE`>hCagENSBLZF/Ff?kBnfFOTCO[I.E3f?kBnfFOTCO[I.E027>hCagENSBLZF/F1[URX8890bIgaOD]LFP@)L;U[XR?>3:lGmkIBWFH^J#J=_QV\6a=iL`dDIRAMUG,R3>hCg|~DIo5aDnwwK@(WIMi0bIaztNG\KGSAm2dOcxz@E^MAQC(Cn2dOcxz@E^MAQC(C9o1eHb{{OD]LFP@)L;o0bIaztNG\KGSA&X?0bD^C289mMUJ5&YKOm6`FPM0\MGSA02dGH^QISCa8jIBTWOYI"]OKd:lO@VYA[KUBNXH7;oNGWZOHJj1e@I]PIN@-TDBe3gFO_RG@Bnwwa>hKLZUBCOazt%MF50=iDMYTEBL`uu,AQCIB&F__h6`CDR]JKGir|'Nn7cBKS^KLFjss&M;n7cBKS^KLFjss&M8:?6`CDR]JKGir|'N9S]ZP0018jIBTW@EIcxz!D3]SPZ76;2dGH^QFOCmvp+B5WY^T>i5aLEQ\MJDh}}$Zj6`CDR]JKGir|'XNK<>4nMFP[LIEg|~%X_][3:lLA2=iGL$[MI64nNG\KGSAi2dDIRAMUG,Gf>hHMVEIYK K1c9mK@YHJ\L%H?m4nNG\KGSA&LR[=<5aOD]LFP@)MQZT\YQ?109mK@YHJ\L%IU^PPU]254=iGLUDNXH!EYR\TQY5981eCHQ@BTD-A]VXX]U8=<5aOD]LFP@)MQZT\YQ;1e9mK@YHJ\L%IU^Ptrknv`hfelnTbhzPa:lLAZIE]O$Z;6`]ALNTAg=iZHGG[H _AEa8jWGJD^OTCO[Ie:lQEHJPMVEIYK Kf:lQEHJPMVEIYK K1g9mVDKK_LUDNXH!D3g8jWGJD^OTCO[I.P58jWGJ]^Oi7c\NMTUF*UGCk2dYM@[XE^MAQCc1e^H]`uu;8jWCTg|~%Hl5aRDQlqq(C9h1e^H]`uu,G6<=iZLYdyy ^b:lQAVir|'XNK;5aRNO@Wd=iZFGH_#^NDc9mVJKD[VEIYKj4nSMNGVYHJ\L%Hh5aRNO@WZIE]O$O=h5aRNO@WZIE]O$O><=4nSMNGVYHJ\L%H?Q_T^227>hUGDIXSBLZF/F1[URX9o1e^BCLS^MAQC(BPY;?7c\@MBQ\KGSA&LR[S]ZP0068jWIJKZUDNXH!EYR\TQY69=1e^BCLS^MAQC(BPYU[XR<>4:lQKHETWFH^J#KWP^RW[6473gXDAN]POCWE*@^WW}ybakaalgg[kcsWm1e^BCLS^MAQC(V>2dYC@YJa:lQKHQB&YKOn6`]OLUF[JDRNm1e^BCXE^MAQC(Cm2dYC@YJ_N@VB+B6m2dYC@YJ_N@VB+B5n2dYC@YJ_N@VB+C_X8>0b_ABWD]LFP@)MQZT\YQ?159mVJKPMVEIYK JXQ]SPZ76<2dYC@YJ_N@VB+C_XVZ_S?hRLZUBCOazt/Ff?kSC[VCDNb{{.E3f?kSC[VCDNb{{.E027>hRLZUBCOazt/F1[URX8890bXJ\_HMAkpr)L;U[XR?>3:lV@VYNGKe~x#J=_QV\6a=i]MYTEBL`uu,Rb>hRLZUBCOazt/PFC46hQEHUBCOazt/Ff?kPJIVCDNb{{.E3f?kPJIVCDNb{{.E027>hQEHUBCOazt/F1[URX8890b[CN_HMAkpr)L;U[XR?k;oTNEZOHJf"\h4nWOB[LIEg|~%^HI>0:lUIDYNGKe~x#Z]SU3`?kPJIVCDNb{{_uqjiftbi}ooSua}_99mRUGXNZHh7cX_A^DPF+VFLm1eZ]OPFR@\KGSAn2d]\LQISC]LFP@)L8:0b[^N_GQA[JDRN'N:==5aVQC\BVDXGK_M"Itfe&HJOY|!RDE:?wgj'[KFICl4r`o,VDKBF'Nh7ob/SCNAK(C9j1ym`!]ALGM*A4692xja"\NMDL-@7YW\V::=6|nm.PBI@H)L;U[XR?>1:pbi*TFELD%H?Q_T^025>tfe&XJAH@!D3]SPZ5692xja"\NMDL-@7YW\V>o7ob/SCNAK(BPY;n7ob/SCNAK(BPYUdc}eocnaaYim}Ui7ob/SCNAK(Vl2xja"\NMDL-V@Ad3{kf#_OBRdcg}`=uid%YM@\jae{-@c=uid%YM@\jae{-@4`tfe&XJA_kndx,G6ZVSW=;?7ob/SCNV`gcq'N9S]ZP5068vdk(ZHGYiljv.E0\TQY1m2xja"\NMSgb`|(V991ym`!]ALPfea)ZLM27ob/SCPAId1:pbi*TF[LF%H?Q_T^225>tfe&XJ_HB!D3]SPZ7692xja"\NSDN-@7YW\V8:=6|nm.PBW@J)L;U[XR=>1:pbi*TF[LF%H?Q_T^625>tfe&XJ_HB!D3]SPZ3692xja"\NSDN-@7YW\V.SGDb>tfe&XXSK]B_GDg647tfe&XXSK]B_GDg6+B5WY^T<<94r`o,VVYA[DUMJi#KWP0:8vdk(ZZUM_@QIFe0-A]VXX]U;=55}al-QWZ@TEVLMh? JXQ]SPZ75<2xja"\\_GQN[C@c:'OS\Rz|ilpfjdkblVdnxR?>;scn+WUXNZGTJKj=.P30?wgj'[YTJ^CPFGf1*WC@n2xja"\\_GQN[C@c;8;0~lc RR]EWHYANm9%H<<4r`o,VVYA[DUMJi=!D031?wgj'[YTJ^CPFGf0*A46?2xja"\\_GQN[C@c;'N9S]ZP0058vdk(ZZUM_@QIFe1-@7YW\V;:;6|nm.PP[CUJWOLo?#J=_QV\6417:pbi*TTWOYFSKHk3/F1[URX<8=0~lc RR]EWHYANm9%H?Q_T^723>tfe&XXSK]B_GDg7+B5WY^T:5}al-QacBhfl$O>R^[_030?wgj'[omHb`j.E0\TQY5l2xja"\jfEmma+Wa3{kf#_kiDnlf*WC@i2xja"\jfSgrg>tfe&Xnj_k~.Ef8vdk(ZllYi| K1e9qeh)UmoXn}#J=c:pbi*Tbn[oz"\k4r`o,V``Umx$YIJk4r`o,V``UmxYrbn??;scn+WcaZl{Xucm!D038vdk(ZllYi|]vnb,G547;scn+Wc`]{mXucm!D333?wgj'[olYi\yoa-U444}Tll098i4?:017f5d128>?9?ua24595>h5=10=7)<:0;07a>{Tlm098i4?:017f5d128>?9?5k25c94?7=9rYoi7<;d;29562e8k<1=9::2:tW2d<7280:697l{Rff>72c290:?9l?b78201353_8>?74=r9hk1<6s+25595d><,8h26?:l;c07=?6=<>0?o=4;9byK610<,;>>6?:6;[a7>7}583;?6pg68;29 4dd21=0b5$0``>=1bc8:?>o093:1(f=bb8;3>h6jk0o76g78;29 4dd21=0b5$0``>=1bc80?>o0l3:1(3==1<7*>bb8;3>h6jk0<76gl5;29?l7413:17d:71;29?l3?83:17d:if;29?l32>3:17dj8:188m1ge2900eh=50;9j0ce=831b8io50;9j12b=831b;k4?:%3ag?>03g;in7?9;:k;e?6=,8hh6594n0`a>43<3`2h6=4+1ca9<2=i9kh1=954i9g94?"6jj03;6`>bc827>=n190;6)?mc;:4?k7ej3;976g62;29 4dd21=0b32900c8>=:188k03>2900c97m:188k1ef2900c9oj:188k4da290/=om51b18j4de2k10c10c51;294~"5=;0:n55G2548m4d?290/>8<51c:8 4g02=;0(7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22h1/95:5a:~yx=zj0=1<7?50;2x 73528h37E<;6:k2f=<72-8>>7?m8:&2e2<4k2.:nl4t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<03:1=7>50z&117<6j11C>984i0`;>5<#:<81=o64$0c4>43<,8hj6<;4;|`:b?6=:3:1N5h50;&117<6;o10c74d03_;847d=#=1>1m6sr}|9~f22=83;1<7>t$371>4d?3A8?:6g>b983>!42:3;i46*>a6827>"6jh0:?65rb8g94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:848b?!3?<3k0qpsr;|`46?6=93:12c:n54?:%066?7e02.:m:4>1:&2fd<6921vn4m50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484n;%7;0?gb99K610<,8k<68?4$0`b>07<3th2n7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66l5+5969e>{zut1vn;m50;394?6|,;?96o6j10;6)<:2;3a<>"6i>0?56*>b`87=>=zj0k1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0j7);74;c8yx{z3th357>51;294~"5=;0:n55G2548m4d?290/>8<51c:8 4g02;;0(7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22h1/95:5a:~yx=zj1<1<7?50;2x 73528h37E<;6:k2f=<72-8>>7?m8:&2e2<6l2.:nl4>d:9~fg>=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<050z&117<6j11C>984i0`;>5<#:<81=o64$0c4>4d<,8hj6N5h50;&117<6;o10c74d03_;847d=#=1>1m6sr}|9~f=4=83;1<7>t$371>4d?3A8?:6g>b983>!42:3;i46*>a682e>"6jh0:m65rbc394?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:848b?!3?<3k0qpsr;|`4a?6=93:12c:n54?:%066?7e02.:m:4>8:&2fd<6021vnl<50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484n;%7;0?gb99K610<,8k<6<94$0`b>41<3thj=7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66l5+5969e>{zut1vn:650;394?6|,;?96o6j10;6)<:2;3a<>"6i>0::6*>b`822>=zjh:1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0j7);74;c8yx{z3thh:7>51;294~"5=;0:n55G2548m4d?290/>8<51c:8 4g028o0(7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22?1/95:56:~yx=zjj21<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:J114=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;56:&6<1<13twvq6sm12c94?7=83:p(?;=:0`;?M43>2c:n54?:%066?7e02.:m:4=3:&2fd<5;21vn<=m:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;56:&6<1<13twvq6sm12a94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2B99<5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=>2.>4949;|~y>{e<181<7?50;2x 73528h37E<;6:k2f=<72-8>>7?m8:&2e2<502.:nl4=8:9~f1>429096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=i2.>494n;|~y>{e=1;1<7?50;2x 73528h37E<;6:k2f=<72-8>>7?m8:&2e2<5=2.:nl4=5:9~f0>529096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=i2.>494n;|~y>{e9k91<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0j7);74;c8yx{z3th><=4?:083>5}#:<81=o64H365?l7e03:1(?;=:0`;?!7f?38<7)?ma;04?>{e=9;1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0j7);74;c8yx{z3th>9:4?:083>5}#:<81=o64H365?l7e03:1(?;=:0`;?!7f?3827)?ma;0:?>{e=<21<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0j7);74;c8yx{z3tho47>51;294~"5=;0:n55G2548m4d?290/>8<51c:8 4g028l0(7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22h1/95:5a:~yx=zjmk1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:J114=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;56:&6<1<13twvq6sm4`a94?7=83:p(?;=:0`;?M43>2c:n54?:%066?7e02.:m:4;5:&2fd<3=21vn9ok:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;5a:&6<14<729q/>8<51c:8L7213`;i47>5$371>4d?3-;j;7<=;%3ae?4532wi=>950;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484n;%7;0?g4<729q/>8<51c:8L7213`;i47>5$371>4d?3-;j;7;;;%3ae?3332wi8kk50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484n;%7;0?g4<729q/>8<51c:8L7213`;i47>5$371>4d?3-;j;7:8;%3ae?2032wi8im50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484n;%7;0?g4<729q/>8<51c:8L7213`;i47>5$371>4d?3-;j;7:9;%3ae?2132wi9:h50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484n;%7;0?gb99K610<,8k<6884$0`b>00<3thi<7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66l5+5969e>{zut1vn5l50;394?6|,;?96o6j10;6)<:2;3a<>"6i>0>;6*>b`863>=zjkk1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0j7);74;c8yx{z3th3h7>51;294~"5=;0:n55G2548m4d?290/>8<51c:8 4g02<20(7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22h1/95:5a:~yx=zj1l1<7?50;2x 73528h37E<;6:k2f=<72-8>>7?m8:&2e2<212.:nl4:9:9~fge=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<093:1=7>50z&117<6j11C>984i0`;>5<#:<81=o64$0c4>4e<,8hj6N5h50;&117<6;o10c74d03_;847d=#=1>1m6sr}|9~f<5=83;1<7>t$371>4d?3A8?:6g>b983>!42:3;i46*>a6812>"6jh09:65rbcg94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:848b?!3?<3k0qpsr;|`:1?6=93:15<6290;w)<:2;3a<>N5!42:3nm7[<:4;3xH73128q]i?4={%3aa?ba3_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi85;50;394?6|,;?96i6j10;6)<:2;3a<>"6i>0?n6*>b`87f>=zj=2?6=4<:183!42:38?86F=479j56`=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=>h4V01;>7}#=1?146*:858;?x{zu2coj7>5$371>a`<^;??6b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd28:0;6<4?:1y'604=9k20D?:9;n3at$371>7233A8?:6g>3g83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6;o1]=>652z&6<08<5dg9U602=9rF99;4>{Wg1>7}#9ko1hk5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c76e?6=93:16<729q/>8<52568L7213`;8j7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;30b>P6;109w);75;:8 0>3211vqps4ied94?"5=;0oj6X=5582I42>3;pZh<52z&2f`8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn97l:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=18?5+1cc907=2n7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn9mm:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1>95+1cc961=hm7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn9oi:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=18>5+1cc906=ji7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?;5+1cc973=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?95+1cc971=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1??5+1cc977=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?=5+1cc975=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1>k5+1cc96c=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?<5+1cc974=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?>5+1cc976=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?85+1cc970=7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?:5+1cc972=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1?45+1cc97<=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn9h9:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=19=5+1cc915=m97>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn8?>:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1>i5+1cc96a=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn8:m:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1>l5+1cc96d=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn898:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1985+1cc910=52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn98i:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=18i5+1cc90a==i7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn9m>:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=1>n5+1cc96f=h<7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn9jj:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=18h5+1cc90`=oh7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn9kl:182>5<7s-8>>7?m8:J103=h9k21<7*=5382f==#9h=19?5+1cc917=nn7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn6655+5969<>{zut1vn8=;:182>5<7s-8>>7?m8:J103=,9k21<7*=5382f==#9h=19>5+1cc916=53;294~"5=;09895G2548m45a290/>8<512d8R73328qG>8851zTf6?4|,8hn6<=i;W30655+5969<>{zut1bhk4?:%066?ba3_8>87?tL375>4}Qm;09w)?me;fe?S74038p(86::09'1=2=92wvqp5`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e9j?1<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<4l2.:nl43g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{e9j81<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<402.:nl4<8:9~f4e629096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{e<>21<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<3k2.:nl4;c:9~f11029096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{e<081<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<3n2.:nl4;f:9~f1?629096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{e=8l1<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<4n2.:nl43g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{e=;<1<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<382.:nl4;0:9~f04229096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{e=:i1<7?50;2x 73528h37E<;6:m2f=<72-8>>7?m8:&2e2<5j2.:nl4=b:9~f05e29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=02.>4947;|~y>{ekj0;6<4?:1y'604=9k20D?:9;n3a<,<2?655r}|8ygef290:6=4?{%066?7e02B98;5`1c:94?"5=;0:n55+1`597g=#9kk1?o54}ca:>5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+5979<>"20=037psr}:a17b=83;1<7>t$371>4d?3A8?:6a>b983>!42:3;i46*>a680a>"6jh08i65rb40`>5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+5979<>"20=037psr}:a13d=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2>00;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn88l:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm56794?5=83:p(?;=:36e?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3k0(86;:`9~yx{5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;>7=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f45b290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c076<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm57g94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn89?:184>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9<=0Z<=7:3y'1=3=k2.>494l;|~y>o6=h0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979g>"20=0h7psr}:k21g<72-8>>7?1=vB=5782Sc52;q/=ok514`8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2?80;63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494l;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:9l5Y12:96~"20<0h7);74;a8yx{z3`;>n7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0f=zutw0e<;k:18'604=9:l0Z?;;:0yO600=9r\n>743c3_;847f=#=1>1o6sr}|9j50c=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8k4V01;>7}#=1?1o6*:858`?x{zu2c:9k4?:%066?74n2\9994>{M062?7|^l81>v*>bd821c=Q9:21>v*:8482?!3?<3;0qpsr;h376?6=,;?96<=i;W060?7|D;?=66X>3981!3?=3;0(86;:09~yx{86=4+240956`<^;??60Z<=7:3y'1=3=92.>494>;|~y>o6<<0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>449U56>=:r.>484>;%7;0?72\:?54={%7;1?7<,<2?6<5r}|8m420290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:8;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a13`=8391<7>t$371>4d13A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f01329086=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?186*:8587?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=<2.>494;;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c6;3?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f1>?29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e<1l1<7850;2x 735289o7E<;6:&2255$371>45a3_8>87?tL375>4}Q9h<1>v*>bd827c=Q9:21>v*:8482?!3?<3;0qpsr;hfa>5<#:<81ho5Y24695~J5=?0:w[?n6;0x 4db2mh0Z<=7:3y'1=3=92.>494>;|~y>ock3:1(?;=:ea8R7332;qG>8851zT2e3<5s-;ii7jl;W306>5+59697>{zuE8>97:tV360>6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<0=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<1=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3ni7)=<0;7;1>{#=o21>6sY1cf96~Pb838p(86<:ea8 6572<2>7p*:f98f?x{z3`8?>7>5$371>7253_8>874}Q9h<1>v*>bd8107=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;h01a?6=,;?96?h5Y12:96~"20<0o7);74;f8yx{K:7p*:f98g?x{z3f;i;7>5$371>4d032wi85750;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn96n:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=3o7>53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;a8 0>32j1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=2i6=4<:183!42:3;i:6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{2<7>53;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:>;W30695+59690>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a152=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd28<0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8>j:185>5<7s-8>>7?3g83>!42:3;8j6X=5582I42>3;pZ494>;|~y>ocj3:1(?;=:e`8R73328qG>8851zT2e3<5s-;ii7jm;W306<5+59695>{zut1bhn4?:%066?bd3_8>874}Q9h<1>v*>bd8gg>P6;109w);75;18 0>32:1vqpB=5487S43;39pZh>52z&6<64>4>3g9'766==1?0q);i8;;8yS43;39pZh>52z&6<64>4>3g9'766==1>0q);i8;;8yS43;39pZh>52z&6<64>4kb:&075<20<1v(8h7:39~R4dc2;q]i=4={%7;7?bd3-98<7;75:'1c>=m2wvq6g=4383>!42:38?>6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?:=;%104?3?=2w/9k65d:~y>o5:l0;6)<:2;01a>P5==09wA<:6;3xR4g12;q/=ok523g8R45?2;q/95;5d:&6<174b3-98<7;75:'1c>=l2wvq6a>b683>!42:3;i;65rb424>5<4290;w)<:2;07b>N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2810;6<4?:1y'604=:<30D?:9;n3a3?6=,;?961<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd28j0;684?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{54;294~"5=;0:n;5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c73b?6=;3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22=1/95:54:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;8471=#=1>186sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi98l50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c76`?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f002290=6=4?{%066?74l2B98;5+1729b>o6;o0;6)<:2;30b>P5==0:wA<:6;3xR4g12;q/=ok512d8R45?2;q/95;51:&6<1<63twvq6gkb;29 7352mh0Z?;;:0yO600=9r\:m;4={%3aa?be3_;8474=#=1>1=6sr}|9j`f<72-8>>7jl;W060?4|D;?=63981!3?=390(86;:29~yxJ5=<0?w[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55978y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55968y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6o5<;0;6)<:2;076>P5==09wA<:6;3xR4g12;q/=ok52508R45?2;q/95;5d:&6<17253-98<7;75:'1c>=l2wvq6g=2d83>!42:389i6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?i6j>0;6)<:2;3a3>=zj3g9K607<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi9;?50;694?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`627<72=0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9K607<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?70<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c754?6=<3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:>;W30695+59690>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a010=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd3=:0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e<<81<7850;2x 735289o7E<;6:&2255$371>45a3_8>87?tL375>4}Q9h<1>v*>bd827c=Q9:21>v*:8482?!3?<3;0qpsr;hfa>5<#:<81ho5Y24695~J5=?0:w[?n6;0x 4db2mh0Z<=7:3y'1=3=92.>494>;|~y>ock3:1(?;=:ea8R7332;qG>8851zT2e3<5s-;ii7jl;W306>5+59697>{zuE8>97:tV360>6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<0=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<1=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3ni7)=<0;7;1>{#=o21>6sY1cf96~Pb838p(86<:ea8 6572<2>7p*:f98f?x{z3`8?>7>5$371>7253_8>874}Q9h<1>v*>bd8107=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;h01a?6=,;?96?h5Y12:96~"20<0o7);74;f8yx{K:7p*:f98g?x{z3f;i;7>5$371>4d032wi89950;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn9:7:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=?m7>53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{?n7>53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{?o7>54;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484l;%7;0?e50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=>2.>4949;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59792>"20=0=7psr}:k20f<72-8>>7?1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<15683>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1o6*:858`?x{zu2c:9l4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73<729q/>8<5689K610;6=4+240956`<^;??62wvqp5f15394?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5c:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`70c<72>0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8473=#=1>1:6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1:6*:8585?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=>2.>4949;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;5c:&6<15c83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`715<72>0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8473=#=1>1:6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1:6*:8585?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=>2.>4949;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;5c:&6<15c83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`70<<7210;6=u+24095g0<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59795>"20=0:7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0:7);74;38yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;38 0>3281vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>2281/95:51:~yx=n91=vB=5782Sc52;q/=ok514a8R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5;?0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979e>"20=0j7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th98=4?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j546;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm22;94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn?=m:186>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9?n4?:483>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0h7);74;a8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<04=zutw0qo<1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0f=zutw0e<;8:18'604=9:l0D?;>;W060?7|D;?=6;6X>3981!3?=3i0(86;:b9~yx{5<2290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a66g=83?1<7>t$371>4d13A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;8474=#=1>1=6sr}|9j501=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`172<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494>;|~y>{e:>i1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th94<4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo<79;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494n;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73<729q/>8<512f8L7213-;=<7h4i01e>5<#:<81=>h4V377>4}K:<<1=vX>a781!7em3;8j6X>3981!3?=3;0(86;:09~yx{P5==0:wA<:6;3xR4g12;q/=ok5dc9U56>=:r.>484>;%7;0?78:52zN113<6s_;j:7ae<^8936?u+59797>"20=087psrL376>1}Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?396s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?386s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:e`8 6572<2>7p*:f98:?xP6jm09w[k?:3y'1=5=lj1/?>>55978y!3a03o0qps4i361>5<#:<81>9<4V377>7}K:<<1=vX>a781!7em38?>6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=439'766==1?0q);i8;f8yx{P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5:l1/?>>55978y!3a03n0qps4o0`4>5<#:<81=o94;|`13`<72:0;6=u+240961`<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a62`=83;1<7>t$371>73>3A8?:6a>b683>!42:3;i;65rb3:3>5<6290;w)<:2;3a1>N510qo<72;292?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1h6*:858g?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=l2.>494k;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979`>"20=0o7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c0;7?6=>3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59793>"20=0<7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;5d:&6<14b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1h6*:858g?x{zu2c:9:4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9<=0Z<=7:3y'1=3=l2.>494k;|~y>o6=h0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484k;%7;0?b50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306i5+5969`>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22m1/95:5d:~yx=n9=i1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>742d3_;847a=#=1>1h6sr}|9j501=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1h6*:858g?x{zu2c:9l4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?b<,<2?6i5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;f8 0>32m1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397j4$4:7>a=zutw0e<;8:18'604=9:l0D?;>;W060?7|D;?=6;6X>3981!3?=3n0(86;:e9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn?69:186>5<7s-8>>7?m6:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397?4$4:7>4=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>:j50;694?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{h6=4+240956`<^;??6494>;|~y>{e:o;1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9j;4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo;6=4+240956`<^;??6494n;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73<729q/>8<512f8L7213-;=<7h4i01e>5<#:<81=>h4V377>4}K:<<1=vX>a781!7em3;8j6X>3981!3?=3;0(86;:09~yx{P5==0:wA<:6;3xR4g12;q/=ok5dc9U56>=:r.>484>;%7;0?78:52zN113<6s_;j:7ae<^8936?u+59797>"20=087psrL376>1}Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?396s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?386s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:e`8 6572<2>7p*:f98:?xP6jm09w[k?:3y'1=5=lj1/?>>55978y!3a03o0qps4i361>5<#:<81>9<4V377>7}K:<<1=vX>a781!7em38?>6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=439'766==1?0q);i8;f8yx{P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5:l1/?>>55978y!3a03n0qps4o0`4>5<#:<81=o94;|`1b6<72:0;6=u+240961`<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;l?6=4>:183!42:38>56F=479l5g1=83.99?4>b698yg4a=3:197>50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<15683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<04=zutw0qo1=vB=5782Sc52;q/=ok51528R45?2;q/95;5d:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0a=zutw0c74d03_;8474=#=1>1=6sr}|9~f7`529086=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>>=:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm31:94?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:9=>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{51;294~"5=;09945G2548k4d0290/>8<51c58?xd4890;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4880;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5no0;6>4?:1y'604=9k<0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e;991<7950;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?b<,<2?6i5r}|8m42d290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;f8 0>32m1vqps4i074>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397j4$4:7>a=zutw0e<;n:18'604=9:l0D?;>;W060?7|D;?=6m6X>3981!3?=3n0(86;:e9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>>;:184>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8<5Y12:96~"20<0o7);74;f8yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0{M062?7|^l81>v*>bd8212=Q9:21>v*:848g?!3?<3n0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3n0(86;:e9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>>::184>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8<5Y12:96~"20<0o7);74;f8yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0{M062?7|^l81>v*>bd8212=Q9:21>v*:848g?!3?<3n0qpsr;h36e?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9o5Y12:96~"20<0o7);74;f8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c132?6=<3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c126?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f672290=6=4?{%066?74l2B98;5+1729b>o6;o0;6)<:2;30b>P5==0:wA<:6;3xR4g12;q/=ok512d8R45?2;q/95;51:&6<1<63twvq6gkb;29 7352mh0Z?;;:0yO600=9r\:m;4={%3aa?be3_;8474=#=1>1=6sr}|9j`f<72-8>>7jl;W060?4|D;?=63981!3?=390(86;:29~yxJ5=<0?w[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55978y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55968y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6o5<;0;6)<:2;076>P5==09wA<:6;3xR4g12;q/=ok52508R45?2;q/95;5d:&6<17253-98<7;75:'1c>=l2wvq6g=2d83>!42:389i6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?i6j>0;6)<:2;3a3>=zj::i6=4<:183!42:38?j6F=479j516=83.99?4>3g9K607<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi?=k50;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?=h50;194?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm30394?2=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?=j50;794?6|,;?96o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306<5+59695>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8=>4?:283>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306:5+59693>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59793>"20=0<7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th85}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm29c94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`1=4<72:0;6=u+240961`<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306l5+5969e>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a6<6=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=12w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{e:1i1<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;2o6=4>:183!42:38>56F=479l5g1=83.99?4>b698yg4?m3:1=<4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0f=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;847f=#=1>1o6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2c:9n4?:%066?74n2\9994>{M062?7|^l81>v*>bd821f=Q9:21>v*:848`?!3?<3i0qpsr;h36`?6=,;?96<=i;W060?7|D;?=6h6X>3981!3?=3i0(86;:b9~yx{494l;|~y>o6<;0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>439U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<:;;W306<5+59695>{zut1b=9;50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9513<^8936?u+59795>"20=0:7psr}:k203<72-8>>7?:7?tVd096~"6jl0:8;5Y12:96~"20<0:7);74;38yx{z3`;?;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;373>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;2i6=4;:183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f7?529096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e:031<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;c8 0>32h1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;336=49:183!42:3;8h6F=479'536=n2c:?k4?:%066?74n2\9994>{M062?7|^8k=6?u+1cg956`<^8936?u+59795>"20=0:7psr}:kgf?6=,;?96il4V377>4}K:<<1=vX>a781!7em3ni7[?<8;0x 0>2281/95:51:~yx=nlj0;6)<:2;f`?S42<38p@?;9:0yU5d0=:r.:nh4kc:T27=<5s-?397=4$4:7>6=zutF9984;{W077?5|^l:1>v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=3v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=2v*:828gg>"4;90>485rVd396~"20:0on6*<3186<0=z,7}Qm909w);73;f`?!5483?396s+5g:9a>{zu2c98?4?:%066?43:2\9994={M062?7|^8k=6?u+1cg9614<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;>97)=<0;7;1>{#=o21h6sr}:k16`<72-8>>7<=e:T111<5sE8>:7?tV0c5>7}#9ko1>?k4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2e:n:4?:%066?7e?21vn?7;:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo<65;295?6=8r.99?4=589K610f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848`?!3?<3i0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3i0(86;:b9~yx{494l;|~y>o6=m0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5e9U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<;i;W306n5+5969g>{zut1b=9<50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9514<^8936?u+5979g>"20=0h7psr}:k206<72-8>>7?:7?tVd096~"6jl0:8>5Y12:96~"20<0:7);74;38yx{z3`;?87>5$371>45a3_8>87?tL375>4}Qm;09w)?me;370>P6;109w);75;38 0>3281vqps4i066>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>>7[?<8;0x 0>2281/95:51:~yx=n9=<1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51548R45?2;q/95;51:&6<1<63twvq6g>4683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<>1]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo<63;290?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{7>52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn?o6:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;;8yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`1e0<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm2`494?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2`194?2=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>lo50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22h1/95:5a:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2c294?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:9=>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f7gc290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c0ba?6=;3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c0bf?6=<3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<04=zutw0qo5<4290;w)<:2;07b>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0j7);74;c8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c0a3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg4e<3:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5j<0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?961<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9n>4?:583>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5jh0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979e>"20=0j7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9o=4?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j546;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2cf94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn?lj:186>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9no4?:583>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5k;0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979e>"20=0j7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9o54?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j546;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2b794?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn?m9:185>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c0`7?6=<3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<04=zutw0qo5<4290;w)<:2;07b>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0j7);74;c8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c0g4?6=>3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg4dk3:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5km0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?96t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0h7);74;a8yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;a8 0>32j1vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2b`94?2=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>i<50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22h1/95:5a:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2e:94?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:9=>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f7b2290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c0g2?6=03:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=n91=vB=5782Sc52;q/=ok514c8R45?2;q/95;5c:&6<15c83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f7b4290?6=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a6`7=8391<7>t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397o4$4:7>d=zutw0c74d03_;8474=#=1>1=6sr}|9~f7c7290=6=4?{%066?74l2B98;5+1729b>o6;o0;6)<:2;30b>P5==0:wA<:6;3xR4g12;q/=ok512d8R45?2;q/95;51:&6<1<63twvq6gkb;29 7352mh0Z?;;:0yO600=9r\:m;4={%3aa?be3_;8474=#=1>1=6sr}|9j`f<72-8>>7jl;W060?4|D;?=63981!3?=390(86;:29~yxJ5=<0?w[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55978y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55968y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6o5<;0;6)<:2;076>P5==09wA<:6;3xR4g12;q/=ok52508R45?2;q/95;5d:&6<17253-98<7;75:'1c>=l2wvq6g=2d83>!42:389i6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?i6j>0;6)<:2;3a3>=zj;nh6=4<:183!42:38?j6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{51;294~"5=;09945G2548k4d0290/>8<51c58?xd5ll0;644?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0f=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;847f=#=1>1o6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2c:9n4?:%066?74n2\9994>{M062?7|^l81>v*>bd821f=Q9:21>v*:848`?!3?<3i0qpsr;h36`?6=,;?96<=i;W060?7|D;?=6h6X>3981!3?=3i0(86;:b9~yx{5<3290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn?k=:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2d;94?5=83:p(?;=:36e?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3k0(86;:`9~yx{5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;><=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f7c329086=4?{%066?43n2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<5=01C>984o0`4>5<#:<81=o94;|`1a3<728;1<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0h7);74;a8yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;a8 0>32j1vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22j1/95:5c:~yx=n91=vB=5782Sc52;q/=ok514a8R45?2;q/95;5c:&6<15e83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=m1]=>652z&6<0f=zutw0e<;i:18'604=9:l0Z?;;:0yO600=9r\n>743a3_;8474=#=1>1=6sr}|9j514=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9<4V01;>7}#=1?1=6*:8582?x{zu2c:8>4?:%066?74n2\9994>{M062?7|^l81>v*>bd8206=Q9:21>v*:8482?!3?<3;0qpsr;h370?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{>6=4+240956`<^;??6494>;|~y>o6<>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>469U56>=:r.>484>;%7;0?750z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c117?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f64>29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e;;l1<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;c8 0>32h1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:8n6=4<:183!42:3;i:6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo==4;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi??950;594?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8485?!3?<3<0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3<0(86;:79~yx{h6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73k2\:?54={%7;1?0<,<2?6;5r}|8m430290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;48 0>32?1vqps4i07b>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?39784$4:7>3=zutw0e<;m:18'604=9:l0D?;>;W060?7|D;?=6n6X>3981!3?=3i0(86;:b9~yx{5<0290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=>2.>4949;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4849;%7;0?0:7?tVd096~"6jl0:8n5Y12:96~"20<0=7);74;48yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0<13-?38784}|~?l72i3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397m4$4:7>f=zutw0e<;m:18'604=9:l0D?;>;W060?7|D;?=6n6X>3981!3?=3i0(86;:b9~yx{5<4290;w)<:2;3a2>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c11`?6=;3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22?1/95:56:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;8473=#=1>1:6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi??o50;32>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306:5+59693>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+59793>"20=0<7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0<7);74;58yx{z3`;>n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;58 0>32>1vqps4i07`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?h7[?<8;0x 0>22>1/95:57:~yx=n9743c3_;8472=#=1>1;6sr}|9j50c=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8k4V01;>7}#=1?1h6*:858g?x{zu2c:9k4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494k;|~y>o6<;0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9514<^8936?u+5979`>"20=0o7psr}:k206<72-8>>7?1=vB=5782Sc52;q/=ok51518R45?2;q/95;5d:&6<14583>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<=1]=>652z&6<0<63-?387?4}|~?l73=3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;5:T27=<5s-?397?4$4:7>4=zutw0e<:9:18'604=9:l0Z?;;:0yO600=9r\n>74213_;8474=#=1>1=6sr}|9j511=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=994V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`2=5<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg7>k3:1:7>50z&117<6;m1C>984$043>c=n9:l1<7*=53827c=Q:<>1=vB=5782S7f>38p(!42:3ni7[<:4;3xH73128q]=l852z&2f`:7?tV0c5>7}#9ko1hn5Y12:96~"20<087);74;18yx{K::3y'1=5=9:l0(>=?:4:6?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=9:l0(>=?:4:7?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=lk1/?>>55978y!3a0380q[?md;0xR`6=:r.>4>4kc:&075<20<1v(8h7:d9~yx=n:=81<7*=538107=Q:<>1>vB=5782S7f>38p(h5Y24696~J5=?0:w[?n6;0x 4db2;8n7[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<=e:&075<20<1v(8h7:e9~yx=h9k=1<7*=5382f2=53;294~"5=;098k5G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm18094?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn<7;:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn<7::180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn<79:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c3:3?6=;3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd6110;694?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`2=<<72<0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?0<,<2?6;5r}|8m42d290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm18c94?3=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<03=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{494>;|~y>{e9091<7650;2x 73528h=7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>2281/95:51:~yx=n9<=1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51458R45?2;q/95;51:&6<1<63twvq6g>5`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0<63-?387?4}|~?l72j3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:b:T27=<5s-?397?4$4:7>4=zutw0e<;l:18'604=9:l0Z?;;:0yO600=9r\n>743d3_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>4o50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22h1/95:5a:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm2`294?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:9=>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f7?c290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c0:a?6=980;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3i0(86;:b9~yx{494l;|~y>o6=j0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<;j;W306n5+5969g>{zut1b=8h50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950`<^8936?u+5979g>"20=0h7psr}:k207<72-8>>7?:7?tVd096~"6jl0:8?5Y12:96~"20<0h7);74;a8yx{z3`;??7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;377>P6;109w);75;a8 0>32j1vqps4i067>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>?7[?<8;0x 0>2281/95:51:~yx=n9=?1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51578R45?2;q/95;51:&6<1<63twvq6g>4783>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?l73?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;7:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f7?e290?6=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a74e=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=12w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{e;821<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:;26=4>:183!42:38>56F=479l5g1=83.99?4>b698yg56i3:197>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:k20f<72-8>>7?1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<15683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<04=zutw0qo=>7;297?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`6`2<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg3cn3:1:7>50z&117<6;m1C>984$043>c=n9:l1<7*=53827c=Q:<>1=vB=5782S7f>38p(!42:3ni7[<:4;3xH73128q]=l852z&2f`:7?tV0c5>7}#9ko1hn5Y12:96~"20<087);74;18yx{K::3y'1=5=9:l0(>=?:4:6?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=9:l0(>=?:4:7?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=lk1/?>>55978y!3a0380q[?md;0xR`6=:r.>4>4kc:&075<20<1v(8h7:d9~yx=n:=81<7*=538107=Q:<>1>vB=5782S7f>38p(h5Y24696~J5=?0:w[?n6;0x 4db2;8n7[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<=e:&075<20<1v(8h7:e9~yx=h9k=1<7*=5382f2=53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th>h44?:083>5}#:<81>874H365?j7e?3:1(?;=:0`4?>{e=mh1<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494>;|~y>{e=mi1<7;50;2x 7352?30D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5ef94?3=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{494>;|~y>{e=mk1<7:50;2x 73528h=7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm16c94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`2<7<72?0;6=u+240956b<@;>=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<>3t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd6?j0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e9>n1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f41a29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0{M062?7|^l81>v*>bd8204=Q9:21>v*:8485?!3?<3<0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77d83>6<729q/>8<51c48L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm16`94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f4?c29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e9h?1<7850;2x 735289o7E<;6:&2255$371>45a3_8>87?tL375>4}Q9h<1>v*>bd827c=Q9:21>v*:8482?!3?<3;0qpsr;hfa>5<#:<81ho5Y24695~J5=?0:w[?n6;0x 4db2mh0Z<=7:3y'1=3=92.>494>;|~y>ock3:1(?;=:ea8R7332;qG>8851zT2e3<5s-;ii7jl;W306>5+59697>{zuE8>97:tV360>6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<0=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<1=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3ni7)=<0;7;1>{#=o2156sY1cf96~Pb838p(86<:ea8 6572<2>7p*:f98f?x{z3`8?>7>5$371>7253_8>874}Q9h<1>v*>bd8107=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;h01a?6=,;?96?h5Y12:96~"20<0o7);74;f8yx{K:7p*:f98g?x{z3f;i;7>5$371>4d032wi=4h50;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=7>53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=>2.>4949;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7a283>6<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a5d7=8391<7>t$371>4d13A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f4?b29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn?=::185>5<7s-8>>7?3g83>!42:3;8j6X=5582I42>3;pZ494>;|~y>ocj3:1(?;=:e`8R73328qG>8851zT2e3<5s-;ii7jm;W306<5+59695>{zut1bhn4?:%066?bd3_8>874}Q9h<1>v*>bd8gg>P6;109w);75;18 0>32:1vqpB=5487S43;39pZh>52z&6<64>4>3g9'766==1?0q);i8;;8yS43;39pZh>52z&6<64>4>3g9'766==1>0q);i8;;8yS43;39pZh>52z&6<64>4kb:&075<20<1v(8h7:89~R4dc2;q]i=4={%7;7?bd3-98<7;75:'1c>=m2wvq6g=4383>!42:38?>6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?:=;%104?3?=2w/9k65d:~y>o5:l0;6)<:2;01a>P5==09wA<:6;3xR4g12;q/=ok523g8R45?2;q/95;5d:&6<174b3-98<7;75:'1c>=l2wvq6a>b683>!42:3;i;65rb312>5<4290;w)<:2;07b>N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5;;0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?961<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0h7);74;a8yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c0e4?6=>3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg4bk3:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5mm0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?963A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{494l;|~y>o6=k0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5c9U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<;k;W306n5+5969g>{zut1b=8k50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950c<^8936?u+5979g>"20=0h7psr}:k21c<72-8>>7?:7?tVd096~"6jl0:9k5Y12:96~"20<0h7);74;a8yx{z3`;?>7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;376>P6;109w);75;a8 0>32j1vqps4i060>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>87[?<8;0x 0>22j1/95:5c:~yx=n9=>1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51568R45?2;q/95;5c:&6<14483>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<<1]=>652z&6<0<63-?387?4}|~?l73>3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;6:T27=<5s-?397?4$4:7>4=zutw0e<:8:18'604=9:l0Z?;;:0yO600=9r\n>74203_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>hl50;694?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{h6=4+240956`<^;??6494>;|~y>{e;:=1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8?i4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=;2;292?6=8r.99?4>3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;08yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e;:31<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f65e29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<13-?38784}|~?l7393:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??62wvqp5f15394?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:>;W306;5+59692>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5c:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`07d<72:0;6=u+24095g0<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a76c=8391<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0o7);74;f8yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo=74273_;847a=#=1>1h6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1h6*:858g?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0:n;5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th88>4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=;e;292?6=8r.99?4>3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;08yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e;=?1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f62029086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f62?29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<13-?38784}|~?l7393:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{54;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th88l4?:483>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306;5+59692>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59792>"20=0=7psr}:k20f<72-8>>7?1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<15683>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`00g<72<0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8473=#=1>1:6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1:6*:8585?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=k2.>494l;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th88n4?:0394?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39784$4:7>3=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8473=#=1>1:6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1:6*:8585?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8485?!3?<3<0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3<0(86;:79~yx{2wvqp5f14a94?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=92.>4949;|~y>o6=m0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950b<^8936?u+59792>"20=0=7psr}:k21`<72-8>>7?:7?tVd096~"6jl0:9h5Y12:96~"20<0h7);74;a8yx{z3`;>j7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=o1]=>652z&6<0{M062?7|^l81>v*>bd8207=Q9:21>v*:848`?!3?<3i0qpsr;h377?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>429U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<::;W306<5+59695>{zut1b=9850;&117<6;o1]>8:51zN113<6s_o96?u+1cg9510<^8936?u+59795>"20=0:7psr}:k202<72-8>>7?:7?tVd096~"6jl0:8:5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c172?6=?3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8482?!3?<3;0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3;0(86;:09~yx{494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c16f?6=>3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg5283:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4=80;6<4?:1y'604=:<30D?:9;n3a3?6=,;?96t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a702=8391<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a703=83<1<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?0<,<2?6;5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;48 0>32?1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397m4$4:7>f=zutw0e<;8:18'604=9:l0D?;>;W060?7|D;?=6;6X>3981!3?=3i0(86;:b9~yx{494>;|~y>{e;<<1<7850;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<^;??68851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=n91=vB=5782Sc52;q/=ok514c8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4=>0;6:4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22?1/95:56:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;56:&6<1<13twvq6g>4b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1:6*:8585?x{zu2c:9:4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9<=0Z<=7:3y'1=3=k2.>494l;|~y>o6=h0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979g>"20=0h7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c16N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0=7);74;48yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397m4$4:7>f=zutw0e<;n:18'604=9:l0D?;>;W060?7|D;?=6m6X>3981!3?=3i0(86;:b9~yx{494>;|~y>{e;<31<7950;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<^;??62wvqp5f15a94?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:l;W306;5+59692>{zut1b=8950;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=n9743f3_;847f=#=1>1o6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`017<7210;6=u+24095g0<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59795>"20=0:7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0:7);74;38yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;38 0>3281vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>2281/95:51:~yx=n91=vB=5782Sc52;q/=ok514a8R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd38o0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{:97>56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo:>1;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi8<=50;194?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8485?!3?<3<0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4849;%7;0?050z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e3:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=886=4<:183!42:38?j6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848b?!3?<3k0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{9>7>56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo:>7;297?6=8r.99?4=4g9K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a04>=83;1<7>t$371>73>3A8?:6a>b683>!42:3;i;65rb53b>5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?=n4?:283>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?=i4?:283>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?=h4?:283>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306;5+59692>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?=k4?:283>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f14729086=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7=<729q/>8<51c48L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;51:&6<1<63twvq6g>5683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0<63-?387?4}|~?l72i3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397?4$4:7>4=zutw0e<;m:18'604=9:l0Z?;;:0yO600=9r\n>743e3_;8474=#=1>1=6sr}|9j50e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8m4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`761<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg2503:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=9:6=4<:183!42:38?j6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848b?!3?<3k0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{8<7>56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo:=5;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi8?950;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi8?750;594?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39794$4:7>2=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8472=#=1>1;6sr}|9j51e=83.99?4>3g9K607<^;??6494k;|~y>o6=h0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979`>"20=0o7psr}:k21g<72-8>>7?1=vB=5782Sc52;q/=ok514`8R45?2;q/95;5d:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd3:h0;6:4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22>1/95:57:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;57:&6<1<03twvq6g>4b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1h6*:858g?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848g?!3?<3n0qpsr;h36e?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9o5Y12:96~"20<0o7);74;f8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c61f?6=980;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8472=#=1>1;6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8484?!3?<3=0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3=0(86;:69~yx{4948;|~y>o6=j0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:9i5Y12:96~"20<0<7);74;58yx{z3`;>i7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=l1]=>652z&6<0<03-?38794}|~?l72n3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd821c=Q9:21>v*:848g?!3?<3n0qpsr;h376?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>439U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:8>5Y12:96~"20<0o7);74;f8yx{z3`;?87>5$371>45a3_8>87?tL375>4}Qm;09w)?me;370>P6;109w);75;f8 0>32m1vqps4i066>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>>7[?<8;0x 0>2281/95:51:~yx=n9=<1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51548R45?2;q/95;51:&6<1<63twvq6g>4683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<>1]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo:=c;2954<729q/>8<5689K610;6=4+240956`<^;??64948;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;n;W306:5+59693>{zut1b=8l50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950d<^8936?u+59793>"20=0<7psr}:k21f<72-8>>7?:7?tVd096~"6jl0:9n5Y12:96~"20<0<7);74;58yx{z3`;>h7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=m1]=>652z&6<0<03-?38794}|~?l72m3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd821`=Q9:21>v*:848g?!3?<3n0qpsr;h36b?6=,;?96<=i;W060?7|D;?=6j6X>3981!3?=3n0(86;:e9~yx{96=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73:2\:?54={%7;1?b<,<2?6i5r}|8m424290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;377>P6;109w);75;f8 0>32m1vqps4i067>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>?7[?<8;0x 0>22m1/95:5d:~yx=n9=?1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51578R45?2;q/95;51:&6<1<63twvq6g>4783>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?l73?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;7:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f14c290:=7>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306:5+59693>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59793>"20=0<7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0<7);74;58yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;58 0>32>1vqps4i07g>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:d:T27=<5s-?39794$4:7>2=zutw0e<;j:18'604=9:l0D?;>;W060?7|D;?=6i6X>3981!3?=3=0(86;:69~yx{8<512d8L7363_8>87?tL375>4}Qm;09w)?me;376>P6;109w);75;f8 0>32m1vqps4i060>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;3:T27=<5s-?397j4$4:7>a=zutw0e<:;:18'604=9:l0Z?;;:0yO600=9r\n>74233_;847a=#=1>1h6sr}|9j513=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9;4V01;>7}#=1?1=6*:8582?x{zu2c:8;4?:%066?74n2\9994>{M062?7|^l81>v*>bd8203=Q9:21>v*:8482?!3?<3;0qpsr;h373?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<1290;w)<:2;3a2>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;38 0>3281vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>2281/95:51:~yx=n91=vB=5782Sc52;q/=ok514c8R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd3;;0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{847>56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo:<4;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi8>850;194?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8485?!3?<3<0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4849;%7;0?050z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?el3:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=k26=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi8l;50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22h1/95:5a:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm4`:94?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:96>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f1?a290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c6b5?6=<3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`7e7<72>0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9K607<^;??6494l;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;5c:&6<15c83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`7e6<7210;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<^;??68851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0h7);74;a8yx{z3`;>n7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f1g329036=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{494l;|~y>o6=k0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950d<^8936?u+5979g>"20=0h7psr}:k21f<72-8>>7?:7?tVd096~"6jl0:9n5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c6b4?6==3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8482?!3?<3;0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3;0(86;:09~yx{5<0290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8484?!3?<3=0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0a=zutw0e<;m:18'604=9:l0D?;>;W060?7|D;?=6n6X>3981!3?=3n0(86;:e9~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a0a?=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd3l<0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{o47>56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo:ld;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi8i>50;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi8i?50;494?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39784$4:7>3=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8473=#=1>1:6sr}|9j51e=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;8;W306n5+5969g>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?h?4?:683>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39784$4:7>3=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;847f=#=1>1o6sr}|9j501=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;n;W306n5+5969g>{zut1b=8l50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950d<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?h>4?:0394?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39784$4:7>3=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8473=#=1>1:6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1:6*:8585?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8485?!3?<3<0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3<0(86;:79~yx{2wvqp5f14a94?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=92.>4949;|~y>o6=m0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950b<^8936?u+59792>"20=0=7psr}:k21`<72-8>>7?:7?tVd096~"6jl0:9h5Y12:96~"20<0h7);74;a8yx{z3`;>j7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=o1]=>652z&6<0{M062?7|^l81>v*>bd8207=Q9:21>v*:848`?!3?<3i0qpsr;h377?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>429U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<::;W306<5+59695>{zut1b=9850;&117<6;o1]>8:51zN113<6s_o96?u+1cg9510<^8936?u+59795>"20=0:7psr}:k202<72-8>>7?:7?tVd096~"6jl0:8:5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c6g0?6=980;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8473=#=1>1:6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1:6*:8585?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8485?!3?<3<0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3<0(86;:79~yx{2wvqp5f14`94?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=92.>4949;|~y>o6=j0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>4849;%7;0?0:7?tVd096~"6jl0:9i5Y12:96~"20<0=7);74;48yx{z3`;>i7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36a>P6;109w);75;a8 0>32j1vqps4i07e>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:f:T27=<5s-?397m4$4:7>f=zutw0e<:=:18'604=9:l0D?;>;W060?7|D;?=66X>3981!3?=3i0(86;:b9~yx{86=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73;2\:?54={%7;1?e<,<2?6n5r}|8m423290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:;;W306n5+5969g>{zut1b=9;50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9513<^8936?u+59795>"20=0:7psr}:k203<72-8>>7?:7?tVd096~"6jl0:8;5Y12:96~"20<0:7);74;38yx{z3`;?;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;373>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=im6=49:183!42:3;i:6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?70<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?1<,<2?6:5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;58 0>32>1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39794$4:7>2=zutw0e<;8:18'604=9:l0D?;>;W060?7|D;?=6;6X>3981!3?=3=0(86;:69~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a0g6=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd3j>0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{ij7>53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979e>"20=0j7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?nh4?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j54=;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm4c194?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn9l::180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn9l9:187>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<04=zutw0qo:m4;297?6=8r.99?4>b79K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?72<729q/>8<5689K610;6=4+240956`<^;??68851zTf6?4|,8hn6<:>;W306i5+5969`>{zut1b=9m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22m1/95:5d:~yx=n9<=1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74303_;847a=#=1>1h6sr}|9j50g=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?72<729q/>8<5689K610;6=4+240956`<^;??68851zTf6?4|,8hn6<:>;W306i5+5969`>{zut1b=9m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22m1/95:5d:~yx=n9<=1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74303_;847a=#=1>1h6sr}|9j50g=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?72<729q/>8<5689K610;6=4+240956`<^;??68851zTf6?4|,8hn6<:>;W306i5+5969`>{zut1b=9m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22m1/95:5d:~yx=n9<=1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74303_;847a=#=1>1h6sr}|9j50g=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;m;W306i5+5969`>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a0gd=83=1<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0o7);74;f8yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0{M062?7|^l81>v*>bd820f=Q9:21>v*:848g?!3?<3n0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3`;>n7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<04=zutw0qo:mc;291?6=8r.99?4>b79K610;6=4+240956`<^;??6494>;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8o9:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5c094?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:96>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{51;294~"5=;09945G2548k4d0290/>8<51c58?xd2ih0;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2ik0;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2ij0;6>4?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39784$4:7>3=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi9lj50;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi9lk50;194?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e50z&117<6j?1C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6g>4b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?l72?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397?4$4:7>4=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;8474=#=1>1=6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1=6*:8582?x{zu2c:9n4?:%066?74n2\9994>{M062?7|^l81>v*>bd821f=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8ln:185>5<7s-8>>7?3g83>!42:3;8j6X=5582I42>3;pZ494>;|~y>ocj3:1(?;=:e`8R73328qG>8851zT2e3<5s-;ii7jm;W306<5+59695>{zut1bhn4?:%066?bd3_8>874}Q9h<1>v*>bd8gg>P6;109w);75;18 0>32:1vqpB=5487S43;39pZh>52z&6<64>4>3g9'766==1?0q);i8;;8yS43;39pZh>52z&6<64>4>3g9'766==1>0q);i8;;8yS43;39pZh>52z&6<64>4kb:&075<20<1v(8h7:39~R4dc2;q]i=4={%7;7?bd3-98<7;75:'1c>=m2wvq6g=4383>!42:38?>6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?:=;%104?3?=2w/9k65d:~y>o5:l0;6)<:2;01a>P5==09wA<:6;3xR4g12;q/=ok523g8R45?2;q/95;5d:&6<174b3-98<7;75:'1c>=l2wvq6a>b683>!42:3;i;65rb4`7>5<4290;w)<:2;07b>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c7a1?6=93:16<729q/>8<5689K610;6=4+240956`<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a1g0=8391<7>t$371>4d13A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f0de29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e=j91<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th>o54?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j54=;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5cf94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn8li:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8m?:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8m>:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8m=:186>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5cg94?3=83:p(?;=:0`5?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{h6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?747=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;58 0>32>1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22>1/95:57:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;57:&6<1<03twvq6g>5683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0<03-?38794}|~?l72i3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?39794$4:7>2=zutw0e<;m:18'604=9:l0Z?;;:0yO600=9r\n>743e3_;8472=#=1>1;6sr}|9j50e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8m4V01;>7}#=1?1;6*:8584?x{zu2c:9i4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=94948;|~y>o6=l0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950c<^8936?u+5979`>"20=0o7psr}:k21c<72-8>>7?:7?tVd096~"6jl0:9k5Y12:96~"20<0o7);74;f8yx{z3`;?>7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<;1]=>652z&6<0{M062?7|^l81>v*>bd8206=Q9:21>v*:848g?!3?<3n0qpsr;h370?6=,;?96<=i;W060?7|D;?=63981!3?=3n0(86;:e9~yx{>6=4+240956`<^;??6494>;|~y>o6<>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>469U56>=:r.>484>;%7;0?701C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22>1/95:57:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;57:&6<1<03twvq6g>4b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<03-?38794}|~?l72?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?39794$4:7>2=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;8472=#=1>1;6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1;6*:8584?x{zu2c:9n4?:%066?74n2\9994>{M062?7|^l81>v*>bd821f=Q9:21>v*:8484?!3?<3=0qpsr;h36`?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5e9U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:9h5Y12:96~"20<0o7);74;f8yx{z3`;>j7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36b>P6;109w);75;f8 0>32m1vqps4i061>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;2:T27=<5s-?397j4$4:7>a=zutw0e<:<:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3n0(86;:e9~yx{?6=4+240956`<^;??6494>;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>479U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8m9:180>5<7s-8>>7?m6:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=kc;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;>7=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f6bc29086=4?{%066?43n2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<5=01C>984o0`4>5<#:<81=o94;|`0a5<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0a4<72=0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?e<,<2?6n5r}|8m42d290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a7`4=83<1<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0h7);74;a8yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>4849;%7;0?08<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>ji:187>5<7s-8>>7?m6:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f6e?29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e;jl1<7850;2x 735289o7E<;6:&2255$371>45a3_8>87?tL375>4}Q9h<1>v*>bd827c=Q9:21>v*:8482?!3?<3;0qpsr;hfa>5<#:<81ho5Y24695~J5=?0:w[?n6;0x 4db2mh0Z<=7:3y'1=3=92.>494>;|~y>ock3:1(?;=:ea8R7332;qG>8851zT2e3<5s-;ii7jl;W306>5+59697>{zuE8>97:tV360>6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<0=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<1=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3ni7)=<0;7;1>{#=o21>6sY1cf96~Pb838p(86<:ea8 6572<2>7p*:f98f?x{z3`8?>7>5$371>7253_8>874}Q9h<1>v*>bd8107=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;h01a?6=,;?96?h5Y12:96~"20<0o7);74;f8yx{K:7p*:f98g?x{z3f;i;7>5$371>4d032wi?n750;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>mn:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<51c48L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm3e294?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0`g<72:0;6=u+240961`<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306l5+5969e>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a7ag=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=:2w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{e;m;1<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:n96=4>:183!42:38>56F=479l5g1=83.99?4>b698yg5c<3:187>50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4l<0;6;4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<03=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?i850;594?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8485?!3?<3<0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3i0(86;:b9~yx{494>;|~y>{e;m=1<7950;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494l;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>4849;%7;0?08<512d8R73328qG>8851zTf6?4|,8hn6<;m;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a7a>=83=1<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0=7);74;48yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;a8 0>32j1vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm3e194?0=83:p(?;=:0`5?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{h6=4+240956`<^;??6494>;|~y>o6=h0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484>;%7;0?77>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848b?!3?<3k0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo;la;297?6=8r.99?4=4g9K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a1fd=83;1<7>t$371>73>3A8?:6a>b683>!42:3;i;65rb4ag>5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<3290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{h6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73k2\:?54={%7;1?e<,<2?6n5r}|8k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8j?:186>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f0b6290>6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{494>;|~y>{e=m81<7;50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?e<,<2?6n5r}|8m42d290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5e194?1=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484l;%7;0?e8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;a8 0>32j1vqps4i07a>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:b:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f0ed29036=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306<5+59695>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59795>"20=0:7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0:7);74;38yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=<;6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi8;j50;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn98l:185>5<7s-8>>7?3g83>!42:3;8j6X=5582I42>3;pZ494>;|~y>ocj3:1(?;=:e`8R73328qG>8851zT2e3<5s-;ii7jm;W306<5+59695>{zut1bhn4?:%066?bd3_8>874}Q9h<1>v*>bd8gg>P6;109w);75;18 0>32:1vqpB=5487S43;39pZh>52z&6<64>4>3g9'766==1?0q);i8;;8yS43;39pZh>52z&6<64>4>3g9'766==1>0q);i8;;8yS43;39pZh>52z&6<64>4kb:&075<20<1v(8h7:39~R4dc2;q]i=4={%7;7?bd3-98<7;75:'1c>=m2wvq6g=4383>!42:38?>6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?:=;%104?3?=2w/9k65d:~y>o5:l0;6)<:2;01a>P5==09wA<:6;3xR4g12;q/=ok523g8R45?2;q/95;5d:&6<174b3-98<7;75:'1c>=l2wvq6a>b683>!42:3;i;65rb542>5<4290;w)<:2;07b>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c656?6=93:16<729q/>8<5689K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<5689K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<5689K610;6=4+240956`<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?71<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;a8 0>32j1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f10?290=6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<13-?38784}|~?l7393:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8485?!3?<3<0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:9:5Y12:96~"20<0h7);74;a8yx{z3`;>m7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<04=zutw0qo:99;292?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;56:&6<1<13twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:9l5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c65e?6=?3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0=7);74;48yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0<13-?38784}|~?l72?3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848`?!3?<3i0qpsr;h36e?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:9o5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c657?6=03:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8482?!3?<3;0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3;0(86;:09~yx{494>;|~y>o6=j0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>484>;%7;0?77>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj{M062?7|^8k=6?u+1cg956`<^8936?u+59795>"20=0:7psr}:kgf?6=,;?96il4V377>4}K:<<1=vX>a781!7em3ni7[?<8;0x 0>2281/95:51:~yx=nlj0;6)<:2;f`?S42<38p@?;9:0yU5d0=:r.:nh4kc:T27=<5s-?397=4$4:7>6=zutF9984;{W077?5|^l:1>v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=3v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=2v*:828gg>"4;90>485rVd396~"20:0on6*<3186<0=z,7}Qm909w);73;f`?!5483?396s+5g:9a>{zu2c98?4?:%066?43:2\9994={M062?7|^8k=6?u+1cg9614<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;>97)=<0;7;1>{#=o21h6sr}:k16`<72-8>>7<=e:T111<5sE8>:7?tV0c5>7}#9ko1>?k4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2e:n:4?:%066?7e?21vn8kk:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo;je;295?6=8r.99?4=589K610ik4?:283>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm36f94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0<3<72:0;6=u+240961`<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306l5+5969e>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a7=3=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=:2w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{e;>o1<7=50;2x 7352;>m7E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=8f;295?6=8r.99?4=589K6105}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd40;0;6:4?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{h6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73k2\:?54={%7;1?e<,<2?6n5r}|8m430290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;a8 0>32j1vqps4i07b>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397m4$4:7>f=zutw0e<;m:18'604=9:l0Z?;;:0yO600=9r\n>743e3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?5=50;594?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:8n5Y12:96~"20<0h7);74;a8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0{M062?7|^l81>v*>bd821d=Q9:21>v*:848`?!3?<3i0qpsr;h36f?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5c9U56>=:r.>484l;%7;0?e50z&117<6j?1C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6g>4b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=77;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;>7=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f6>?29086=4?{%066?43n2B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8444?:083>5}#:<81>874H365?j7e?3:1(?;=:0`4?>{e;1h1<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494>;|~y>{e;1i1<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c1;`?6=;3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd40h0;694?:1y'604=9k<0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c1:e?6=>3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg5>93:1?7>50z&117<5984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?4<50;394?6|,;?96?;6;I072>i6j>0;6)<:2;3a3>=zj:3?6=4<:183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0=0<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?e<,<2?6n5r}|8k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>79:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c1:3?6=<3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:336=4;:183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0:n;5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0:7);74;38yx{z3`;>;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;38 0>3281vqps4i07b>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?j7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm44694?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`71d<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg22n3:1:7>50z&117<6;m1C>984$043>c=n9:l1<7*=53827c=Q:<>1=vB=5782S7f>38p(!42:3ni7[<:4;3xH73128q]=l852z&2f`:7?tV0c5>7}#9ko1hn5Y12:96~"20<087);74;18yx{K::3y'1=5=9:l0(>=?:4:6?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=9:l0(>=?:4:7?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=lk1/?>>55978y!3a0380q[?md;0xR`6=:r.>4>4kc:&075<20<1v(8h7:d9~yx=n:=81<7*=538107=Q:<>1>vB=5782S7f>38p(h5Y24696~J5=?0:w[?n6;0x 4db2;8n7[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<=e:&075<20<1v(8h7:e9~yx=h9k=1<7*=5382f2=>97>53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?9;4?:083>5}#:<81>874H365?j7e?3:1(?;=:0`4?>{e<<21<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494>;|~y>{e<<31<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??6494>;|~y>{e<<=1<7=50;2x 73528h=7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=?i6=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo::c;297?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;5d:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo::d;297?6=8r.99?4>b79K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c7:1?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f0??290=6=4?{%066?74l2B98;5+1729b>o6;o0;6)<:2;30b>P5==0:wA<:6;3xR4g12;q/=ok512d8R45?2;q/95;51:&6<1<63twvq6gkb;29 7352mh0Z?;;:0yO600=9r\:m;4={%3aa?be3_;8474=#=1>1=6sr}|9j`f<72-8>>7jl;W060?4|D;?=63981!3?=390(86;:29~yxJ5=<0?w[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55978y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55968y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6o5<;0;6)<:2;076>P5==09wA<:6;3xR4g12;q/=ok52508R45?2;q/95;5d:&6<17253-98<7;75:'1c>=l2wvq6g=2d83>!42:389i6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?i6j>0;6)<:2;3a3>=zj<2o6=4<:183!42:38?j6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{51;294~"5=;09945G2548k4d0290/>8<51c58?xd2190;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2180;684?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9K607<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?70<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;a8 0>32j1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397m4$4:7>f=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi94=50;594?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8485?!3?<3<0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4849;%7;0?0:7?tVd096~"6jl0:8n5Y12:96~"20<0=7);74;48yx{z3`;>;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;a8 0>32j1vqps4i07b>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397m4$4:7>f=zutw0e<;m:18'604=9:l0Z?;;:0yO600=9r\n>743e3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi94:50;594?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8485?!3?<3<0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4849;%7;0?08<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306n5+5969g>{zut1b=8o50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?j7[?<8;0x 0>22j1/95:5c:~yx=n91=vB=5782Sc52;q/=ok514`8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd20o0;6;4?:1y'604=9k<0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn879:186>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979`>"20=0o7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;5d:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0{M062?7|^l81>v*>bd8212=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>o;:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo=n3;292?6=8r.99?4>3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;08yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0=a<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm38d94?2=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?l>50;794?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{55;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>7j:187>5<7s-8>>7?m6:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f6g229096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e;hl1<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;c8 0>32h1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:kn6=49:183!42:3;8h6F=479'536=n2c:?k4?:%066?74n2\9994>{M062?7|^8k=6?u+1cg956`<^8936?u+59795>"20=0:7psr}:kgf?6=,;?96il4V377>4}K:<<1=vX>a781!7em3ni7[?<8;0x 0>2281/95:51:~yx=nlj0;6)<:2;f`?S42<38p@?;9:0yU5d0=:r.:nh4kc:T27=<5s-?397=4$4:7>6=zutF9984;{W077?5|^l:1>v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=3v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=2v*:828gg>"4;90>485rVd396~"20:0on6*<3186<0=z,7}Qm909w);73;f`?!5483?396s+5g:9a>{zu2c98?4?:%066?43:2\9994={M062?7|^8k=6?u+1cg9614<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;>97)=<0;7;1>{#=o21h6sr}:k16`<72-8>>7<=e:T111<5sE8>:7?tV0c5>7}#9ko1>?k4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2e:n:4?:%066?7e?21vn>o9:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=n7;295?6=8r.99?4=589K6105}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4ih0;6;4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0f=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?ll50;494?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848`?!3?<3i0qpsr;h36e?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484l;%7;0?e50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:8n5Y12:96~"20<0h7);74;a8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f6g?290>6=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a630=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd5>h0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{7>53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979e>"20=0j7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9;<4?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j54=;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm27:94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn?86:18;>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22j1/95:5c:~yx=n9743f3_;847f=#=1>1o6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2c:9n4?:%066?74n2\9994>{M062?7|^l81>v*>bd821f=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{57;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=l2.>494k;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979`>"20=0o7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?72<729q/>8<5689K610;6=4+240956`<^;??68851zTf6?4|,8hn6<:>;W306i5+5969`>{zut1b=9m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22m1/95:5d:~yx=n9<=1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74303_;847a=#=1>1h6sr}|9j50g=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;m;W306i5+5969`>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a63b=8331<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8484?!3?<3=0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3`;>n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;f8 0>32m1vqps4i07`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:c:T27=<5s-?397j4$4:7>a=zutw0e<;k:18'604=9:l0D?;>;W060?7|D;?=6h6X>3981!3?=3n0(86;:e9~yx{5<>290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59793>"20=0<7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0{M062?7|^l81>v*>bd821d=Q9:21>v*:848g?!3?<3n0qpsr;h36f?6=,;?96<=i;W060?7|D;?=6n6X>3981!3?=3n0(86;:e9~yx{8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36`>P6;109w);75;f8 0>32m1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{494>;|~y>{e:>91<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9;o4?:283>5}#:<81>9h4H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;847d=#=1>1m6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>:o50;494?6|,;?96<=k;I072>"6>90m7d?652z&6<0<63-?387?4}|~?lbe290/>8<5dc9U602=9rF99;4>{W3b2?4|,8hn6il4V01;>7}#=1?1=6*:8582?x{zu2coo7>5$371>ae<^;??6?uC24495~P6i?09w)?me;f`?S74038p(86::29'1=2=;2wvqA<:5;6xR7242:q]i=4={%7;7?bd3-98<7;75:Ua4<5s-?3?7?ae<,:9;686:;|&6b=97[<:4;0xH73128q]=l852z&2f`<5<;1]=>652z&6<07}#=191>9<4$213>0>23t.>j54k;|~?l45m3:1(?;=:30f?S42<38p@?;9:0yU5d0=:r.:nh4=2d9U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+591967c<,:9;686:;|&6b=2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<6290;w)<:2;06=>N510qo<87;293?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848`?!3?<3i0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3i0(86;:b9~yx{494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?72<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0h7);74;a8yx{z3`;>m7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0{M062?7|^l81>v*>bd821g=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0:n;5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?<44?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo:?e;292?6=8r.99?4>3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;08yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`74g<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm41a94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm3b094?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0g2<72?0;6=u+240956b<@;>=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<53t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd4k:0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e;j>1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f6e229086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f15>29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e<=?1<7850;2x 735289o7E<;6:&2255$371>45a3_8>87?tL375>4}Q9h<1>v*>bd827c=Q9:21>v*:8482?!3?<3;0qpsr;hfa>5<#:<81ho5Y24695~J5=?0:w[?n6;0x 4db2mh0Z<=7:3y'1=3=92.>494>;|~y>ock3:1(?;=:ea8R7332;qG>8851zT2e3<5s-;ii7jl;W306>5+59697>{zuE8>97:tV360>6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<0=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<1=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3ni7)=<0;7;1>{#=o21>6sY1cf96~Pb838p(86<:ea8 6572<2>7p*:f98f?x{z3`8?>7>5$371>7253_8>874}Q9h<1>v*>bd8107=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;h01a?6=,;?96?h5Y12:96~"20<0o7);74;f8yx{K:7p*:f98g?x{z3f;i;7>5$371>4d032wi8>o50;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c60f?6=93:16<729q/>8<5689K610;6=4+240956`<^;??6494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;a8 0>32j1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=9m6=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=>;6=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=>:6=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0=7);74;48yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo:;2;297?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9K607<^;??6494>;|~y>{e<=91<7:50;2x 7352?30D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4849;%7;0?0:7?tVd096~"6jl0:8<5Y12:96~"20<0=7);74;48yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<04=zutw0qo:b79K610;6=4+240956`<^;??6494>;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;n;W306<5+59695>{zut1b=8l50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950d<^8936?u+59795>"20=0:7psr}:k21f<72-8>>7?:7?tVd096~"6jl0:9n5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c355?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f40d290=6=4?{%066?74l2B98;5+1729b>o6;o0;6)<:2;30b>P5==0:wA<:6;3xR4g12;q/=ok512d8R45?2;q/95;51:&6<1<63twvq6gkb;29 7352mh0Z?;;:0yO600=9r\:m;4={%3aa?be3_;8474=#=1>1=6sr}|9j`f<72-8>>7jl;W060?4|D;?=63981!3?=390(86;:29~yxJ5=<0?w[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55978y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6<6;o1/?>>55968y!3a0330q[<;3;1xR`6=:r.>4>4kc:&075<20<1vZh?52z&6<6o5<;0;6)<:2;076>P5==09wA<:6;3xR4g12;q/=ok52508R45?2;q/95;5d:&6<17253-98<7;75:'1c>=l2wvq6g=2d83>!42:389i6X=5581I42>3;pZ494k;|~H73228q]>9l52zTf4?4|,<286?i6j>0;6)<:2;3a3>=zj8<96=4<:183!42:38?j6F=479j516=83.99?4>3g9K607<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76283>4<729q/>8<524;8L7213f;i;7>5$371>4d032wi=;;50;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=;850;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<3290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:k20f<72-8>>7?1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd6>10;684?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<13-?38784}|~?l72?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f40>290=6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;8473=#=1>1:6sr}|9j501=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1o6*:858`?x{zu2c:9l4?:%066?74n2\9994>{M062?7|^l81>v*>bd821d=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3<0(86;:79~yx{494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76583>2<729q/>8<51c48L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;51:&6<1<63twvq6g>5683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0<63-?387?4}|~?l72i3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397?4$4:7>4=zutw0e<;m:18'604=9:l0Z?;;:0yO600=9r\n>743e3_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=;j50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77883>6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22h1/95:5a:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm16:94?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:96>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f40a290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c345?6=;3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c346?6==3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22j1/95:5c:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;847f=#=1>1o6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1o6*:858`?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{58;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{2wvqp5f14c94?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=92.>4949;|~y>o6=k0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5c9U56>=:r.>484l;%7;0?e8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn<9;:18;>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+59792>"20=0=7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;56:&6<1<13twvq6g>5c83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1o6*:858`?x{zu2c:9n4?:%066?74n2\9994>{M062?7|^l81>v*>bd821f=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{59;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{2wvqp5f14c94?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=92.>4949;|~y>o6=k0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5c9U56>=:r.>484l;%7;0?e8<512d8R73328qG>8851zTf6?4|,8hn6<;k;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a520=8331<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0=7);74;48yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;48 0>32?1vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22j1/95:5c:~yx=n91=vB=5782Sc52;q/=ok514a8R45?2;q/95;5c:&6<15e83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=m1]=>652z&6<04=zutw0qo?80;293?6=8r.99?4>b79K610;6=4+240956`<^;??6494>;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;n;W306<5+59695>{zut1b=8l50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950d<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8n;4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=mb;292?6=8r.99?4>3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;08yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0f=<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm3c;94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22?1/95:56:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm59494?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`6=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<53t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd20>0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn867:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c7f1?6=>3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg3b93:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2m;0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?96t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0h7);74;a8yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;a8 0>32j1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi9hl50;494?6|,;?96<=k;I072>"6>90m7d?652z&6<0<63-?387?4}|~?lbe290/>8<5dc9U602=9rF99;4>{W3b2?4|,8hn6il4V01;>7}#=1?1=6*:8582?x{zu2coo7>5$371>ae<^;??6?uC24495~P6i?09w)?me;f`?S74038p(86::29'1=2=;2wvqA<:5;6xR7242:q]i=4={%7;7?bd3-98<7;75:Ua4<5s-?3?7?ae<,:9;686:;|&6b=97[<:4;0xH73128q]=l852z&2f`<5<;1]=>652z&6<07}#=191>9<4$213>0>23t.>j54k;|~?l45m3:1(?;=:30f?S42<38p@?;9:0yU5d0=:r.:nh4=2d9U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+591967c<,:9;686:;|&6b=2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<6290;w)<:2;06=>N510qo;j9;297?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo<:a;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;>7=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f73e29086=4?{%066?43n2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<5=01C>984o0`4>5<#:<81=o94;|`11a<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8473=#=1>1:6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1:6*:8585?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`05a<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg55:3:1:7>50z&117<6;m1C>984$043>c=n9:l1<7*=53827c=Q:<>1=vB=5782S7f>38p(!42:3ni7[<:4;3xH73128q]=l852z&2f`:7?tV0c5>7}#9ko1hn5Y12:96~"20<087);74;18yx{K::3y'1=5=9:l0(>=?:4:6?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=9:l0(>=?:4:7?x"2n1027pX=4280Sc72;q/95=5db9'766==1?0q[k>:3y'1=5=lk1/?>>55978y!3a0380q[?md;0xR`6=:r.>4>4kc:&075<20<1v(8h7:d9~yx=n:=81<7*=538107=Q:<>1>vB=5782S7f>38p(h5Y24696~J5=?0:w[?n6;0x 4db2;8n7[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<=e:&075<20<1v(8h7:e9~yx=h9k=1<7*=5382f2=53;294~"5=;098k5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8=k4?:083>5}#:<81>874H365?j7e?3:1(?;=:0`4?>{e;;:1<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<^;??62wvqp5`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e;?21<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8:i4?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j54=;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm37c94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn>8m:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;56:&6<1<13twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4>l0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo=9f;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi?:?50;194?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8485?!3?<3<0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4849;%7;0?07>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:h>6=49:183!42:3;8h6F=479'536=n2c:?k4?:%066?74n2\9994>{M062?7|^8k=6?u+1cg956`<^8936?u+59795>"20=0:7psr}:kgf?6=,;?96il4V377>4}K:<<1=vX>a781!7em3ni7[?<8;0x 0>2281/95:51:~yx=nlj0;6)<:2;f`?S42<38p@?;9:0yU5d0=:r.:nh4kc:T27=<5s-?397=4$4:7>6=zutF9984;{W077?5|^l:1>v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=3v*:828gg>"4;90>485rVd396~"20:0:?k5+32291=2v*:828gg>"4;90>485rVd396~"20:0on6*<3186<0=z,7}Qm909w);73;f`?!5483?396s+5g:9a>{zu2c98?4?:%066?43:2\9994={M062?7|^8k=6?u+1cg9614<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;>97)=<0;7;1>{#=o21h6sr}:k16`<72-8>>7<=e:T111<5sE8>:7?tV0c5>7}#9ko1>?k4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2e:n:4?:%066?7e?21vn>l>:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=m2;295?6=8r.99?4=589K6104?:683>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;a8 0>32j1vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm3ca94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0g4<72?0;6=u+240956b<@;>=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<53t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd4jm0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e;ko1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f6da29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f6`629096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e;o<1<7850;2x 735289o7E<;6:&2255$371>45a3_8>87?tL375>4}Q9h<1>v*>bd827c=Q9:21>v*:8482?!3?<3;0qpsr;hfa>5<#:<81ho5Y24695~J5=?0:w[?n6;0x 4db2mh0Z<=7:3y'1=3=92.>494>;|~y>ock3:1(?;=:ea8R7332;qG>8851zT2e3<5s-;ii7jl;W306>5+59697>{zuE8>97:tV360>6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<0=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3;8j6*<3186<1=z,6}Qm909w);73;f`?!5483?396sYe081!3?;3ni7)=<0;7;1>{#=o21>6sY1cf96~Pb838p(86<:ea8 6572<2>7p*:f98f?x{z3`8?>7>5$371>7253_8>874}Q9h<1>v*>bd8107=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;h01a?6=,;?96?h5Y12:96~"20<0o7);74;f8yx{K:7p*:f98g?x{z3f;i;7>5$371>4d032wi?k<50;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>h<:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=53;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??62wvqp5f15394?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:>;W306;5+59692>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a7c1=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4nj0;6;4?:1y'604=9:n0D?:9;%354?`P6;109w);75;38 0>3281vqps4ie`94?"5=;0on6X=5582I42>3;pZ652z&6<0<63-?387?4}|~?lbd290/>8<5db9U602=:rF99;4>{W3b2?4|,8hn6im4V01;>7}#=1?1?6*:8580?x{zD;?>69uY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>4289m7)=<0;7;1>{#=o2156sY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>4289m7)=<0;7;0>{#=o2156sY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>42mh0(>=?:4:6?x"2n1097pX>be81Sc72;q/95=5db9'766==1?0q);i8;g8yx{96=4+2409614<^;??6?uC24495~P6i?09w)?me;076>P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5<;1/?>>55978y!3a03n0qps4i30f>5<#:<81>?k4V377>7}K:<<1=vX>a781!7em389i6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=2d9'766==1?0q);i8;f8yx{5}#:<81>9h4H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?k750;394?6|,;?96?;6;I072>i6j>0;6)<:2;3a3>=zj:lj6=4<:183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;56:&6<1<13twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1:6*:8585?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`6e?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f37=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=:2w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{e=k0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8m50;394?6|,;?96?;6;I072>i6j>0;6)<:2;3a3>=zj3981!3?=3i0(86;:b9~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?e<,<2?6n5r}|8k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8h50;694?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e:7?tVd096~"6jl0:8n5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c7g>5<4290;w)<:2;3a2>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c41>5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a22<72?0;6=u+240956b<@;>=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<53t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd1;3:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd1<3:1=7>50z&117<5=01C>984o0`4>5<#:<81=o94;|`51?6=;3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+5979g>"20=0h7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd60:0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0:?i5G2548 4072o1b=>h50;&117<6;o1]>8:51zN113<6s_;j:745a3_;8474=#=1>1=6sr}|9j`g<72-8>>7jm;W060?7|D;?=63981!3?=3;0(86;:09~yx{P5==09wA<:6;3xR4g12;q/=ok5db9U56>=:r.>484<;%7;0?58;54zT106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>23t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191=>h4$213>0>33t.>j546;|T106<4s_o;6?u+5919`f=#;::195;4}Wg2>7}#=191ho5+32291=3v*:828gg>"4;90>485r$4d;>`=zut1b>9<50;&117<5<;1]>8:52zN113<6s_;j:77253_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;38?>6*<3186<0=z,{W3b2?4|,8hn6?6i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;01a>"4;90>485r$4d;>a=zut1d=o950;&117<6j>10qo?74;297?6=8r.99?4=4g9K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?78483>4<729q/>8<524;8L7213f;i;7>5$371>4d032wi=5950;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=5650;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=5750;194?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397m4$4:7>f=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm19a94?3=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39784$4:7>3=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3i0(86;:b9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn<6k:186>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4849;%7;0?0:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;a8 0>32j1vqps4i074>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f4>129036=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306<5+59695>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59795>"20=0:7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0:7);74;38yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;<;6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>;;50;494?6|,;?96<=k;I072>"6>90m7d?652z&6<0<63-?387?4}|~?lbe290/>8<5dc9U602=9rF99;4>{W3b2?4|,8hn6il4V01;>7}#=1?1=6*:8582?x{zu2coo7>5$371>ae<^;??6?uC24495~P6i?09w)?me;f`?S74038p(86::29'1=2=;2wvqA<:5;6xR7242:q]i=4={%7;7?bd3-98<7;75:Ua4<5s-?3?7?ae<,:9;686:;|&6b=97[<:4;0xH73128q]=l852z&2f`<5<;1]=>652z&6<07}#=191>9<4$213>0>23t.>j54k;|~?l45m3:1(?;=:30f?S42<38p@?;9:0yU5d0=:r.:nh4=2d9U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+591967c<,:9;686:;|&6b=2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<6290;w)<:2;06=>N510qo<93;297?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo=<1;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;>7=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f65529086=4?{%066?43n2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<5=01C>984o0`4>5<#:<81=o94;|`071<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?0<,<2?6;5r}|8k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn>;l:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm37394?0=83:p(?;=:01g?M43>2.::=4i;h30b?6=,;?96<=i;W060?7|D;?=61=vB=5782S7f>38p(6=#=1>1?6sr}M061?2|^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>485r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;30b>"4;90>495r$4d;><=z^;>86>uYe181!3?;3nh7)=<0;7;1>{Qm809w);73;fa?!5483?396s+5g:96>{Q9kn1>vXj0;0x 0>42mi0(>=?:4:6?x"2n10n7psr;h076?6=,;?96?:=;W060?4|D;?=67p*:f98g?x{z3`89i7>5$371>74b3_8>874}Q9h<1>v*>bd816`=Q9:21>v*:848g?!3?<3n0qpsC24795~P5=?:4:6?x"2n10o7psr;n3a3?6=,;?96t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f63b290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c16b?6=;3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22?1/95:56:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;8473=#=1>1:6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi?;<50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73<729q/>8<512f8L7213-;=<7h4i01e>5<#:<81=>h4V377>4}K:<<1=vX>a781!7em3;8j6X>3981!3?=3;0(86;:09~yx{P5==0:wA<:6;3xR4g12;q/=ok5dc9U56>=:r.>484>;%7;0?78:52zN113<6s_;j:7ae<^8936?u+59797>"20=087psrL376>1}Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?396s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?386s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:e`8 6572<2>7p*:f981?xP6jm09w[k?:3y'1=5=lj1/?>>55978y!3a03o0qps4i361>5<#:<81>9<4V377>7}K:<<1=vX>a781!7em38?>6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=439'766==1?0q);i8;f8yx{P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5:l1/?>>55978y!3a03n0qps4o0`4>5<#:<81=o94;|`026<72:0;6=u+240961`<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a732=83;1<7>t$371>73>3A8?:6a>b683>!42:3;i;65rb246>5<4290;w)<:2;4:?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=>2.>4949;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59792>"20=0=7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th8;94?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=8c;292?6=8r.99?4>3e9K610<,8<;6k5f12d94?"5=;0:?k5Y24695~J5=?0:w[?n6;0x 4db289m7[?<8;0x 0>2281/95:51:~yx=nlk0;6)<:2;fa?S42<3;p@?;9:0yU5d0=:r.:nh4kb:T27=<5s-?397?4$4:7>4=zutw0eim50;&117v*:8480?!3?<390qpsC24790~P5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2>7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=512d8 6572<2?7p*:f98:?xP5<:08w[k?:3y'1=5=lj1/?>>55978ySc62;q/95=5dc9'766==1?0q);i8;08yS7el38pZh>52z&6<697[?<8;0x 0>22m1/95:5d:~yI42=3;pZ?:m:3yUa5<5s-?3?7<;2:&075<20<1v(8h7:e9~yx=n:;o1<7*=53816`=Q:<>1>vB=5782S7f>38p(74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`033<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm36:94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;a8 0>32j1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f61>29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22j1/95:5c:~yx=n9<=1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74303_;847f=#=1>1o6sr}|9j50g=83.99?4>3g9K607<^;??6494>;|~y>{e;>=1<7:50;2x 73528h=7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm3d794?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`0ad<72?0;6=u+240956b<@;>=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<53t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd4m?0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e;l=1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f6c?29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=>2.>4949;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c1e4?6=>3:13g9U602=9rF99;4>{W3b2?4|,8hn6<=i;W306<5+59695>{zut1bho4?:%066?be3_8>87?tL375>4}Q9h<1>v*>bd8gf>P6;109w);75;38 0>3281vqps4iea94?"5=;0oo6X=5581I42>3;pZ652z&6<0<43-?387=4}|O603=4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=33t\98>4<{Wg3>7}#=191hn5+32291=3{W3b2?4|,8hn6?:=;W306i5+5969`>{zuE8>97?tV36a>7}Qm909w);73;076>"4;90>485r$4d;>a=zut1b>?k50;&117<5:l1]>8:52zN113<6s_;j:774b3_;847a=#=1>1h6sr}M061?7|^;>i6?uYe181!3?;389i6*<3186<0=z,b698yg5bk3:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd4mm0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?96t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0=7);74;48yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<13-?38784}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo=id;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a05>=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=:2w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{e;oo1<7=50;2x 7352;>m7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj:lm6=4>:183!42:38>56F=479l5g1=83.99?4>b698yg2783:187>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979g>"20=0h7psr}:k20f<72-8>>7?1=vB=5782Sc52;q/=ok515a8R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd38;0;684?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397j4$4:7>a=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3n0(86;:e9~yx{h6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73k2\:?54={%7;1?b<,<2?6i5r}|8m430290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;f8 0>32m1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=:86=49:183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=?2.>4948;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979`>"20=0o7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c630?6=03:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59793>"20=0<7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;57:&6<1<03twvq6g>4b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1;6*:8584?x{zu2c:9:4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9<=0Z<=7:3y'1=3=l2.>494k;|~y>o6=h0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979`>"20=0o7psr}:k21g<72-8>>7?1=vB=5782Sc52;q/=ok514`8R45?2;q/95;5d:&6<15b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=j1]=>652z&6<04=zutw0qo:?5;291=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=l2.>494k;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3`;>n7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0a=zutw0c74d03_;8474=#=1>1=6sr}|9~f161290>6=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a163=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2;h0;6;4?:1y'604=9:n0D?:9;%354?`P6;109w);75;38 0>3281vqps4ie`94?"5=;0on6X=5582I42>3;pZ652z&6<0<63-?387?4}|~?lbd290/>8<5db9U602=:rF99;4>{W3b2?4|,8hn6im4V01;>7}#=1?1?6*:8580?x{zD;?>69uY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>4289m7)=<0;7;1>{#=o2156sY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>4289m7)=<0;7;0>{#=o2156sY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>42mh0(>=?:4:6?x"2n1097pX>be81Sc72;q/95=5db9'766==1?0q);i8;g8yx{96=4+2409614<^;??6?uC24495~P6i?09w)?me;076>P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5<;1/?>>55978y!3a03n0qps4i30f>5<#:<81>?k4V377>7}K:<<1=vX>a781!7em389i6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=2d9'766==1?0q);i8;f8yx{?;4?:283>5}#:<81>9h4H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi9>950;394?6|,;?96?;6;I072>i6j>0;6)<:2;3a3>=zj<936=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0h7);74;a8yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo;69;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<1290;w)<:2;30`>N55f:k27c<72-8>>7?:7?tV0c5>7}#9ko1=>h4V01;>7}#=1?1=6*:8582?x{zu2con7>5$371>ad<^;??6!42:3nh7[<:4;0xH73128q]=l852z&2f`5r}|N110<3s_8??7=tVd296~"20:0oo6*<3186<0=z^l;1>v*:82827c=#;::195;4}%7ev*:82827c=#;::195:4}%7ev*:828gf>"4;90>485r$4d;>7=z^8ho6?uYe181!3?;3nh7)=<0;7;1>{#=o21i6sr}:k107<72-8>>7<;2:T111<5sE8>:7?tV0c5>7}#9ko1>9<4V01;>7}#=1?1h6*:858g?x{zD;?>6{zu2c9>h4?:%066?45m2\9994={M062?7|^8k=6?u+1cg967c<^8936?u+5979`>"20=0o7psrL376>4}Q:=h1>vXj0;0x 0>42;8n7)=<0;7;1>{#=o21h6sr}:m2f2<72-8>>7?m7:9~f0?f29086=4?{%066?43n2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?7j3:1=7>50z&117<5=01C>984o0`4>5<#:<81=o94;|`6=a<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`6=`<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`6=c<72<0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:l;W306n5+5969g>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th>m=4?:683>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306;5+59692>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=n9=i1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>742d3_;847f=#=1>1o6sr}|9j501=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;n;W306n5+5969g>{zut1b=8l50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5`394?1=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484l;%7;0?e8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;a8 0>32j1vqps4i07a>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:b:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f0g5290<6=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5c:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1o6*:858`?x{zu2c:9o4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7<<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?0<,<2?6;5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;48 0>32?1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397m4$4:7>f=zutw0e<;8:18'604=9:l0D?;>;W060?7|D;?=6;6X>3981!3?=3i0(86;:b9~yx{8<512d8R73328qG>8851zTf6?4|,8hn6<;m;W306n5+5969g>{zut1b=8m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?h7[?<8;0x 0>22j1/95:5c:~yx=n9743c3_;847f=#=1>1o6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi94m50;:94?6|,;?96o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306<5+59695>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+59795>"20=0:7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0:7);74;38yx{z3`;>n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;38 0>3281vqps4i07`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?h7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm5g094?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`6b2<72?0;6=u+240956b<@;>=7)?90;d8m45a290/>8<512d8R73328qG>8851zT2e3<5s-;ii7?4=zutw0eil50;&117{M062?7|^8k=6?u+1cg9`g=Q9:21>v*:8482?!3?<3;0qpsr;hf`>5<#:<81hn5Y24696~J5=?0:w[?n6;0x 4db2mi0Z<=7:3y'1=3=;2.>494<;|~H7322=q]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;75:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=745a3-98<7;74:'1c>=12w]>9=53zTf4?4|,<286im4$213>0>23t\n=7ad<,:9;686:;|&6b=<53t\:ni4={Wg3>7}#=191hn5+32291=38<52508R7332;qG>8851zT2e3<5s-;ii7<;2:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:828107=#;::195;4}%7eh5+32291=38<51c58?xd2n:0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e=o>1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f0`229086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0f=zutw0c74d03_;8474=#=1>1=6sr}|9~f4b?29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e9mo1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0>7);74;78yx{z3th:h44?:283>5}#:<81>9h4H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=io50;394?6|,;?96?;6;I072>i6j>0;6)<:2;3a3>=zj8nh6=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0=7);74;48yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo?kd;297?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;5c:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<13-?38784}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo?kb;297?6=8r.99?4>b79K610;6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7dg83>7<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c3f1?6=:3:1N5h50;&117<6;o10c74d03_;8470=#=1>196sr}|9~f4c729086=4?{%066?43n2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<5=01C>984o0`4>5<#:<81=o94;|`2a6<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8473=#=1>1:6sr}|9j517=83.99?4>3g9K607<^;??6494>;|~y>{e9l>1<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{:6=4+240956`<^;??62wvqp5`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e9l81<7=50;2x 73528h=7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8o=6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=hm50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7ed83>7<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;78 0>32<1vqps4}c3f3?6=;3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`2a=<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm1dc94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f4ce29086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<03=zutw0c74d03_;8474=#=1>1=6sr}|9~f4c>29086=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=l2.>494k;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?17>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8l>6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?196*:8586?x{zu2wi=k>50;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7f583>6<729q/>8<5689K610;6=4+240956`<^;??62.>4949;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7f383>6<729q/>8<51c48L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm1g494?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`2bd<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg4793:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22<1/95:55:~yx=zj8l<6=4<:183!42:38?j6F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1=6*:8582?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{51;294~"5=;09945G2548k4d0290/>8<51c58?xd6n00;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd6nk0;684?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22m1/95:5d:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5d:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0a=zutw0c74d03_;8474=#=1>1=6sr}|9~f4`d29026=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=?2.>4948;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59793>"20=0<7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2\9994>{M062?7|^l81>v*>bd821g=Q9:21>v*:848g?!3?<3n0qpsr;h36g?6=,;?96<=i;W060?7|D;?=6o6X>3981!3?=3n0(86;:e9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59793>"20=0<7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;57:&6<1<03twvq6g>4b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1;6*:8584?x{zu2c:9:4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9<=0Z<=7:3y'1=3=l2.>494k;|~y>o6=h0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979`>"20=0o7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0o7);74;f8yx{z3`;>o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=j1]=>652z&6<0a=zutw0c74d03_;8474=#=1>1=6sr}|9~f4`b29026=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=?2.>4948;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9:5Y12:96~"20<0o7);74;f8yx{z3`;>m7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0a=zutw0e<;l:18'604=9:l0Z?;;:0yO600=9r\n>743d3_;847a=#=1>1h6sr}|9j50b=83.99?4>3g9K607<^;??6494>;|~y>{e9ol1<7750;2x 7352?30D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8<5Y12:96~"20<0<7);74;58yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;f8 0>32m1vqps4i074>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397j4$4:7>a=zutw0e<;n:18'604=9:l0D?;>;W060?7|D;?=6m6X>3981!3?=3n0(86;:e9~yx{8851zTf6?4|,8hn6<;l;W306i5+5969`>{zut1b=8j50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950b<^8936?u+5979`>"20=0o7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9<=4?:783>5}#:<81=o84H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1=6*:8582?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8482?!3?<3;0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3;0(86;:09~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a65>=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<23-?387;4}|~?xd58:0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e:9>1<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f76129086=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<13-?38784}|~?l7393:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:848`?!3?<3i0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1o6*:858`?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8485?!3?<3<0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0:n;5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th9<44?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59791>"20=0>7psr}:a65g=8391<7>t$371>72a3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f76e290:6=4?{%066?4212B98;5`1c594?"5=;0:n:54}c03`?6=;3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c03a?6=;3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;5c:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd58j0;6>4?:1y'604=9k<0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e:8:1<7:50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?b<,<2?6i5r}|8m42d290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306i5+5969`>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a647=83=1<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?b<,<2?6i5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;f8 0>32m1vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22m1/95:5d:~yx=n9<=1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2\9994>{M062?7|^l81>v*>bd821g=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{7>58;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??6494k;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979`>"20=0o7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0{M062?7|^l81>v*>bd821g=Q9:21>v*:848g?!3?<3n0qpsr;h36g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>484k;%7;0?b50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=l2.>494k;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0a=zutw0e<;m:18'604=9:l0D?;>;W060?7|D;?=6n6X>3981!3?=3n0(86;:e9~yx{8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn??;:186>5<7s-8>>7?m6:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?397?4$4:7>4=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi><850;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;78 0>32<1vqps4}c023?6=;3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`15=<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm20c94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm20`94?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397m4$4:7>f=zutw0c74d03_;8474=#=1>1=6sr}|9~f77>29086=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?77>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;8=6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?196*:8586?x{zu2wi>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn??i:182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8485?!3?<3<0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{7>53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8485?!3?<3<0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{53;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?76<729q/>8<5689K610;6=4+240956`<^;??62wvqp5f15394?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a673=83<1<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?0<,<2?6;5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;48 0>32?1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39784$4:7>3=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;847f=#=1>1o6sr}|9j50g=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1o6*:858`?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`165<72?0;6=u+24095g0<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59795>"20=0:7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0:7);74;38yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj;8<6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi>?j50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484:;%7;0?36<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm23;94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn?5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4849;%7;0?08<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn?5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4849;%7;0?0:7?tVd096~"6jl0:8<5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c01e?6=;3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`7b2<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg2aj3:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>22<1/95:55:~yx=zj=l36=4<:183!42:38?j6F=479j516=83.99?4>3g9K607<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?74<729q/>8<524;8L7213f;i;7>5$371>4d032wi8ko50;194?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:848`?!3?<3i0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3i0(86;:b9~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a140=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd29m0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3?0(86;:49~yx{53;294~"5=;098k5G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm50694?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn8?::180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn8?8:184>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?18<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;f8 0>32m1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22m1/95:5d:~yx=n9743f3_;847a=#=1>1h6sr}|9j50d=83.99?4>3g9K607<^;??6494>;|~y>{e=821<7950;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{:6=4+240956`<^;??68851zTf6?4|,8hn6<:l;W306:5+59693>{zut1b=8950;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22m1/95:5d:~yx=n9743f3_;847a=#=1>1h6sr}|9j50d=83.99?4>3g9K607<^;??6494>;|~y>{e=831<7950;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{:6=4+240956`<^;??68851zTf6?4|,8hn6<:l;W306i5+5969`>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979`>"20=0o7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;5d:&6<15c83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1h6*:858g?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`65d<728;1<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?1<,<2?6:5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306:5+59693>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59793>"20=0<7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0<7);74;58yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;58 0>32>1vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514a8R45?2;q/95;57:&6<1<03twvq6g>5e83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8j4V01;>7}#=1?1;6*:8584?x{zu2c:9h4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=94948;|~y>o6=o0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950`<^8936?u+5979`>"20=0o7psr}:k207<72-8>>7?1=vB=5782Sc52;q/=ok51508R45?2;q/95;5d:&6<14283>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9=4V01;>7}#=1?1h6*:858g?x{zu2c:894?:%066?74n2\9994>{M062?7|^l81>v*>bd8201=Q9:21>v*:848g?!3?<3n0qpsr;h371?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{=6=4+240956`<^;??6494>;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?747=83:p(?;=:7;8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;58 0>32>1vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22>1/95:57:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;57:&6<1<03twvq6g>5683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0<03-?38794}|~?l72i3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?39794$4:7>2=zutw0e<;m:18'604=9:l0Z?;;:0yO600=9r\n>743e3_;8472=#=1>1;6sr}|9j50e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8m4V01;>7}#=1?1;6*:8584?x{zu2c:9i4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=94948;|~y>o6=l0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950c<^8936?u+59793>"20=0<7psr}:k21c<72-8>>7?1=vB=5782Sc52;q/=ok514d8R45?2;q/95;5d:&6<14383>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9<4V01;>7}#=1?1h6*:858g?x{zu2c:8>4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=90Z<=7:3y'1=3=l2.>494k;|~y>o6<=0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>459U56>=:r.>484k;%7;0?b8<512d8R73328qG>8851zTf6?4|,8hn6<:9;W306<5+59695>{zut1b=9950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9511<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th>=n4?:783>5}#:<81=o84H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1=6*:8582?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8482?!3?<3;0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3;0(86;:09~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a106=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2=<0;6?4?:1y'604=k:1C>984i01e>5<#:<81=>h4;n3a3?6=,;?963981!3?=3?0(86;:49~yx{53;294~"5=;098k5G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm55g94?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn8:i:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?1=vB=5782Sc52;q/=ok51538R45?2;q/95;56:&6<1<13twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2=80;63981!3?=3=0(86;:69~yx{:6=4+240956`<^;??64948;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;m;W306:5+59693>{zut1b=8m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950e<^8936?u+59793>"20=0<7psr}:k21a<72-8>>7?1=vB=5782Sc52;q/=ok514f8R45?2;q/95;57:&6<1<03twvq6g>5d83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8k4V01;>7}#=1?1h6*:858g?x{zu2c:9k4?:%066?74n2\9994>{M062?7|^l81>v*>bd821c=Q9:21>v*:848g?!3?<3n0qpsr;h376?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>439U56>=:r.>484k;%7;0?b8<512d8R73328qG>8851zTf6?4|,8hn6<:;;W306<5+59695>{zut1b=9;50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9513<^8936?u+59795>"20=0:7psr}:k203<72-8>>7?:7?tVd096~"6jl0:8;5Y12:96~"20<0:7);74;38yx{z3`;?;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;373>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj1;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8484?!3?<3=0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{4948;|~y>o6=k0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5c9U56>=:r.>4848;%7;0?18<512d8L7363_8>87?tL375>4}Qm;09w)?me;36`>P6;109w);75;58 0>32>1vqps4i07f>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:e:T27=<5s-?39794$4:7>2=zutw0e<;i:18'604=9:l0D?;>;W060?7|D;?=6j6X>3981!3?=3n0(86;:e9~yx{96=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73:2\:?54={%7;1?b<,<2?6i5r}|8m424290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:<;W306i5+5969`>{zut1b=9:50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9512<^8936?u+59795>"20=0:7psr}:k200<72-8>>7?:7?tVd096~"6jl0:885Y12:96~"20<0:7);74;38yx{z3`;?:7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;372>P6;109w);75;38 0>3281vqps4i064>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28><7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm54194?76290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8484?!3?<3=0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{h6=4+240956`<^;??64948;|~y>o6=h0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;l;W306:5+59693>{zut1b=8j50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?o7[?<8;0x 0>22>1/95:57:~yx=n9743b3_;847a=#=1>1h6sr}|9j50`=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8h4V01;>7}#=1?1h6*:858g?x{zu2c:8?4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=80Z<=7:3y'1=3=l2.>494k;|~y>o6<:0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>429U56>=:r.>484k;%7;0?b8<512d8R73328qG>8851zTf6?4|,8hn6<::;W306<5+59695>{zut1b=9850;&117<6;o1]>8:51zN113<6s_o96?u+1cg9510<^8936?u+59795>"20=0:7psr}:k202<72-8>>7?:7?tVd096~"6jl0:8:5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c760?6=<3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{52;294~"5=;0h?6F=479j56`=83.99?4>3g98k4d0290/>8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn89l:181>5<7s-8>>7m<;I072>o6;o0;6)<:2;30b>=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;55:&6<1<23twvq6sm56;94?5=83:p(?;=:36e?M43>2c:8=4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?750z&117<5=01C>984o0`4>5<#:<81=o94;|`63g<72:0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3<0(86;:79~yx{:6=4+240956`<^;??6494>;|~y>{e<>:1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?;94?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo:86;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<4290;w)<:2;07b>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c646?6=93:16<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;a8 0>32j1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj==>6=49:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0o7);74;f8yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;f8 0>32m1vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22>1/95:57:~yx=n9<=1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<04=zutw0qo:l2;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a0f?=83<1<7>t$371>45c3A8?:6*>618e?l74n3:1(?;=:01e?S42<3;p@?;9:0yU5d0=:r.:nh4>3g9U56>=:r.>484>;%7;0?78:51zN113<6s_;j:7ad<^8936?u+59795>"20=0:7psr}:kgg?6=,;?96im4V377>7}K:<<1=vX>a781!7em3nh7[?<8;0x 0>22:1/95:53:~yI42=3>pZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:849~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?74n2.8?=4:859~ 0`?201vZ?:<:2yUa5<5s-?3?7jl;%104?3?=2w]i<4={%7;7?be3-98<7;75:'1c>=:2w]=oj52zTf4?4|,<286im4$213>0>23t.>j54j;|~?l43:3:1(?;=:361?S42<38p@?;9:0yU5d0=:r.:nh4=439U56>=:r.>484k;%7;0?b8;51zT10g<5s_o;6?u+5919614<,:9;686:;|&6b=652z&6<07}#=191>?k4$213>0>23t.>j54k;|~?j7e?3:1(?;=:0`4?>{em7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=i?6=4>:183!42:38>56F=479l5g1=83.99?4>b698yg2d=3:1?7>50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm4b594?5=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<03-?38794}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39794$4:7>2=zutw0c74d03_;8474=#=1>1=6sr}|9~f1ba29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?il4?:783>5}#:<81=>j4H365?!7183l0e<=i:18'604=9:l0Z?;;:0yO600=9r\:m;4={%3aa?74n2\:?54={%7;1?7<,<2?6<5r}|8mad=83.99?4kb:T111<6sE8>:7?tV0c5>7}#9ko1ho5Y12:96~"20<0:7);74;38yx{z3`nh6=4+2409`f=Q:<>1>vB=5782S7f>38p(ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?=2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286<=i;%104?3?<2w/9k659:U615=;r\n<7ae<,:9;686:;|Tf5?4|,<286il4$213>0>23t.>j54=;|T2fa<5s_o;6?u+5919`f=#;::195;4}%7e8<523g8R7332;qG>8851zT2e3<5s-;ii7<=e:T27=<5s-?397j4$4:7>a=zutF9984>{W07f?4|^l:1>v*:82816`=#;::195;4}%7e6<729q/>8<525d8L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm4d394?7=83:p(?;=:37:?M43>2e:n:4?:%066?7e?21vn9k=:180>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484l;%7;0?e8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn9k;:18:>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484k;%7;0?b8<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306i5+5969`>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+59793>"20=0<7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0<7);74;58yx{z3`;>n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;f8 0>32m1vqps4i07`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?h7[?<8;0x 0>22m1/95:5d:~yx=n91=vB=5782Sc52;q/=ok514f8R45?2;q/95;5d:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd3m<0;644?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22m1/95:5d:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5d:&6<14b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<02=zutw0e<;n:18'604=9:l0D?;>;W060?7|D;?=6m6X>3981!3?=3=0(86;:69~yx{8<512d8R73328qG>8851zTf6?4|,8hn6<;l;W306i5+5969`>{zut1b=8j50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950b<^8936?u+5979`>"20=0o7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?i;4?:883>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306i5+5969`>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+5979`>"20=0o7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;58 0>32>1vqps4i07b>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?39794$4:7>2=zutw0e<;m:18'604=9:l0D?;>;W060?7|D;?=6n6X>3981!3?=3n0(86;:e9~yx{494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?7<<729q/>8<5689K610;6=4+240956`<^;??6494k;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484k;%7;0?b8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;58 0>32>1vqps4i07a>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:b:T27=<5s-?397j4$4:7>a=zutw0e<;l:18'604=9:l0Z?;;:0yO600=9r\n>743d3_;847a=#=1>1h6sr}|9j50b=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8j4V01;>7}#=1?1h6*:858g?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`7a=<72<0;6=u+24095g0<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59795>"20=0:7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c6f`?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f1`329096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3==2.>494:;|~y>{em7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj=om6=4>:183!42:38>56F=479l5g1=83.99?4>b698yg2a93:187>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=>2.>4949;|~y>o6<80;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59792>"20=0=7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0=7);74;48yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c6e6?6==3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59792>"20=0=7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0=7);74;48yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0<13-?38784}|~?l72?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?39784$4:7>3=zutw0c74d03_;8474=#=1>1=6sr}|9~f1`4290>6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<13-?38784}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39784$4:7>3=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3<0(86;:79~yx{2wvqp5`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3=92.>494>;|~y>{e>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm1``94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`2ef<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg7fl3:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8h:6=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?196*:8586?x{zu2wi=lk50;194?6|,;?96?:i;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=51;294~"5=;09945G2548k4d0290/>8<51c58?xd6j;0;6>4?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397:4$4:7>1=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3>0(86;:59~yx{5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`bN5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~fd`=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<23-?387;4}|~?xdf=3:1?7>50z&117<5984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xdf>3:1=7>50z&117<5=01C>984o0`4>5<#:<81=o94;|`b3?6=;3:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}cc:>5<1290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8484?!3?<3=0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0{M062?7|^l81>v*>bd821d=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0{M062?7|^l81>v*>bd820f=Q9:21>v*:848g?!3?<3n0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}cca>5<1290;w)<:2;4:?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8484?!3?<3=0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0{M062?7|^l81>v*>bd821d=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=?2.>4948;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979`>"20=0o7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;5d:&6<15c83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0{M062?7|^l81>v*>bd821f=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:848g?!3?<3n0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b:7?tVd096~"6jl0:9l5Y12:96~"20<0o7);74;f8yx{z3`;>n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;f8 0>32m1vqps4i07`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:c:T27=<5s-?397j4$4:7>a=zutw0c74d03_;8474=#=1>1=6sr}|9~fdc=83<1<7>t$371>4d13A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<63-?387?4}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?397?4$4:7>4=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;8474=#=1>1=6sr}|9j501=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1=6*:8582?x{zu2c:9l4?:%066?74n2\9994>{M062?7|^l81>v*>bd821d=Q9:21>v*:8482?!3?<3;0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2win:4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397;4$4:7>0=zutw0qol;:180>5<7s-8>>7<;f:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qol::182>5<7s-8>>7<:9:J103=h9k=1<7*=5382f2=>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0=7);74;48yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;48 0>32?1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj==26=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi:54?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo:70;292?6=8r.99?4>3e9K610<,8<;6<>4i01e>5<#:<81=>h4V377>4}K:<<1=vX>a781!7em3;8j6X>3981!3?=3;0(86;:09~yx{P5==0:wA<:6;3xR4g12;q/=ok5dc9U56>=:r.>484>;%7;0?78:52zN113<6s_;j:7ae<^8936?u+59797>"20=087psrL376>1}Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?396s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:01e?!5483?386s+5g:9=>{Q:=91?vXj0;0x 0>42mi0(>=?:4:6?xPb938p(86<:e`8 6572<2>7p*:f981?xP6jm09w[k?:3y'1=5=lj1/?>>55978y!3a03o0qps4i361>5<#:<81>9<4V377>7}K:<<1=vX>a781!7em38?>6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=439'766==1?0q);i8;f8yx{P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5:l1/?>>55978y!3a03n0qps4o0`4>5<#:<81=o94;|`73d<72:0;6=u+240961`<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj==i6=4>:183!42:38>56F=479l5g1=83.99?4>b698yg20l3:1?7>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=k2.>494l;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484l;%7;0?e50z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306n5+5969g>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22j1/95:5c:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm46a94?5=83:p(?;=:0`5?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:ag7<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8yg2>i3:1:7>50z&117<6;m1C>984$043>46P6;109w);75;38 0>3281vqps4ie`94?"5=;0on6X=5582I42>3;pZ652z&6<0<63-?387?4}|~?lbd290/>8<5db9U602=:rF99;4>{W3b2?4|,8hn6im4V01;>7}#=1?1?6*:8580?x{zD;?>69uY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>4289m7)=<0;7;1>{#=o2156sY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>4289m7)=<0;7;0>{#=o2156sY25197~Pb838p(86<:ea8 6572<2>7pXj1;0x 0>42mh0(>=?:4:6?x"2n1097pX>be81Sc72;q/95=5db9'766==1?0q);i8;g8yx{96=4+2409614<^;??6?uC24495~P6i?09w)?me;076>P6;109w);75;f8 0>32m1vqpB=5482S43j38pZh>52z&6<6<5<;1/?>>55978y!3a03n0qps4i30f>5<#:<81>?k4V377>7}K:<<1=vX>a781!7em389i6X>3981!3?=3n0(86;:e9~yxJ5=<0:w[<;b;0xR`6=:r.>4>4=2d9'766==1?0q);i8;f8yx{5}#:<81>9h4H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{5<6290;w)<:2;06=>N510qo:67;290?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;847f=#=1>1o6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1o6*:858`?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=k2.>494l;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?71<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?e<,<2?6n5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306n5+5969g>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979g>"20=0h7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th?5;4?:283>5}#:<81=o84H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi9?>50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;78 0>32<1vqps4}c715?6=;3:1;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{e=;81<7?50;2x 7352;?27E<;6:m2f2<72-8>>7?m7:9~f044290>6=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1:6*:8585?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=>2.>4949;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59792>"20=0=7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0h7);74;a8yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c713?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f04e29096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3==2.>494:;|~y>{e=;21<7=50;2x 7352;>m7E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;51:&6<1<63twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo;=9;295?6=8r.99?4=589K610>l4?:483>5}#:<81:45G2548m427290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;48 0>32?1vqps4i062>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39784$4:7>3=zutw0e<:l:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3<0(86;:79~yx{494>;|~y>{e=:n1<7<50;2x 7352j90D?:9;h30b?6=,;?96<=i;:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th>8>4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo;;9;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<4290;w)<:2;07b>N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd2;o0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?96t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a114=83?1<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0=7);74;48yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;48 0>32?1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39784$4:7>3=zutw0e<;8:18'604=9:l0D?;>;W060?7|D;?=6;6X>3981!3?=3<0(86;:79~yx{5<4290;w)<:2;3a2>N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59795>"20=0:7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0:7);74;38yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c770?6=<3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22>1/95:57:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;8472=#=1>1;6sr}|9j51e=83.99?4>3g9K607<^;??6494>;|~y>{e==?1<7:50;2x 7352?30D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8<5Y12:96~"20<0<7);74;58yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0<03-?38794}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo;;6;290?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;8472=#=1>1;6sr}|9j517=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:l;W306:5+59693>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a111=83>1<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39794$4:7>2=zutw0c74d03_;8474=#=1>1=6sr}|9~f02?290>6=4?{%066?7e>2B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=92.>494>;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a`7<72;0;6=u+2409g6=O:=<0e<=i:18'604=9:l07b?m7;29 73528h<7[<:4;3xH73128q]i?4={%3aa?7e?2\:?54={%7;1?7<,<2?6<5r}|8ygb129096=4?{%066?e43A8?:6g>3g83>!42:3;8j65`1c594?"5=;0:n:5Y24695~J5=?0:w[k=:3y'5gc=9k=0Z<=7:3y'1=3==2.>494:;|~y>{el:0;6>4?:1y'604=:=l0D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{:6=4+240956`<^;??6494>;|~y>{el=0;6<4?:1y'604=:<30D?:9;n3a3?6=,;?96=7b?m7;29 73528h<76smce83>7<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}cf2>5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59791>"20=0>7psr}:ag`<72:0;6=u+240961`<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:agc<7280;6=u+240960?<@;>=7b?m7;29 73528h<76smd183>4<729q/>8<51c78L7213f;i;7>5$371>4d032wi9?k50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?77<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;78 0>32<1vqps4}c71b?6=;3:174273_;8474=#=1>1=6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`675<7280;6=u+240960?<@;>=7b?m7;29 73528h<76sm52394?7=83:p(?;=:0`6?M43>2e:n:4?:%066?7e?21vnh;50;094?6|,;?96n=4H365?l74n3:1(?;=:01e?>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?71=vB=5782Sc52;q/=ok51528R45?2;q/95;5b:&6<14083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qok8:18:>5<7s-8>>786;I072>o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?18<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;58 0>32>1vqps4i074>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?39794$4:7>2=zutw0e<;n:18'604=9:l0D?;>;W060?7|D;?=6m6X>3981!3?=3n0(86;:e9~yx{8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;f8 0>32m1vqps4i07g>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?o7[?<8;0x 0>22m1/95:5d:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sme983><<729q/>8<5689K610;6=4+240956`<^;??64948;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59793>"20=0<7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0{M062?7|^l81>v*>bd821g=Q9:21>v*:848g?!3?<3n0qpsr;h36g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>484k;%7;0?b8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vnh750;;94?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39794$4:7>2=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8472=#=1>1;6sr}|9j51e=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;8;W306:5+59693>{zut1b=8o50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?j7[?<8;0x 0>22m1/95:5d:~yx=n9743e3_;847a=#=1>1h6sr}|9j50e=83.99?4>3g9K607<^;??6494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?71=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8484?!3?<3=0qpsr;h363?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;f8 0>32m1vqps4i07`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:c:T27=<5s-?397j4$4:7>a=zutw0e<;k:18'604=9:l0Z?;;:0yO600=9r\n>743c3_;847a=#=1>1h6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wiio4?:0394?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39794$4:7>2=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8472=#=1>1;6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1;6*:8584?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8484?!3?<3=0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3=0(86;:69~yx{4948;|~y>o6=m0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950b<^8936?u+59793>"20=0<7psr}:k21`<72-8>>7?1=vB=5782Sc52;q/=ok514g8R45?2;q/95;57:&6<1<03twvq6g>5g83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8h4V01;>7}#=1?1h6*:858g?x{zu2c:8?4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=80Z<=7:3y'1=3=l2.>494k;|~y>o6<:0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9515<^8936?u+5979`>"20=0o7psr}:k201<72-8>>7?:7?tVd096~"6jl0:895Y12:96~"20<0o7);74;f8yx{z3`;?97>5$371>45a3_8>87?tL375>4}Qm;09w)?me;371>P6;109w);75;f8 0>32m1vqps4i065>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>=7[?<8;0x 0>2281/95:51:~yx=n9==1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51558R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xdbk3:1;7>50z&117<6j?1C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>2281/95:51:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;51:&6<1<63twvq6g>4b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?l72?3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:7:T27=<5s-?397?4$4:7>4=zutw0e<;n:18'604=9:l0Z?;;:0yO600=9r\n>743f3_;8474=#=1>1=6sr}|9j50d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8l4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`f`?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~f`c=83;:6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<03-?38794}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39794$4:7>2=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;8472=#=1>1;6sr}|9j501=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1;6*:8584?x{zu2c:9l4?:%066?74n2\9994>{M062?7|^l81>v*>bd821d=Q9:21>v*:8484?!3?<3=0qpsr;h36f?6=,;?96<=i;W060?7|D;?=6n6X>3981!3?=3=0(86;:69~yx{8851zTf6?4|,8hn6<;k;W306:5+59693>{zut1b=8k50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?n7[?<8;0x 0>22m1/95:5d:~yx=n91=vB=5782Sc52;q/=ok514d8R45?2;q/95;5d:&6<14383>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9<4V01;>7}#=1?1h6*:858g?x{zu2c:8>4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=90Z<=7:3y'1=3=l2.>494k;|~y>o6<=0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>459U56>=:r.>484k;%7;0?b8<512d8R73328qG>8851zTf6?4|,8hn6<:9;W306<5+59695>{zut1b=9950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9511<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3thnj7>51083>5}#:<81:45G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306:5+59693>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59793>"20=0<7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0<7);74;58yx{z3`;>;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;58 0>32>1vqps4i07b>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?j7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514`8R45?2;q/95;57:&6<1<03twvq6g>5b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=j1]=>652z&6<0<03-?38794}|~?l72l3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd821a=Q9:21>v*:8484?!3?<3=0qpsr;h36a?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5d9U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:9k5Y12:96~"20<0o7);74;f8yx{z3`;?>7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<;1]=>652z&6<0{M062?7|^l81>v*>bd8206=Q9:21>v*:848g?!3?<3n0qpsr;h370?6=,;?96<=i;W060?7|D;?=63981!3?=3n0(86;:e9~yx{>6=4+240956`<^;??6494>;|~y>o6<>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>469U56>=:r.>484>;%7;0?750z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306:5+59693>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59793>"20=0<7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0<7);74;58yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;58 0>32>1vqps4i07g>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:d:T27=<5s-?39794$4:7>2=zutw0e<;j:18'604=9:l0D?;>;W060?7|D;?=6i6X>3981!3?=3n0(86;:e9~yx{8851zTf6?4|,8hn6<:=;W306i5+5969`>{zut1b=9=50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>87[?<8;0x 0>22m1/95:5d:~yx=n9=>1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51568R45?2;q/95;5d:&6<14483>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<<1]=>652z&6<03:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;6:T27=<5s-?397?4$4:7>4=zutw0e<:8:18'604=9:l0Z?;;:0yO600=9r\n>74203_;8474=#=1>1=6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wij<4?:583>5}#:<81=o84H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1=6*:8582?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`e6?6=:3:1N5h50;&117<6;o10c74d03_;8474=#=1>1=6sr}|9~fc5=838:6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<03-?38794}|~?l7393:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;1:T27=<5s-?39794$4:7>2=zutw0e<:l:18'604=9:l0Z?;;:0yO600=9r\n>742d3_;8472=#=1>1;6sr}|9j501=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=894V01;>7}#=1?1;6*:8584?x{zu2c:9l4?:%066?74n2\9994>{M062?7|^l81>v*>bd821d=Q9:21>v*:8484?!3?<3=0qpsr;h36f?6=,;?96<=i;W060?7|D;?=6n6X>3981!3?=3=0(86;:69~yx{4948;|~y>o6=l0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5d9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<:=;W306:5+59693>{zut1b=9=50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9515<^8936?u+59793>"20=0<7psr}:k201<72-8>>7?:7?tVd096~"6jl0:895Y12:96~"20<0<7);74;58yx{z3`;?97>5$371>45a3_8>87?tL375>4}Qm;09w)?me;371>P6;109w);75;58 0>32>1vqps4i065>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>=7[?<8;0x 0>22>1/95:57:~yx=n9==1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51558R45?2;q/95;57:&6<1<03twvq6g>4983>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<11]=>652z&6<0a=zutw0e<:n:18'604=9:l0Z?;;:0yO600=9r\n>742f3_;8474=#=1>1=6sr}|9j51d=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9l4V01;>7}#=1?1=6*:8582?x{zu2c:8i4?:%066?74n2\9994>{M062?7|^l81>v*>bd820a=Q9:21>v*:8482?!3?<3;0qpsr;h37a?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{m6=4+240956`<^;??6494>;|~y>o6=80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>509U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;<;W306<5+59695>{zut1b=8:50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9502<^8936?u+59795>"20=0:7psr}:k210<72-8>>7?:7?tVd096~"6jl0:985Y12:96~"20<0:7);74;38yx{z3`;>:7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;362>P6;109w);75;38 0>3281vqps4i07;>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?37[?<8;0x 0>2281/95:51:~yx=n9<31<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok514;8R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xda<3:1>7>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zjo?1<7=50;2x 7352?30D?:9;h374?6=,;?96<=i;W060?7|D;?=63981!3?=3h0(86;:c9~yx{:6=4+240956`<^;??6494>;|~y>{en?0;6:4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22>1/95:57:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;57:&6<1<03twvq6g>4b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0{M062?7|^l81>v*>bd8212=Q9:21>v*:848g?!3?<3n0qpsr;h36e?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484k;%7;0?b8<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vnk950;:94?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8484?!3?<3=0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8n5Y12:96~"20<0<7);74;58yx{z3`;>;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;f8 0>32m1vqps4i07b>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397j4$4:7>a=zutw0e<;m:18'604=9:l0D?;>;W060?7|D;?=6n6X>3981!3?=3n0(86;:e9~yx{494>;|~y>{en10;654?:1y'604=>01C>984i063>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39794$4:7>2=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3=0(86;:69~yx{h6=4+240956`<^;??6494k;|~y>o6=h0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg950g<^8936?u+5979`>"20=0o7psr}:k21g<72-8>>7?1=vB=5782Sc52;q/=ok514`8R45?2;q/95;5d:&6<15b83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=j1]=>652z&6<04=zutw0qoh6:1825?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39794$4:7>2=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;8472=#=1>1;6sr}|9j50g=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1;6*:8584?x{zu2c:9o4?:%066?74n2\9994>{M062?7|^l81>v*>bd821g=Q9:21>v*:8484?!3?<3=0qpsr;h36g?6=,;?96<=i;W060?7|D;?=6o6X>3981!3?=3=0(86;:69~yx{8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36a>P6;109w);75;58 0>32>1vqps4i07e>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?m7[?<8;0x 0>22m1/95:5d:~yx=n9=81<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74253_;847a=#=1>1h6sr}|9j515=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:;;W306i5+5969`>{zut1b=9;50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9513<^8936?u+5979`>"20=0o7psr}:k203<72-8>>7?:7?tVd096~"6jl0:8;5Y12:96~"20<0:7);74;38yx{z3`;?;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;373>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zjok1<7?>:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;58 0>32>1vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22>1/95:57:~yx=n9<=1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51458R45?2;q/95;57:&6<1<03twvq6g>5`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0<03-?38794}|~?l72j3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:b:T27=<5s-?39794$4:7>2=zutw0e<;l:18'604=9:l0Z?;;:0yO600=9r\n>743d3_;8472=#=1>1;6sr}|9j50b=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<;j;W306:5+59693>{zut1b=8h50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950`<^8936?u+5979`>"20=0o7psr}:k207<72-8>>7?1=vB=5782Sc52;q/=ok51508R45?2;q/95;5d:&6<14283>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9=4V01;>7}#=1?1h6*:858g?x{zu2c:894?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=>0Z<=7:3y'1=3=l2.>494k;|~y>o6<<0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>449U56>=:r.>484k;%7;0?b2\:?54={%7;1?7<,<2?6<5r}|8m420290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:8;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:abg<72>0;6=u+24095g0<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?7<,<2?6<5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306<5+59695>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+59795>"20=0:7psr}:k212<72-8>>7?:7?tVd096~"6jl0:9:5Y12:96~"20<0:7);74;38yx{z3`;>m7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;38 0>3281vqps4i07a>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?i7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6smfb83>7<729q/>8<5c29K6105$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}cdg>5<693:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59793>"20=0<7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0<7);74;58yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;58 0>32>1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514c8R45?2;q/95;57:&6<1<03twvq6g>5c83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0<03-?38794}|~?l72k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:c:T27=<5s-?39794$4:7>2=zutw0e<;k:18'604=9:l0D?;>;W060?7|D;?=6h6X>3981!3?=3=0(86;:69~yx{494k;|~y>o6<;0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9514<^8936?u+5979`>"20=0o7psr}:k206<72-8>>7?1=vB=5782Sc52;q/=ok51518R45?2;q/95;5d:&6<14583>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9:4V01;>7}#=1?1h6*:858g?x{zu2c:884?:%066?74n2\9994>{M062?7|^l81>v*>bd8200=Q9:21>v*:848g?!3?<3n0qpsr;h372?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{<6=4+240956`<^;??6494>;|~y>{enl0;63981!3?=3=0(86;:69~yx{:6=4+240956`<^;??64948;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;m;W306:5+59693>{zut1b=8m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950e<^8936?u+59793>"20=0<7psr}:k21a<72-8>>7?1=vB=5782Sc52;q/=ok514f8R45?2;q/95;57:&6<1<03twvq6g>5d83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=l1]=>652z&6<0a=zutw0e<:=:18'604=9:l0D?;>;W060?7|D;?=66X>3981!3?=3n0(86;:e9~yx{86=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73;2\:?54={%7;1?b<,<2?6i5r}|8m423290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;370>P6;109w);75;f8 0>32m1vqps4i066>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>>7[?<8;0x 0>22m1/95:5d:~yx=n9=<1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51548R45?2;q/95;51:&6<1<63twvq6g>4683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<>1]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qohi:1815?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39794$4:7>2=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;8472=#=1>1;6sr}|9j50g=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1;6*:8584?x{zu2c:9o4?:%066?74n2\9994>{M062?7|^l81>v*>bd821g=Q9:21>v*:8484?!3?<3=0qpsr;h36g?6=,;?96<=i;W060?7|D;?=6o6X>3981!3?=3=0(86;:69~yx{4948;|~y>o6=o0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5g9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<:<;W306:5+59693>{zut1b=9:50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9512<^8936?u+59793>"20=0<7psr}:k200<72-8>>7?:7?tVd096~"6jl0:885Y12:96~"20<0<7);74;58yx{z3`;?:7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;372>P6;109w);75;58 0>32>1vqps4i064>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28><7[?<8;0x 0>22>1/95:57:~yx=n9=21<7*=53827c=O:<;0Z?;;:0yO600=9r\n>742?3_;8472=#=1>1;6sr}|9j51?=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=974V01;>7}#=1?1h6*:858g?x{zu2c:8l4?:%066?74n2\9994>{M062?7|^l81>v*>bd820d=Q9:21>v*:8482?!3?<3;0qpsr;h37f?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{o6=4+240956`<^;??6494>;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4g9U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;>;W306<5+59695>{zut1b=8<50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9504<^8936?u+59795>"20=0:7psr}:k216<72-8>>7?:7?tVd096~"6jl0:9>5Y12:96~"20<0:7);74;38yx{z3`;>87>5$371>45a3_8>87?tL375>4}Qm;09w)?me;360>P6;109w);75;38 0>3281vqps4i076>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?>7[?<8;0x 0>2281/95:51:~yx=n9<<1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51448R45?2;q/95;51:&6<1<63twvq6g>5983>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=11]=>652z&6<0<63-?387?4}|~?l7213:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:9:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~f4672909=7>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306:5+59693>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59793>"20=0<7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0<7);74;58yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;58 0>32>1vqps4i07g>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?o7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514g8R45?2;q/95;57:&6<1<03twvq6g>5g83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=o1]=>652z&6<0<03-?38794}|~?l73:3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;2:T27=<5s-?39794$4:7>2=zutw0e<:<:18'604=9:l0Z?;;:0yO600=9r\n>74243_;8472=#=1>1;6sr}|9j512=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9:4V01;>7}#=1?1;6*:8584?x{zu2c:884?:%066?74n2\9994>{M062?7|^l81>v*>bd8200=Q9:21>v*:8484?!3?<3=0qpsr;h372?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{<6=4+240956`<^;??68851zTf6?4|,8hn6<:7;W306:5+59693>{zut1b=9750;&117<6;o1]>8:51zN113<6s_o96?u+1cg951?<^8936?u+5979`>"20=0o7psr}:k20d<72-8>>7?:7?tVd096~"6jl0:8l5Y12:96~"20<0:7);74;38yx{z3`;?n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37f>P6;109w);75;38 0>3281vqps4i06g>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>o7[?<8;0x 0>2281/95:51:~yx=n9=o1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515g8R45?2;q/95;51:&6<1<63twvq6g>4g83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?l7283:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:0:T27=<5s-?397?4$4:7>4=zutw0e<;>:18'604=9:l0Z?;;:0yO600=9r\n>74363_;8474=#=1>1=6sr}|9j504=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8<4V01;>7}#=1?1=6*:8582?x{zu2c:9>4?:%066?74n2\9994>{M062?7|^l81>v*>bd8216=Q9:21>v*:8482?!3?<3;0qpsr;h360?6=,;?96<=i;W060?7|D;?=686X>3981!3?=3;0(86;:09~yx{6=4+240956`<^;??6494>;|~y>o6=10;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>599U56>=:r.>484>;%7;0?78<51c58R73328qG>8851zTf6?4|,8hn66<5+59695>{zut1vn<>>:1815?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39794$4:7>2=zutw0e<;8:18'604=9:l0Z?;;:0yO600=9r\n>74303_;8472=#=1>1;6sr}|9j50g=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1;6*:8584?x{zu2c:9o4?:%066?74n2\9994>{M062?7|^l81>v*>bd821g=Q9:21>v*:8484?!3?<3=0qpsr;h36g?6=,;?96<=i;W060?7|D;?=6o6X>3981!3?=3=0(86;:69~yx{4948;|~y>o6=o0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5g9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<:<;W306:5+59693>{zut1b=9:50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9512<^8936?u+59793>"20=0<7psr}:k200<72-8>>7?:7?tVd096~"6jl0:885Y12:96~"20<0<7);74;58yx{z3`;?:7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;372>P6;109w);75;58 0>32>1vqps4i064>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28><7[?<8;0x 0>22>1/95:57:~yx=n9=21<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515:8R45?2;q/95;5d:&6<14883>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<01]=>652z&6<04=zutw0e<:m:18'604=9:l0Z?;;:0yO600=9r\n>742e3_;8474=#=1>1=6sr}|9j51b=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9j4V01;>7}#=1?1=6*:8582?x{zu2c:8h4?:%066?74n2\9994>{M062?7|^l81>v*>bd820`=Q9:21>v*:8482?!3?<3;0qpsr;h37b?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{494>;|~y>o6=;0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>539U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;;;W306<5+59695>{zut1b=8;50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9503<^8936?u+59795>"20=0:7psr}:k213<72-8>>7?:7?tVd096~"6jl0:9;5Y12:96~"20<0:7);74;38yx{z3`;>47>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36<>P6;109w);75;38 0>3281vqps4i07:>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?27[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm11094?0=83:p(?;=:0`5?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{h6=4+240956`<^;??6494>;|~y>o6=h0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484>;%7;0?77>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8:?6=4<:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<04=zutw0qo??5;291?6=8r.99?499:J103=n9=:1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1h6*:858g?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=l2.>494k;|~y>o6=>0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>569U56>=:r.>484k;%7;0?b3:1:7>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8n5Y12:96~"20<0o7);74;f8yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0a=zutw0c74d03_;8474=#=1>1=6sr}|9~f4602909=7>50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306:5+59693>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59793>"20=0<7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0<7);74;58yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;58 0>32>1vqps4i07g>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?o7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514g8R45?2;q/95;57:&6<1<03twvq6g>5g83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=o1]=>652z&6<0<03-?38794}|~?l73:3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;2:T27=<5s-?39794$4:7>2=zutw0e<:<:18'604=9:l0Z?;;:0yO600=9r\n>74243_;8472=#=1>1;6sr}|9j512=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9:4V01;>7}#=1?1;6*:8584?x{zu2c:884?:%066?74n2\9994>{M062?7|^l81>v*>bd8200=Q9:21>v*:8484?!3?<3=0qpsr;h372?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{<6=4+240956`<^;??6494k;|~y>o6<00;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>489U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<:m;W306<5+59695>{zut1b=9j50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951b<^8936?u+59795>"20=0:7psr}:k20`<72-8>>7?:7?tVd096~"6jl0:8h5Y12:96~"20<0:7);74;38yx{z3`;?j7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37b>P6;109w);75;38 0>3281vqps4i073>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?;7[?<8;0x 0>2281/95:51:~yx=n9<;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51438R45?2;q/95;51:&6<1<63twvq6g>5383>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=;1]=>652z&6<0<63-?387?4}|~?l72;3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:3:T27=<5s-?397?4$4:7>4=zutw0e<;;:18'604=9:l0Z?;;:0yO600=9r\n>74333_;8474=#=1>1=6sr}|9j503=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8;4V01;>7}#=1?1=6*:8582?x{zu2c:9;4?:%066?74n2\9994>{M062?7|^l81>v*>bd8213=Q9:21>v*:8482?!3?<3;0qpsr;h3646X>3981!3?=3;0(86;:09~yx{494>;|~y>{e9921<7<>:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;58 0>32>1vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22>1/95:57:~yx=n9<=1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51458R45?2;q/95;57:&6<1<03twvq6g>5`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0<03-?38794}|~?l72j3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:b:T27=<5s-?39794$4:7>2=zutw0e<;l:18'604=9:l0Z?;;:0yO600=9r\n>743d3_;8472=#=1>1;6sr}|9j50b=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8j4V01;>7}#=1?1;6*:8584?x{zu2c:9h4?:%066?74n2\9994>{M062?7|^l81>v*>bd821`=Q9:21>v*:8484?!3?<3=0qpsr;h36b?6=,;?96<=i;W060?7|D;?=6j6X>3981!3?=3=0(86;:69~yx{96=4+240956`<^;??64948;|~y>o6<=0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>459U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<:9;W306:5+59693>{zut1b=9950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9511<^8936?u+59793>"20=0<7psr}:k20=<72-8>>7?:7?tVd096~"6jl0:855Y12:96~"20<0o7);74;f8yx{z3`;?57>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37=>P6;109w);75;38 0>3281vqps4i06b>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>j7[?<8;0x 0>2281/95:51:~yx=n9=h1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515`8R45?2;q/95;51:&6<1<63twvq6g>4e83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6652z&6<0<63-?387?4}|~?l73m3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;e:T27=<5s-?397?4$4:7>4=zutw0e<:i:18'604=9:l0Z?;;:0yO600=9r\n>742a3_;8474=#=1>1=6sr}|9j506=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8>4V01;>7}#=1?1=6*:8582?x{zu2c:9<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8214=Q9:21>v*:8482?!3?<3;0qpsr;h366?6=,;?96<=i;W060?7|D;?=6>6X>3981!3?=3;0(86;:09~yx{0Z<=7:3y'1=3=92.>494>;|~y>o6=<0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>549U56>=:r.>484>;%7;0?72\:?54={%7;1?7<,<2?6<5r}|8m43?290/>8<512d8R73328qG>8851zTf6?4|,8hn6<;7;W306<5+59695>{zut1b=8750;&117<6;o1]>8:51zN113<6s_o96?u+1cg950?<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th:<44?:783>5}#:<81=o84H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?397?4$4:7>4=zutw0e<:>:18'604=9:l0Z?;;:0yO600=9r\n>74263_;8474=#=1>1=6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1=6*:8582?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:8482?!3?<3;0qpsr;h36e?6=,;?96<=i;W060?7|D;?=6m6X>3981!3?=3;0(86;:09~yx{5<5290;w)<:2;a0?M43>2c:?k4?:%066?74n21d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a55d=8391<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?d<,<2?6o5r}|8m426290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:>;W306o5+5969f>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a55e=8391<7>t$371>3?<@;>=7d?;0;29 735289m7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?1<,<2?6:5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;f8 0>32m1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8:o6=4;:183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=?2.>4948;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?70d83>1<729q/>8<5689K610;6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7382\:?54={%7;1?1<,<2?6:5r}|8m426290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;58 0>32>1vqps4i06`>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?;c:T27=<5s-?39794$4:7>2=zutw0c74d03_;8474=#=1>1=6sr}|9~f46a290?6=4?{%066?0>3A8?:6g>4183>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=?2.>4948;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>4848;%7;0?150z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306:5+59693>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22>1/95:57:~yx=n9=i1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>742d3_;847a=#=1>1h6sr}|9l5g1=83.99?4>b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=o6<90;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<:l;W306<5+59695>{zut1b=8950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9501<^8936?u+59795>"20=0:7psr}:k21d<72-8>>7?:7?tVd096~"6jl0:9l5Y12:96~"20<0:7);74;38yx{z3`;>n7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36f>P6;109w);75;38 0>3281vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8;96=4=:183!42:3i87E<;6:k27c<72-8>>7?b69U602=9rF99;4>{Wg1>7}#9ko1=o94V01;>7}#=1?1=6*:8582?x{zu2wi=<=50;694?6|,;?96;74H365?l7383:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8484?!3?<3=0qpsr;h375?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8n5Y12:96~"20<0<7);74;58yx{z3f;i;7>5$371>4d03_8>87?tL375>4}Qm;09w)?me;3a3>P6;109w);75;38 0>3281vqps4}c320?6=<3:1N550;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22>1/95:57:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;8472=#=1>1;6sr}|9j51e=83.99?4>3g9K607<^;??6494>;|~y>{e98?1<7:50;2x 7352?30D?:9;h374?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>419U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8<5Y12:96~"20<0<7);74;58yx{z3`;?o7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6652z&6<0<03-?38794}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo?>6;290?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;8472=#=1>1;6sr}|9j517=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:l;W306:5+59693>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a541=83>1<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8484?!3?<3=0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0:n;5G2548m427290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:?;W306<5+59695>{zut1b=9?50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9517<^8936?u+59795>"20=0:7psr}:k20f<72-8>>7?:7?tVd096~"6jl0:8n5Y12:96~"20<0:7);74;38yx{z3`;>;7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;363>P6;109w);75;38 0>3281vqps4i07b>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?j7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm10;94?4=83:p(?;=:b18L7213`;8j7>5$371>45a32e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`25d<72?0;6=u+24092<=O:=<0e<:?:18'604=9:l0Z?;;:0yO600=9r\n>74273_;8472=#=1>1;6sr}|9j517=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=?2.>4948;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979`>"20=0o7psr}:k21d<72-8>>7?1=vB=5782Sc52;q/=ok514c8R45?2;q/95;5d:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd69k0;6;4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22>1/95:57:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;57:&6<1<03twvq6g>4b83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1h6*:858g?x{zu2c:9:4?:%066?74n2\9994>{M062?7|^l81>v*>bd8212=Q9:21>v*:848g?!3?<3n0qpsr;h36e?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484k;%7;0?b50z&117<112B98;5f15294?"5=;0:?k5Y24695~J5=?0:w[k=:3y'5gc=9=:0Z<=7:3y'1=3=?2.>4948;|~y>o6<80;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>409U56>=:r.>4848;%7;0?1:7?tVd096~"6jl0:8n5Y12:96~"20<0<7);74;58yx{z3`;>;7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0{M062?7|^l81>v*>bd821d=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{56;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8484?!3?<3=0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484k;%7;0?b8<512d8L7363_8>87?tL375>4}Qm;09w)?me;36e>P6;109w);75;f8 0>32m1vqps4o0`4>5<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj8;n6=4>1;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8484?!3?<3=0qpsr;h37g?6=,;?96<=i;W060?7|D;?=63981!3?=3=0(86;:69~yx{4948;|~y>o6=k0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5c9U56>=:r.>4848;%7;0?18<512d8L7363_8>87?tL375>4}Qm;09w)?me;36`>P6;109w);75;58 0>32>1vqps4i07f>5<#:<81=>h4H372?S42<3;p@?;9:0yUa7<5s-;ii7?:e:T27=<5s-?39794$4:7>2=zutw0e<;i:18'604=9:l0D?;>;W060?7|D;?=6j6X>3981!3?=3n0(86;:e9~yx{96=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73:2\:?54={%7;1?b<,<2?6i5r}|8m424290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:<;W306i5+5969`>{zut1b=9:50;&117<6;o1]>8:51zN113<6s_o96?u+1cg9512<^8936?u+59795>"20=0:7psr}:k200<72-8>>7?:7?tVd096~"6jl0:885Y12:96~"20<0:7);74;38yx{z3`;?:7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;372>P6;109w);75;38 0>3281vqps4i064>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28><7[?<8;0x 0>2281/95:51:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm10d94?0=83:p(?;=:0`5?M43>2c:8=4?:%066?74n2\9994>{M062?7|^l81>v*>bd8205=Q9:21>v*:8482?!3?<3;0qpsr;h375?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{h6=4+240956`<^;??6494>;|~y>o6=h0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5`9U56>=:r.>484>;%7;0?77>50z&1175<#:<81=o94V377>4}K:<<1=vXj2;0x 4db28h<7[?<8;0x 0>2281/95:51:~yx=zj88:6=4::183!42:3<27E<;6:k205<72-8>>7?1=vB=5782Sc52;q/=ok51528R45?2;q/95;57:&6<1<03twvq6g>4083>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=9?4V01;>7}#=1?1;6*:8584?x{zu2c:8n4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=i0Z<=7:3y'1=3=?2.>4948;|~y>o6=>0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9501<^8936?u+5979`>"20=0o7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th:>?4?:383>5}#:<81o>5G2548m45a290/>8<512d8?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo?=3;290?6=8r.99?499:J103=n9=:1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74273_;8472=#=1>1;6sr}|9j517=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:l;W306:5+59693>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a572=83>1<7>t$371>3?<@;>=7d?;0;29 735289m7E<:1:T111<6sE8>:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8484?!3?<3=0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{54;294~"5=;0=56F=479j516=83.99?4>3g9K607<^;??68851zTf6?4|,8hn6<:>;W306:5+59693>{zut1b=9m50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>22>1/95:57:~yx=h9k=1<7*=5382f2=Q:<>1=vB=5782Sc52;q/=ok51c58R45?2;q/95;51:&6<1<63twvq6sm13494?2=83:p(?;=:7;8L7213`;?<7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<03-?38794}|~?l7393:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8484?!3?<3=0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>4848;%7;0?150z&117<112B98;5f15294?"5=;0:?k5G2438R73328qG>8851zTf6?4|,8hn6<:?;W306:5+59693>{zut1b=9?50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>22>1/95:57:~yx=n9=i1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>742d3_;8472=#=1>1;6sr}|9j501=83.99?4>3g9K607<^;??6494>;|~y>{e9;21<7850;2x 73528h=7E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0:7);74;38yx{z3`;?=7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;375>P6;109w);75;38 0>3281vqps4i06`>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>h7[?<8;0x 0>2281/95:51:~yx=n9<=1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51458R45?2;q/95;51:&6<1<63twvq6g>5`83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=h1]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo?=9;296?6=8r.99?4l3:J103=n9:l1<7*=53827c=5<693:1N550;&117<6;o1]>8:51zN113<6s_o96?u+1cg9516<^8936?u+59793>"20=0<7psr}:k204<72-8>>7?:7?tVd096~"6jl0:8<5Y12:96~"20<0<7);74;58yx{z3`;?o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;58 0>32>1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514c8R45?2;q/95;57:&6<1<03twvq6g>5c83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0<03-?38794}|~?l72k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:c:T27=<5s-?39794$4:7>2=zutw0e<;k:18'604=9:l0D?;>;W060?7|D;?=6h6X>3981!3?=3=0(86;:69~yx{8<512d8R73328qG>8851zTf6?4|,8hn6<;i;W306i5+5969`>{zut1b=9<50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28>97[?<8;0x 0>22m1/95:5d:~yx=n9=91<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74243_;847a=#=1>1h6sr}|9j512=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9:4V01;>7}#=1?1h6*:858g?x{zu2c:884?:%066?74n2\9994>{M062?7|^l81>v*>bd8200=Q9:21>v*:8482?!3?<3;0qpsr;h372?6=,;?96<=i;W060?7|D;?=63981!3?=3;0(86;:09~yx{<6=4+240956`<^;??6494>;|~y>{e9;h1<7?>:183!42:3<27E<;6:k205<72-8>>7?:7?tVd096~"6jl0:8=5Y12:96~"20<0<7);74;58yx{z3`;?=7>5$371>45a3A8>=6X=5582I42>3;pZh<52z&2f`<6<81]=>652z&6<0<03-?38794}|~?l73k3:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd820f=Q9:21>v*:8484?!3?<3=0qpsr;h363?6=,;?96<=i;W060?7|D;?=6;6X>3981!3?=3=0(86;:69~yx{4948;|~y>o6=j0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>5b9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;j;W306:5+59693>{zut1b=8h50;&117<6;o1C>8?4V377>4}K:<<1=vXj2;0x 4db28?m7[?<8;0x 0>22>1/95:57:~yx=n9=81<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74253_;8472=#=1>1;6sr}|9j515=83.99?4>3g9K607<^;??60Z<=7:3y'1=3=l2.>494k;|~y>o6<<0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>449U56>=:r.>484k;%7;0?b2\:?54={%7;1?7<,<2?6<5r}|8m420290/>8<512d8R73328qG>8851zTf6?4|,8hn6<:8;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a57e=83;:6=4?{%066?0>3A8?:6g>4183>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<91]=>652z&6<0<03-?38794}|~?l7393:1(?;=:01e?M4292\9994>{M062?7|^l81>v*>bd8204=Q9:21>v*:8484?!3?<3=0qpsr;h37g?6=,;?96<=i;I065>P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>4848;%7;0?18<512d8R73328qG>8851zTf6?4|,8hn6<;n;W306:5+59693>{zut1b=8l50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950d<^8936?u+59793>"20=0<7psr}:k21f<72-8>>7?:7?tVd096~"6jl0:9n5Y12:96~"20<0<7);74;58yx{z3`;>h7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36`>P6;109w);75;58 0>32>1vqps4i07f>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?n7[?<8;0x 0>22>1/95:57:~yx=n9743a3_;8472=#=1>1;6sr}|9j514=83.99?4>3g9K607<^;??6494k;|~y>o6<=0;6)<:2;30b>P5==0:wA<:6;3xR`4=:r.:nh4>459U56>=:r.>484k;%7;0?b8<512d8R73328qG>8851zTf6?4|,8hn6<:9;W306<5+59695>{zut1b=9950;&117<6;o1]>8:51zN113<6s_o96?u+1cg9511<^8936?u+59795>"20=0:7psr}:m2f2<72-8>>7?m7:T111<6sE8>:7?tVd096~"6jl0:n:5Y12:96~"20<0:7);74;38yx{z3th:>i4?:0394?6|,;?96;74H365?l7383:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?;0:T27=<5s-?39794$4:7>2=zutw0e<:>:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3=0(86;:69~yx{h6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?73k2\:?54={%7;1?1<,<2?6:5r}|8m430290/>8<512d8R73328qG>8851zTf6?4|,8hn6<;8;W306:5+59693>{zut1b=8o50;&117<6;o1]>8:51zN113<6s_o96?u+1cg950g<^8936?u+59793>"20=0<7psr}:k21g<72-8>>7?:7?tVd096~"6jl0:9o5Y12:96~"20<0<7);74;58yx{z3`;>o7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;36g>P6;109w);75;58 0>32>1vqps4i07g>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?o7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514g8R45?2;q/95;57:&6<1<03twvq6g>5g83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8h4V01;>7}#=1?1;6*:8584?x{zu2c:8?4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=80Z<=7:3y'1=3=?2.>4948;|~y>o6<:0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9515<^8936?u+5979`>"20=0o7psr}:k201<72-8>>7?:7?tVd096~"6jl0:895Y12:96~"20<0o7);74;f8yx{z3`;?97>5$371>45a3_8>87?tL375>4}Qm;09w)?me;371>P6;109w);75;f8 0>32m1vqps4i065>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>=7[?<8;0x 0>2281/95:51:~yx=n9==1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51558R45?2;q/95;51:&6<1<63twvq6a>b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd6:l0;63981!3?=3=0(86;:69~yx{:6=4+240956`<@;?:7[<:4;3xH73128q]i?4={%3aa?7392\:?54={%7;1?1<,<2?6:5r}|8m42d290/>8<512d8L7363_8>87?tL375>4}Qm;09w)?me;37g>P6;109w);75;58 0>32>1vqps4i074>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28?<7[?<8;0x 0>22>1/95:57:~yx=n91=vB=5782Sc52;q/=ok514c8R45?2;q/95;57:&6<1<03twvq6g>5c83>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=k1]=>652z&6<0<03-?38794}|~?l72k3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:c:T27=<5s-?39794$4:7>2=zutw0e<;k:18'604=9:l0Z?;;:0yO600=9r\n>743c3_;8472=#=1>1;6sr}|9j50c=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=8k4V01;>7}#=1?1;6*:8584?x{zu2c:9k4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=94948;|~y>o6<;0;6)<:2;30b>N5=81]>8:51zN113<6s_o96?u+1cg9514<^8936?u+5979`>"20=0o7psr}:k206<72-8>>7?:7?tVd096~"6jl0:8>5Y12:96~"20<0o7);74;f8yx{z3`;?87>5$371>45a3_8>87?tL375>4}Qm;09w)?me;370>P6;109w);75;f8 0>32m1vqps4i066>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>>7[?<8;0x 0>22m1/95:5d:~yx=n9=<1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51548R45?2;q/95;51:&6<1<63twvq6g>4683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6<>1]=>652z&6<0<63-?387?4}|~?j7e?3:1(?;=:0`4?S42<3;p@?;9:0yUa7<5s-;ii7?m7:T27=<5s-?397?4$4:7>4=zutw0qo?=f;292?6=8r.99?4>b79K610;6=4+240956`<^;??6494>;|~y>o6P5==0:wA<:6;3xR`4=:r.:nh4>4b9U56>=:r.>484>;%7;0?78<512d8R73328qG>8851zTf6?4|,8hn6<;n;W306<5+59695>{zut1d=o950;&117<6j>1]>8:51zN113<6s_o96?u+1cg95g1<^8936?u+59795>"20=0:7psr}:a566=8381<7>t$371>f5<@;>=7d?b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd6;80;6>4?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22m1/95:5d:~yx=n9=;1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok51538R45?2;q/95;5d:&6<1b683>!42:3;i;6X=5582I42>3;pZh<52z&2f`<6j>1]=>652z&6<0<63-?387?4}|~?xd6;;0;694?:1y'604=>01C>984i063>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>;7[?<8;0x 0>22m1/95:5d:~yx=n9=;1<7*=53827c=O:<;0Z?;;:0yO600=9r\n>74263_;847a=#=1>1h6sr}|9j51e=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9m4V01;>7}#=1?1h6*:858g?x{zu2e:n:4?:%066?7e?2\9994>{M062?7|^l81>v*>bd82f2=Q9:21>v*:8482?!3?<3;0qpsr;|`276<72=0;6=u+24092<=O:=<0e<:?:18'604=9:l0D?;>;W060?7|D;?=63981!3?=3n0(86;:e9~yx{:6=4+240956`<^;??6494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73583>2<729q/>8<5689K610;6=4+240956`<^;??68851zTf6?4|,8hn6<:>;W306i5+5969`>{zut1b=9m50;&117<6;o1]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979`>"20=0o7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2\9994>{M062?7|^l81>v*>bd821g=Q9:21>v*:848g?!3?<3n0qpsr;n3a3?6=,;?963981!3?=3;0(86;:09~yx{57;294~"5=;0=56F=479j516=83.99?4>3g9U602=9rF99;4>{Wg1>7}#9ko1=9>4V01;>7}#=1?1;6*:8584?x{zu2c:8<4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9=;0Z<=7:3y'1=3=l2.>494k;|~y>o6N5=81]>8:51zN113<6s_o96?u+1cg951e<^8936?u+5979`>"20=0o7psr}:k212<72-8>>7?1=vB=5782Sc52;q/=ok51458R45?2;q/95;5d:&6<15`83>!42:3;8j6F=509U602=9rF99;4>{Wg1>7}#9ko1=8o4V01;>7}#=1?1h6*:858g?x{zu2c:9o4?:%066?74n2B99<5Y24695~J5=?0:w[k=:3y'5gc=9494k;|~y>i6j>0;6)<:2;3a3>P5==0:wA<:6;3xR`4=:r.:nh4>b69U56>=:r.>484>;%7;0?73783>3<729q/>8<51c48L7213`;?<7>5$371>45a3_8>87?tL375>4}Qm;09w)?me;374>P6;109w);75;38 0>3281vqps4i062>5<#:<81=>h4V377>4}K:<<1=vXj2;0x 4db28>:7[?<8;0x 0>2281/95:51:~yx=n9=i1<7*=53827c=Q:<>1=vB=5782Sc52;q/=ok515a8R45?2;q/95;51:&6<1<63twvq6g>5683>!42:3;8j6X=5582I42>3;pZh<52z&2f`<6=>1]=>652z&6<0<63-?387?4}|~?l72i3:1(?;=:01e?S42<3;p@?;9:0yUa7<5s-;ii7?:a:T27=<5s-?397?4$4:7>4=zutw0c74d03_;8474=#=1>1=6sr}|9~w<>=839p14751c:8Z<><5j;1=>h4}ra2>5419>557=9=:01<>8:063?87703;?<6s|9783>6}:1>0:n55Q979>g5<6;o1vn>50;:x9f6=9k=01??n:063?8`428>:70hi:062?87783;?=63>008204=:99=1=9?4=02;>4263ty<97>53z?42?7e02T<9636f;30b>{t1o0;65u29g82f2=::9<1=9>4=g1951e<5ol1=9m4=023>42d34;;=7?;c:?242<6b69>71e=9=:0194=4a7>42734>>n7?;0:?65d<6<91698?515289`d=9=:01hk515289c5=9<=01k7515289cb=9=:01kh51458946728?<70??1;363>;68>0:9:5211:9501<58;n6<:?;<313?73827:>o4>419>57e=9=:0q~9>:18081528h37S9>;<;g>45a3ty2h7>519y>=a<6j>16??o51528914c28>;70:k4;374>;3l?0:8<525b79516<58l86<:?;<72f?73827>9?4>419>105=9=:01hh515289c6=9=:01k=514c89cg=9=:01kk515289c`=9?:07b?87793;>m63>06821d=:9921=8o4=004>42634;9m7?;0:?26a<6<916=?k51528yv0a2908w09?:0`;?[0a343h6<=i;|q:g?6=0r72o7?m7:?2ad<6<916j>4>5c9>bc<6=k16==>514`8946628?i70??7;36f>;6810:9o5rs7f94?5|5?o1=o64^7f89;a;3;>o63if;36g>;6890:9n52113950e<58:<6<;l;<332f<6j11U:o529`827c=z{0k1<76t=8c95g1<58nh6<:?;43c34lm6<;k;<334?72l27:<<4>5e9>551=97:07g?xu?03:1?v379;3a<>X?027i57?;70:;e;374>;50;0:8=523119516<5:8<6<:?;<11419>76e=9=:01>:6:063?852=3;?<63<578205=:4=5f1>42734>o:7?;c:?7f=<6<9168;651528910>28>;70;63;374>;21=0:8=5227`9516<5;4>419>5=e=9=:01<6k:063?827;3;?<63;058205=:<9?1=9>4=4c0>42734;mo7?;0:?2ba<6<916=kk5152894`a28>;70<=1;374>;5:<0:8<524g39516<5h31=9>4=`a9516<5hn1=9>4=461>42734??87?;0:?600<6<91699851528902028>;70h<:07f?8`028>;70h7:063?8`a28?n70??0;36a>;6880:9h521179516<58:<6<;j;<33419>55c=9=:01<>i:063?87683;?<63>128205=:98>1=9>4=036>42734;::7?;0:?252<6<916=??51528944428>;70?=4;374>;6:<0:8=521349516<588<6<:l;<31f?73927:>n4>409>57b=9=;01<X?=27i47?;70::0;374>;50:0:8=523169516<5::>6<:?;<113?739278>54>409>5=l:062?853i3;?<63<4c8205=:;<=1=9>4=27;>427349>57?;0:?76<<6<9168?o5152891b628>;70:k2;375>;3l?0:9:524c;9516<5=hj6<:?;<65e?73827>5>4>409>1<2=9=;01?8k:062?841m3;?=63;428204=:=h91=9?4=0d`>42634;mh7?;1:?2b`<6<816=kh51538974528>;70<=5;37g>;29>0:8=5250:9516<5<;26<:?;<6e6?73827?j>4>419>ed<6<916mn4>409>ea<6<81699<51538902328>:70;;5;375>;24=d:9516<5l31=9>4=dc9516<5o91=8h4=g49516<5o=1=9?4=g:9517<5ol1=8h4=023>43a34;;=7?:f:?243<6<916==9514d8946?28?m70??d;375>;68l0:8<5211d9517<58;;6<:>;<327?73927:=94>409>543=9=;011c8205=:98i1=9>4=03g>42734;9?7?;1:?261<6<816=?;51538944028?<70?=b;37g>;6:j0:8n5213f951e<588n6<:l;|q;7?6=;r7387?m8:\;7>;e:3;8j6s|b383>6d|5k81=o94=56e>4263499;7?;c:?06=<6:70=;c;375>;4=>0:8<5234:9517<5=826<:>;<61f?73927?>n4>409>07b=9=;019j>:062?82c;3;?=63;d58204=:=j>1=9?4=4a6>42634>=m7?;1:?746<6<8169<951538907f28>:70;>b;375>;2=80:8<525409517<5;<6e6?73927i:7?;0:?a2?73927>8?4>4b9>112=9=i01h9515389`>=9=;01hl515389`c=9=;01hh515389c6=9=;01k=515089c?=9=;01ko515389cb=9=;01kk515389c`=9=801<>?:061?87793;?>63>068207=:9921=9<4=02g>42d34;:;7?;1:?25d<6<816=:70?=1;375>;6:?0:8<5213c9517<588i6<;8;<31g?72?27:>i4>569>57c=9<=0q~6>:1808>528h37S6>;<`2>45a3tyi=7>53`y>f4<6j>1688>51538964028?<70==8;363>;4:h0:8n5235`9517<5:>h6<:l;<16=?73927?>l4>409>07d=9=i0191=9m4=4a7>42d34?h97?;c:?746<6?l51528907?28>:70;>9;375>;29h0:8n5250`951e<59>4>4b9>0c5=9=;018:=:074?8c>28>:70kn:062?8ce28>h70kj:06`?8ca28>h70h?:06`?8`428>870h9:062?8`>28>h70hn:06`?8`c28>h70hj:06`?8`a28>870??0;377>;6880:8>521149517<58:<6<:<;<334>4b9>541=9=i011d820f=:9;;1=9m4=000>42d34;9:7?;c:?26d<6;6:l0:9l5rs6f94?5|5>o1=o64^6f89d5=9:l0q~o<:181<~;f;3;i;63<128205=:;891=9?4=20b>430349?o7?:7:?76g<6=>168?m51458914c28?<70:k3;363>;3l=0:9:525b69501<56<;8;<01g?73827>=l4>569>14d=9<=018;>:074?832:3;>;63:528212=:==?1=9m4=d`9501<5lo1=894=dd9501<5o:1=894=g19512<5o31=894=gc9501<5on1=894=gg9501<5ol1=9:4=023>42334;;=7?;4:?242<6<=16==651568946b28>h70?>e;363>;6:h0:9:5213`950d<588h6<;m;<31`?72j27:>h4>5c9~w2d=839p1:m51c:8Z2d<5h81=>h4}rc1>5<51r7j>7?m7:?06d<6=h16?9m514c8914e28?j70:=c;36e>;3:m0:9l524e1950g<5=n?6<;n;<7`0?72i27>o84>5`9>677=9=;01?<=:062?845;3;?<63=258205=:=8k1=8o4=43a>43f34?>=7?:a:?617<6=h1698=514c89`d=9514c89c5=9=?01k7514c89cg=9>70??1;371>;68>0:885211:9513<58;?6<:l;<32a?72i27:>94>4b9>57g=9o63>2e821f=:9;o1=8m4}r5:>5<4s4=j6h6<;m;<61f?72j27?>n4>5c9>07b=9n63:c5821g=:=j?1=8l4=33a>42734?:m7?:b:?65g<6=k1698?514`8903528?i70;:3;36f>;bj3;>n63je;36f>;bn3;>n63i0;36f>;a;3;?:63i9;36f>;ai3;>n63id;36f>;am3;>n63if;372>;6890:8;521139510<58:<6<:9;<3327:=84>4b9>54c=9n63>2c821a=:9;i1=8j4=00g>43c34;9i7?:d:p32<72:q6;54>b99]32=:i90:?k5rs`294?41s4k;65b9>07d=9o63;d2821f=:1=8m4=4a7>43d34?h97?:c:?14`<6<9169;2=;0:9n52541950e<5<>=6<:l;43d34on6<;l;43d34l;6<;l;42034l26<;l;43d34lo6<;l;43d34lm6<:8;<334?73?27:<<4>469>551=9==01<>7:064?876>3;?o63>1d821f=:9;k1=8m4=00a>43b34;9o7?:e:?26a<6=l16=?k514g8yve2290?w0m9:0`;?[e234i<6<=i;45a3tyh;7>515y>g2<6j>169:=5db9>7`2=lj16?nh5db9>7ag=lj16?5;5db9>7=`=lj16?4o5db9>7d5=lj16?lk5db9>53e=lj16=:65db9>725=lj16?o;5db9>7f7=lj16?km5db9>24b69>0=`=lj169=k5db9>133=lj16?n95db9>73b=lj16?k85db9>737=lj16?ho5db9>0`g=lj1v<=6:187874i3;i46P>389>56d=9:l01<=l:01e?xu6;k0;6>ht=01a>4d034>>>7jl;<074?bd348347jl;<0e=?bd349;47jl;<121?bd3482<7jl;<0:7jl;<3b1?bd348897jl;<0e4?bd349?>7jl;<17a?bd349>n7jl;<621?bd34>9>7jl;<604?bd34>847jl;<6bo47jl;<6aa?bd34?i>7jl;<7ae?bd34?h47jl;<7g1?bd34>=o7jl;<7e5?bd34>>j7jl;<7:;i7jl;<671?bd34?3n7jl;<7f1?bd34?nn7jl;<06b?bd3499>7jl;<44>ae<582m6im4=346>ae<5=:36im4=41b>ae<56im4=4d4>ae<5=2;6im4=5;b>ae53z?27f<6j>16?>85db9>0f?=lj1v96>:18082?:3;i46P;809>0=5=9:l0q~:73;2906}:<191=o94=56`>42734>?h7?;1:?70c<6515a8964028?j70?6a;375>;4;k0:8=5235:9516<5:?>6<:>;<163?73k278944>4b9>07d=94=4a1>42734?o<7?;0:?72=<6<8168;o515a890?428>h70<9c;374>;5>m0:8n524539516<5<226<:?;<3;g?739278?94>419>762=9=;019>;:062?83f83;?<63>fb820f=:9on1=9m4=333>427348:>7?;0:?65=<6;2=;0:9i5256`9516<5=i<6<:?;<6e5?73927?j?4>4b9>0c5=9=i01ll515289de=9=i018<<:063?835i3;?<63j7;37g>;b13;?o63jb;36`>;bn3;>h63i7;37g>;a13;>h63ia;36`>;an3;?463>01820==:99>1=9>4=02`>42734;:m7?;c:?25f<6;6;=0:8=52127951653z?6<4<6j11U95>4=4:1>45a3ty>4?4?:3gx90>528h<70==a;36`>;4n4>5e9>07b=9h63:c5821a=:=j?1=8j4=527>42d34>;97?;1:?65d<6=l169:70;:1;36`>;2=;0:9h52541950b<5=i<6<:>;<6e5?73k27?j?4>569>0c5=9<=018<<:062?835i3;?=63:46820f=:m>0:9:52e9820f=:m00:9:52e`820f=:mk0:9h52ed821a=:mo0:9h52f1821a=:n00:9h52f`821`=:nm0:9i52fd821a=:99l1=9m4=03f>43b34;9m7?:d:?26g<6<;16=?m514d8944c28>970?=e;36b>{t=:91<7:t=0`0>45a34?887?m8:\676=:=:91=o94}r3a7?6=?r7:n>4>b69>77b=9=:01>4=2gf>4263ty?jk4?:2y>156=9k20R9hi;<735?74n2wx9=?50;7x906628h<70?8f;375>;39:0:8=524019517<5<886<:l;|q613<72:q698951c:8Z03134?>47?=83?p18;7:0`4?87f:3;?=63;378205=:<:<1=9?4=40b>42d3tyo;7>54z?g;ci3;8j6s|d883>44|5m31=o94=2g1>430349o97?:7:?0`3<6=>16?i95145896b?28?<70?98;37g>;6>00:8n5217c951e<58=86<;8;<340?72?27:;84>569>520=9<=0199::06`?82b<3;>;63;e48212=:4303tyom7>52z?ge?7e?278no4kc:p0dd=839p19ol:0`;?[2fj27?mi4>3g9~w1gc290ow0:nd;3a3>;6?:0:9l52166950g<58=>6<;n;<342?72i2789k4>419>70`=9=;01>8::063?851=3;?=63;e5821d=:43f34>n;7?:a:pa6<72:q6i94>b99]a6=:9:=1=>h4}r303?6==r7:?:4>b69>7g?=9=:01>l6:062?842l3;?<63=5e8204=z{=lh6=4<{<6e`?7e02T?jn524gg956`mi7>53z?7b`<6j>16??>51528964728>:7p};d`83>6}:409>727=9=:01>9>:062?xu2?m0;6>u256g95g>{t=>l1<7;t=45e>4d0349m87?;0:?0b1<6<816?ko5152896`f28>:7p}8f;297~;?83;i46P8f:?a4?74n2wxn=4?:3y>f5<6j>16=ij51538yv>f2908w06m:0`;?[>f34hj6<=i;|qae?6=:r7im7?m7:?2a1<6<81v5m50;1x9=b=9k20R5m4=c`956`4d034;nn7?;1:p<`<72:q64k4>b99]<`=:jj0:?k5rsca94?4|5ki1=o94=0d7>4263ty2<7>53z?:5?7e02T2<63md;30b>{tjm0;6?u2be82f2=:<5<4s43861<7=t=8795g>01oh512d8yvda2909w0li:0`4?87bl3;?=6s|57594?5|5<<36;2>>0:n:5rs44a>5<5s4?=;7?1v88n:181831?3nm70;9a;3a3>{t<1>1<7=t=5:6>4d?3W>3863;8582f2=z{=2=6=4={<6;0?74n27?4;4>b69~w1>02909w0:74;fe?82??3;i;6s|51094?5|5<:86;28;0:n:5rs427>5<5s4?;>7?1v8>::181837:3nm70;?5;3a3>{t=<31<7=t=47b>4d?3W?>563:5882f2=z{9o4>b69~w03d2909w0;:9;fe?832k3;i;6s|48`94?5|5=3h6;31k0:n:5rs5;g>5<5s4>2n7?1v9mn:18082dj3;i46P;c`9>0fg=9k=0q~:lc;296~;3kh0:?k524ba95g1ji7>53z?7ec<6j11U8lk4=5cf>4d03ty?n<4?:3y>0dc=9:l019l>:0`4?xu6jo0;6>u21b295g>{t9m21<745a34;o47?m7:p5f0=839p127:o;4>b69~w4ba2909w0?l6;30b>;6lo0:n:5rs0a;>5<4s4;h57?m8:\2g==:9j21=o94}r3f2?6=:r7:o54>3g9>5`0=9k=0q~?la;297~;6kk0:n55Q1bc894ef28h<7p}>eg83>7}:9jk1=>h4=0ge>4d03ty:on4?:2y>5fb=9k20R{t9jo1<7=t=0ae>4d?3W;hi63>cd82f2=z{;:96=4={<3`a?74n279b69~w4b72908w0?k1;3a<>X6l916=i>51c58yv4713:1>v3>d1827c=::931=o94}r3g6?6=;r7:h>4>b99]5a4<58n96d583>6}:9m?1=o64^0f7?87c<3;i;6s|20f94?4|58n?6<=i;<02`?7e?2wx=i850;1x94b028h37S?k6:?2`3<6j>1v?<8:18187c>3;8j63=2682f2=z{=l>6=4<{<6e2?7e02T?j8524g795g1m;7>52z?7b0<6;o168k951c58yv3683:1?v3:1082f==Y=8:018??:0`4?xu29;0;6?u2502956`<5<;96;2??0:n:5rs45;>5<5s4?<:7?1v98j:180821n3;i46P;6d9>03c=9k=0q~:80;296~;3>l0:?k5246295g1h<7>53z?7g4<6j11U8n>4=5a3>4d03ty?o?4?:3y>0f6=9:l019m=:0`4?xu3lm0;6>u24eg95g>{t45a34>oj7?m7:p0`d=839p19kl:0`;?[2bj27?io4>b69~w1cc2909w0:jb;30b>;3mm0:n:5rs0ca>5<5s4?8?7?1v{t9j>1<7=t=0a6>4d?3W;h863>c582f2=z{h>1<745a34k?67}:<>=1=>h4=55:>4d03ty?5<4?:2y>0<4=9k20R97>;<6:5?7e?2wx84=50;0x91?6289m70:63;3a3>{t=8o1<7=t=43e>4d?3W?:i63:1d82f2=z{<8;6=4={<72a?74n27>>=4>b69~w0422908w0;=6;3a<>X2:<169?;51c58yv35?3:1>v3:24827c=:=;=1=o94}r70f?6=;r7>?n4>b99]16d<5<9i6l512d8905c28h<7p}lb;297~;dk3;i46Plb:?`f?7e?2wxh?4?:3y>gg<6;o16h?4>b69~wf?=839p1no51c:8Zf?<5j31=o94}rag>5<5s4i26<=i;4d03ty>>n4?:2y>17b=9k20R8{t=>81<7:t=44a>45a34?=57?:1=9>4=452>427349i?7?;0:p13e=838p188n:01e?831k3;i;6s|56694?4|5<;70;9d;3a3>{t=>?1<74d034?;2?:098?52561967c<5=2m6?:=;<6;b?45m27>15c=:;o0188::361?831=389i63;538107=:<<81>?k4=363>725348?<7<=e:?1<=<5<;16>56523g897`>2;>970;481098?5231:967c<5:;>6?:=;<121?45m2795=4=439>6<6=:;o01?77:361?84>0389i63=a98107=::h21>?k4=3`3>725348i<7<=e:?1f=<5<;16>o6523g897e72;>970;5k1098?522b:967c<5;n;6?:=;<0g4?45m279h54=439>6a>=:;o01?k?:361?84b8389i63=e98107=::l21>?k4=20f>4263499o7<;2:?2=f<5<;16=4m523g897g72;>970;49j098?5230a967c<55=4=:;o01?k4=3d3>725348m<7<=e:?007<5<;16?9<523g8962b2;>970=;e;01a>;4=k098?5234`967c<5=;>6?:=;<621?45m27?>?4=439>074=:;o019=?:361?8248389i63;398107=:<:21>?k4=5c;>72534>j47<=e:?7`=<5<;168i6523g891db2;>970:me;01a>;2j;098?525c0967c<5o54=439>1f>=:;o01>k;:361?85b<389i63?k4=2fb>725349om7<=e:?6`0<5<;169i;523g8910d2;>970:9c;01a>;2n8098?525g3967c<5:2>6?:=;<1;1?45m2784k4=439>7=`=:;o01>7n:361?85>i389i63;5g8107=:<?k4=4;;>72534?247<=e:?0e6<5<;16?l=523g896gb2;>970=ne;01a>;5?8098?52263967c<5;=j6?:=;<04e?45m27?05c=:;o01>m8:361?85d?389i63;448107=:<=?1>?k4=04`>72534;=o7<=e:?23=<5<;16=:6523g896de2;>970=mb;01a>;20k098?5259`967c<56?:=;<7f1?45m27>io4=439>1`d=:;o01?;i:361?842n389i63<238107=:;;81>?k4=24g>725349=h7<=e:?036<5<;16?:=523g896d22;>970=m5;01a>;4k8098?523b3967c<5:l=6?:=;<1e2?45m278jn4=439>7ce=:;o01;?52508937=:;o01;952508931=:;o01<6i:361?87?n389i63=648107=::??1>?k4=215>7253498:7<=e:?024<5<;16?;?523g896002;>970=97;01a>;4?j098?5236a967c<5:oj6?:=;<1fe?45m278j=4=439>7c6=:;o019>7:361?8270389i63:3`8107=:=:k1>?k4=4c6>72534?j97<=e:?6b2<5<;169k9523g891e>2;>970:l9;01a>;3mh098?524dc967c<5=2;6?:=;<6;4?45m27?5l4=439>036?70;81;371>;2?80:8;525639511<5=2m6il4=42f>ad<5<<>6il4=571>ad<5;2n6<:=;<0;a?73;2794h4>459>6=c=9=?01?6j:065?84?m3;?;63=978206=::0<1=9:4=3;5>4223482:7?;6:?1=3<6<>16>h8514d897c128>970;5m?0:89522d49513<5;o=6<:9;<0f2?73?278>n4kb:?06d<6<=16??o51578964f28>=70==a;373>;61j0on63=9d8201=::0o1=9;4=3;f>4213482i7?;7:?6`c449>6`c=9=<01?kj:064?853:3ni70=;e;fa?853k3;?863<4b8200=:;=i1=984=26`>420349>n7jm;<616?be34>8<7jm;<61f?73=27?>o4>479>07d=9==01942134>9h7?;7:?7e=<70:k4;371>;3l=0:8;524e69511<5=hn6il4=4`1>ad<5ad<527>o94>469>1f3=9=?018m::065?83d=3;?;63;4ko0on63;2l<0on63;6b8gf>;2n80on63<848gf>;40o0on63<9`8gf>;3=o0on63:998gf>;4i:0on63;5?80on63=7`8gf>;38l0on63;3<<0on63>6b8gf>;6?10on63;20k0on63:e48gf>;2mk0on63=5g8gf>;4:;0on63<6e8gf>;4?:0on63;4k80on63;4nj0on6391;fa?8002mh01<6i:e`897022mh01>=9:e`896062mh01>88:e`8961d2mh01>kn:e`896`72mh019>7:e`8905f2mh018o::e`890`02mh018?n:066?836i3;?:63:1`8202=:=8h1=9;4=43a>42134?:n7?;7:?614<6<=1698?51578903628>=70;:1;373>;2=;0:89525409513<59>4>459>105=9=?018;<:065?832;3;?;63;c88gf>;3mh0on63;818gf>;31h0on63jb;372>;bj3;?;63je;372>;bm3;?;63jf;372>;bn3;?;63i0;372>;a83;?;63i3;37e>;a;3;?n63i3;37`>;a;3;?i63i3;37b>;a;3;><63i3;365>;a;3;>>63i3;367>;a;3;>863i3;361>;a;3;>:63i3;36<>;a;3;>563i9;372>;a13;?;63ia;372>;ai3;?;63id;372>;al3;?;63ie;372>;am3;?;63if;37e>;an3;?n63if;37`>;an3;?i63if;37b>;an3;><63if;365>;an3;>>63if;367>;an3;>863if;361>;an3;>:63if;36<>;an3;>563>01820d=:99:1=9l4=023>42c34;;<7?;e:?245<651428946728?:70??0;366>;6890:9>521129502<58:;6<;:;<334?72>27:<=4>599>556=9<301<>>:06b?87793;?n63>00820a=:99;1=9k4=022>42a34;;=7?:0:?244<6=816==?51408946628?870??1;360>;6880:98521139500<58::6<;7;<335?72127:<:4>489>551=9=k01<>8:06a?877?3;?h63>06820`=:99=1=9h4=024>43734;;;7?:1:?242<6=;16==951418946028??70??7;361>;68>0:9;52115950><58:<6<;6;<334`9>55>=9=h01<>7:06g?87703;?i63>09820c=:9921=8>4=02;>43634;;47?:2:?24=<6=:16==651468946?28?>70??8;362>;6810:955211:950?<58;n6<:;;<32a?73=27:=h4>479>54c=9==01<2`8202=:9;h1=984=00a>42034;9o7?;6:?26f<6<>16=?j51548944c28><70?=e;372>;6:l0:8:5rs44f>5<5s4?=h7?;0:?62`<6j>1v88i:181831l3;?=63:6g82f2=z{:=o6=4>0z?635<6<8169:?51538961c28h<70=71;374>;40;0:8=523919516<5:2i6<:?;<1;g?738278594>419>7<1=9=:01>o?:063?85f93;?<634=2c`>427349i?7?;1:p7=1=83lp189?:06`?83093;?o63<838204=:;191=9?4=2:4>4d03493n7?;1:?0:70=n0;375>;4i80:8<523`c9517<5:ki6<:>;<1bg?739278n>4>4b9~w6?7290mw0;80;363>;2?80:9:52390951e<5:286<:l;<1:4?7e?278594>409>7<3=9=;01>78:06`?85>03;?<6342d349jn7?;c:?0ef<6j3:1nv3:71821d=:=>;1=8o4=2:1>4303493?7?:7:?0==<6<816?4l51c5896?a28>;70=na;363>;4ik0:9:523`a9501<5:h86<;n;|q0e0<720q69:>514`8901628?i70=72;36e>;40:0:9l5238:951e<5:k>65`9>7g5=954z?634<6=j168=m51528916d28>:70?91;3a3>{t;o;1<743c349m=7?m7:p7c1=838p189>:07f?85a?3;i;6s|56394?4|5<=:6122=9=:0189;:062?82>83;?<63;918204=:=9l1=9>4=42e>42634?=:7?;0:?623<6<816?h<51528961f28>;70:ia;374>;d:3;i;63;968205=z{=2n6=4={<6;2?74n27?4k4>b69~w1>?2909w0:77;30b>;3010:n:5rs5;3>5<5s4>347?1v966:18182?n3;8j63;8882f2=z{=2j6=4={<6;=?73827?4l4>b69~w1>e2909w0:79;375>;30k0:n:5rs2a;>5<58r7?4n4>419>15g=9=:018>l:063?83193;?<63:628205=:;l:1=9>4=2g2>427349n>7?;1:?0g=<6j>16?nm5152896b328>;70=k5;374>;4l?0:8=523e59516<5:n36<:?;<352?73827::54>419>53?=9=:01<8n:063?870:3;?<63>728205=:9>>1=9>4=056>42734;<:7?;0:?6a?738278;l4>409>023=9=:019k=:063?82b<3;?<63;e48205=:4=5g4>4273ty8h=4?:32x91>d28>:70:7d;374>;28h0:8<5251`9516<5<<:6<:>;<756?738278i=4>409>7`7=9=;01>k=:06`?85dl3;?<631=9?4=2f6>426349o:7?;1:?0`2<6<816?i651538940128>:70?97;374>;6?;0:8<521619517<58=?6<:>;<341?73927:;;4>409>1`<6<8169k4>419>72>=9=:01>9n:06`?82b:3;?=63;e58204=:42634>n;7?;1:p0=e=838p196l:0`4?82?j3;?<6s|3ea94?7as4>3h7?;1:?64g<6<8169=m51538900528>:70;93;375>;4lj0:n:523d3951e<5:ih6<:>;<1``?739278h94>4b9>7a3=9=i01>j9:06`?85c?3;?o6342634;=57?;1:?22d<6<816=:<515a8941428>h70?84;37g>;6?<0:8n52164951e<5427349h70:j6;37g>;3m>0:8n5rs5:g>5<5s4>3h7?m7:?7k:181837<3;8j63:0d82f2=z{<:=6=4={<731?74n27><;4>b69~w06a2909w0;?6;30b>;28o0:n:5rs424>5<5s4?;i7?1v8>7:181837?3;?<63:0982f2=z{<:26=4={<733?73927><44>b69~w41f290?w0;?a;37g>;28k0:8n5251a951e<58=j628>;7p}:0c83>7}:=9h1=o94=42:>4263ty:5=4?:cy>15e=9<=0188<:06`?87>83;i;63>978205=:90=1=9>4=0;;>42734;257?;c:?2=d<6<916?:651538961>28>:70:?3;363>{t=9i1<74d034?;57?;c:p132=838p18;m:01e?831=3;i;6s|54f94?4|5{t=45a34?>i7?m7:p10`=838p18;j:063?832n3;i;6s|57294?4|5;<754?7e?2wx=4j50;6x900628>h70;92;37g>;2>:0:9:5218f95g152z?624<6j>169;>51528yv31:3:1>v3:6382f2=:=?:1=9?4}r757?6=:r7>:>4>b69>136=9=i0q~::1;297~;343034>><7?:7:?2==<6<8168:70:=9;37g>;3:h0:8n5243`950`<5=8h6<;j;<61`?72n27?m?4>419>0d5=9=;019o;:063?83d<3;>i63:c4821`=:42634>=m7?:7:?77a<6<9168>j5153894>d28>h70?7d;375>;38=0:9:52417951e<5;;:6<:?;<026?739279=>4>419>141=9=i018?7:074?83613;?o63:1`821c=:=8h1=8h4=472>43b34?>>7?:f:?616<6=l16i:4>5`9>a=<6=>16i44>5`9>ad<6=>16io4>5g9>a`<6=l16ik4>5g9>b5<6=l16=h70?>c;363>;69m0:8n5210g950`<588j6<;j;<31f?73;27:>n4>439>57b=9=901<0;6?u24419516<5=><67}:<==1=9>4=56;>4d03ty?844?:3y>011=9=;019:6:0`4?xu3:10;6>u245c9516<5=>j6<:>;<61{t9;31<7=t=56a>42734>?n7?;1:?26<<6j>1v9:m:181823j3;i;63;488204=z{:9:6=4<9z?70f<6;4;k0:8<5235:9517<5:?=6<:>;<1644>569>07g=9<=0194=4a7>43a34?h97?:f:?6`4<6<9168;7515a890?328>h70<9c;375>;5>l0:8n524539517<5<226<:>;<3;`?73k278?<4>b69>053=9<=018o?:062?87aj3;?<63>fd820f=:9ol1=9m4=333>426348:?7?;1:?652<6=>169<751458903628?m70;:3;36b>;fj3;?=63nd;37g>;b03;>m63ja;36e>;bm3;>j63i0;36b>;a;3;?463i6;37g>;a03;?o63id;36a>;am3;>i63>00820==:99>1=9?4=02`>42634;:n7?:7:?25a<6=>16=?o514d8944d28>870?=e;377>;6;=0:8<521279517?o7>52z?70f<6j>16897515a8yv2183:1??u245f9501<5=>n6<;8;<67b?72i27?9=4>5`9>5<0=9=;01<9m:063?87>m3;?<63;218205=:<;31=8o4=50b>43f34>9n7?;2:?76f<6<;168?j5150891g528>:70:n3;37g>;3i=0:8n525b69514<56<:=;<654?7e?27?::4>419>03>=9<=01986:074?821i3;>m63;598205=:<<21=9?4=561>42734<>6<:?;<3;=?73827:4l4>419>056=9=:019>=:063?827<3;>m63;04821d=::8;1=9?4=331>42d348:?7?;c:?652<6=h169<6514c8907>28?j70;>a;376>;29k0:8?52e6821g=:m10:9o52e8821g=:mh0:9o52ec8207=:ml0:8?52eg8207=:n90:8?5213c9514>87>537y>01b=9n63;51821g=:90=1=9?4=05a>42634;2i7?;1:?765<6<8168?7514`8914f28?i70:=b;377>;3:j0:8>5243f9515<5419>031=9=;01987:07b?82113;>m63;6`821g=:<<>1=o94=57:>42734>?>7?;1:?51?73927:444>409>5=d=9=:01<6l:074?87?l3;>;63;018204=:<981=9?4=527>43e34>;97?:b:?652<6=k169<6514`8907>28?i70;>a;377>;29k0:8>525439514<55`9>54d=9m63>1d8207=:9;k1=9=4}r67`?6=:r7?8i4>b69>01?=9<=0q~:;e;296~;3?j7>52z?70c<6j>16897514`8yv2283:1>v3;5182f2=:<=31=8m4}r00b?6=;r79?;4>3g9>617=9=;01?:?:0`4?xu5;?0;6;u222495g1<5;9n6<:l;<12e?72?279?>4>4b9>1c3=9=:018h::062?xu5;10;6?u22539516<5;9369?51c589727289m7p}=3683>7}::=:1ho5222595g152z?17=<6<916>>751c58yv44i3:1>v3=398204=:::k1=o94}r0e5?6=ir79?o4>419>66e=9=:01?=k:063?84a93;i;63=f48205=::o=1=9>4=222>427349;j7?;0:?05d<6<916?>k51528yv4aj3:1mv3=3c8204=:::i1=9?4=31g>426348m;7?;1:?1bg<6j>16?=?51538967728>;70=>a;375>;5;:0:8=5232g951759z?17g<6>m515a8975c28>h70;4800:n:5231d9517<5:;;6<:>;<12e?73k279?>4>409~w73f290:jv3=3c8212=:::o1=9>4=314>427348k<5152897`d28>;70=>1;374>;48h0:8=5229`9516<5;386<:?;<0b7?738279mo4>419>6g5=9=:01?lm:063?84d;3;?<63=cc8205=::m91=9>4=3fa>427348n?7?;0:?1=g<6<916?<951528975728>;70;4;o0:8=5227;9516<5;=<6<:?;<04b69>635=9=:01?8<:062?xu5;k0;6?u222`95g1<5;9j6<:?;|q125<728np1?=l:074?844m3;?=63=368204=::>n1=9?4=3d6>42d348m>7?;1:?1bf<6<816?:70<7b;375>;51:0:8<522`19517<5;ki6<:>;<0a7?739279no4>409>6f5=9=;01?mm:062?84c;3;?=63=dc8204=::l91=9?4=3;a>426349:;7?;1:?175<6<816>hl51538965a28>:70<99;375>;5?>0:8<5226:9517<5;<;6>m51c58975f28>:7p}<1783>41|5;9o6<;8;<00a?72?279;i4>4b9>6c3=9<=01>?>:06`?84?j3;?o63=92820f=::h91=9m4=3ca>42d348i?7?;c:?1fg<6n=515a897ee28>h70;5lk0:8n522d1951e<5;3i6<:l;<122?7e?279io4>4b9>76`=9=i01?86:06`?840?3;?o63=79820f=z{;9o6=4={<00`?7e?279?l4>4b9~w75b2909w0<;5;h0:9:5rs3:4>5<4s485651c58yv40k3:1jv3=7b82f2=::1o1=9>4=3;5>427348j:7?;0:?1e3<6<816>lk5152897d128>;70;5k?0:8=522bg9516<5;n=6<:?;<0ga?738279i;4>419>65?51c5890gf28>;70;na;375>{t:>o1<74273484d03ty9;k4?:3y>62c=9=:01?9i:0`4?xu5090;6?u226g9517<5;2;64=220>426349;87?;1:?040<6<8169im5152890bc28>;70:n6;375>;3j10:8<524c;9517<5=hj6<:>;<6af?73827>mh4>419>1g>=9=:018lm:0`4?83d83;?<63:c38204=:=jl1=9>4=4f3>42634?o=7?;1:?6`7<6<9169i=51528970e28>:70<9c;37g>;5>m0:9:5227g9501<5<3m6<:?;<7b4?73k27>m<4>419>1d4=9=:018o<:06`?87aj3;?=63>fb8212=:9on1=894=0df>43034;mj7?:7:?b=?73927jm7?;1:?bf?73k27jo7?:7:?b`?72?27:??4>419>565=9=:01<=;:06`?874=3;?o6s|5b;94?4bs483>7?;c:?1<6<65:5153897>228>:70=?3;37g>;48=0:8n52317951e<5;<7g`?73927?m;4>4b9>0g>=9=i019l6:06`?82ei3;?o63;bc8204=:=hl1=9>4=4`4>42734?i;7?;1:?6g5<6<8169n?5152890e>28h<70;lf;375>;2l90:8n525e3951e<5;<7g7?739279:o4>4b9>63e=9<=01?8k:07b?841m3;>m63:9g8204=:=h:1=894=4c2>42634?j>7?;1:?6e6<6=>16=km514c894`c28?j70?ie;36e>;6no0:9l52a8820f=:ih0:8n52ac8212=:ij0:9l52ae821d=:9:;1=9>4=017>43034;897?:7:p1`e=8389w0<72;363>;50:0:9:52296951e<5;2>6<:l;<137?72?278<94>569>753=9<=019o9:074?82e03;>;63;b88212=:42d34?i<7?;0:?6g7<6h70;jc;3a3>;2180:8=525809516<5;5k4>4b9>1d6=9:06`?83f:3;?o63:a2821d=:9oh1=9m4=0d`>43e34;mi7?:b:?b=?72?27jm7?:7:?bf?72i27:?94>5`9>563=95`9>0g>=9;63:908204=:=1<1=o94=4c2>4303ty94?4?:3y>6=4=9k=01?69:063?xu50:0;6?u229195g1<5;2=6<:>;|q066<72:3p1?6;:07b?84?=3;>;63<2282f2=:;;=1=8l4=20;>43e3499m7?:e:?07f<6?70:=c;370>;3:m0:89524e0951e<5=n86<;j;<6g0?72m27?no4>569>1f2=9=>018m::067?83c;3;>m63:928212=:=0>1=894=34g>43e348=i7?:b:?706<6;6nj0:9n521gf950d<58ln6<;l;<3eb?72j279>>4>409>673=9<=018?n:067?836j3;?863:508206=:=<81=9=4=470>42434kh6<;m;43e34oi6<:;;42334om6<:;;42334l<6<;8;43034l26<;i;43a34lo6<;i;43a34;:<7?;c:?25`<6<:16=??51458944f28>?70?=b;370>;6:j0:895213f9512<588n6<:;;|q1<1<72;q6>5:51c5897>128>h7p}:e183>g}::1?1=8o4=220>43f349;97?:a:?7fd<6=h168ol514c890?528>:70<9b;36e>;2m90:n:525`0950g<5h31=8o4=`c950g52z?1<0<6j>16>5851458yv4a03:1?v3=f0827c=::ok1=9?4=3d:>4d03ty9j:4?:3y>6c0=9:l01?h8:0`4?xu5n?0;6>u22g495g1<5::n6<:?;<13a?7392wx>k=50;0x97`f28>;70{t:ok1<74d0348m57?7}::o91=9>4=3d7>4d03ty9j84?:3y>6c5=9=;01?h::0`4?xu48>0;6?u22g`956`<5::366}:;981=o94=4;g>42734?2h7?;1:p6cb=838p1>>7:01e?84al3;i;6s|2ga94?4|5::36il4=3d`>4d03ty9jh4?:3y>6cb=9=:01?hj:0`4?xu5no0;6?u22gf9517<5;lm651528966728>:70={t;9:1<74d0348mj7?;0:p757=838p1>>>:0`4?84an3;?=6s|5c194?4bs49;?7?:b:?041<6=k16?=;514`890bd28>h70;kd;37g>;3i?0:9o524c:950d<5=h26<;m;<6ae?72j27?no4>5c9>1de=9=;018ok:062?83fm3;?=63:ag8204=:=k:1=9?4=4`0>4d034?i47?;1:?6g4<6<8169n<5145890ea28>h70;k0;363>;2l80:9:525e09501<55?4>4b9>1<5=9n63=6b821g=::?n1=8m4=34f>43d34?2j7?:7:?6e5<6=k169l?514`890g528?i70;n3;36g>;6nk0:9:521ga950b<58lo6<;l;<3ea?72l27:jk4>5b9>564=9=;01<=<:062?874<3;>n63>34821g=z{::86=4={<137?7e?278<;4>419~w6632909w0=?4;3a3>;48?0:8<5rs226>5<5s49;97?m7:?043<6?;:18185713;8j63<1482f2=z{:;86=4={<126?74n278=>4>b69~w6752908w0=>2;3a3>;f?3;?<63n7;375>{t;9h1<745a349;n7?m7:p75g=838p1>?::e`8966f28h<7p}<0b83>7}:;9h1=9>4=22`>4d03ty875d=9=;01>>k:0`4?xu48l0;6?u231g95g1<5::o6<:?;|q04c<72;q6?=h51c58966c28>:7p}<1183>7}:;8:1=o94=22g>42d3ty8=<4?:3y>747=9k=01>>k:074?xu50o0;6>u229c956`<5;3:6<:>;<0:4?7e?2wx>5o50;6x97>f28h<70<66;376>;51l0:8?522dg951452z?1=4<6<916>5m51c58yv4>93:1>v3=9082f2=::0:1=>h4}r0;f?6=:r795=4kb:?11v?6k:18184?k3;?<63=8e82f2=z{;2n6=4={<0;g?7392794h4>b69~w7g5290ow0<7e;375>;51?0:8<522`095g1<5;kn6<:>;<0a2?739279nh4>409>6f0=9=;01?mj:062?84c>3;?=63=dd8204=::l<1=9?4=3;f>426348ni7?;1:p6dg=83ip1?6j:06`?84>>3;?o63=a`82f2=::k<1=9m4=3`f>42d348h:7?;c:?1g`<6i8515a897bb28>h70;51l0:8n522dg951e7>5bz?1<`<6=>16>485145897d528h<70;5k?0:9:522bg9501<5;n=6<;8;<0ga?72?279i;4>569>65`9>6fc=9m63=e7821d=::0o1=8o4=3gf>43f3ty9o?4?:8y>6=c=943e348n:7?:b:?1=`<6=k16>hk514`8yv4di3:14v3=8d821f=::0<1=8m4=3ab>4d0348o:7?:c:?1``<6=j16>h8514a897?b28?h70{t:m81<79t=3:f>43c3482:7?:d:?1`7<6j>16>ik514f897c128?o70<6e;36`>;5ml0:9i5rs3fb>5<1s483i7?:e:?1=3<6=l16>io51c5897c128?n70<6e;36a>;5ml0:9h5rs3g1>5<2s483i7?:f:?1=3<6=o16>h<51c5897?b28?m70{t:0=1<7=t=3;1>45a348257?;1:?1==<6j>1v?7=:18084>:3;i;63=9d8206=::lo1=9=4}r0:0?6=:r79544>419>6<2=9k=0q~<69;296~;5100:n:5228:956`52z?1==4>b69~w7?22909w0<64;374>;51<0:n:5rs3;5>5<5s48287?;1:?1=3<6j>1v?o8:18084f:3;8j63=a88204=::h21=o94}r0b0?6=:r79m44>419>6d2=9k=0q~52z?1e=4>b69~w7g22909w0;5i<0:n:5rs3c5>5<5s48j87?;1:?1e3<6j>1v?oi:18084fi3;8j63=b08204=::k:1=o94}r0bg?6=:r79n<4>419>6de=9k=0q~52z?1f5b69~w7gc2909w0;5im0:n:5rs3cf>5<5s48jo7?;1:?1e`<6j>1v?l8:18084e:3;8j63=b88204=::k21=o94}r0a0?6=:r79n44>419>6g2=9k=0q~52z?1f=4>b69~w7d22909w0;5j<0:n:5rs3`5>5<5s48i87?;1:?1f3<6j>1v?li:18084ei3;8j63=c08204=::j:1=o94}r0ag?6=:r79o<4>419>6ge=9k=0q~52z?1g5b69~w7dc2909w0;5jm0:n:5rs3`f>5<5s48io7?;1:?1f`<6j>1v?m8:18084d:3;8j63=c88204=::j21=o94}r0`0?6=:r79o44>419>6f2=9k=0q~52z?1g=4>b69~w7e22909w0;5k<0:n:5rs3a5>5<5s48h87?;1:?1g3<6j>1v?mi:18084di3;8j63=d08204=::m:1=o94}r0`g?6=:r79h<4>419>6fe=9k=0q~52z?1`5b69~w7ec2909w0;5km0:n:5rs3af>5<5s48ho7?;1:?1g`<6j>1v?j8:18084c:3;8j63=d88204=::m21=o94}r0g0?6=:r79h44>419>6a2=9k=0q~52z?1`=4>b69~w7b22909w0;5l<0:n:5rs3f5>5<5s48o87?;1:?1`3<6j>1v?ji:18084ci3;8j63=e08204=::l:1=o94}r0gg?6=:r79i<4>419>6ae=9k=0q~52z?1a5b69~w7bc2909w0;5lm0:n:5rs3ff>5<5s48oo7?;1:?1``<6j>1v?k8:18084b:3;8j63=e88204=::l21=o94}r0f0?6=:r79i44>419>6`2=9k=0q~52z?1a=4>b69~w7c22909w0;5m<0:n:5rs3g5>5<5s48n87?;1:?1a3<6j>1v>44>3g9>77g=9k=0q~==9;297~;4:00:n:523559516<5:><6<:>;|q061<72;q6??h51528964328h<7p}<2g83>7}:;;l1=o94=20`>45a3ty8>i4?:3y>77c=9=:01>7}:;;>1=9?4=205>4d03ty8>:4?:3y>771=9k=01><9:063?xu4:10;6?u233:95g1<5:8=6<:>;|q006<7282p1>42d349?o7?:f:?010<6;4=00:9:524e3951e<5=n86<;i;<6g0?72n27m?7?;9:?e2?72?27m57?;2:?ee?73:27mh7?;2:?ea?73:27mj7?;9:?240<6<816==8515a8946028>37p};2583>4e|5:8j6<:=;<17=?73k2788l4>569>71d=9<=01>:l:061?852=3;>;63<578212=:;<=1=8o4=27;>43f349>57?:a:?761<6j>168i?5145891b428>970:k4;376>;5990:8n52203951e<5;;96<;8;<027?72?27m:7?:a:?e=?73;27mm7?;3:?e`?73;27mi7?;3:?245<6<016==?515;8946228>h70??6;363>;6810:855rs7:94?4bs499m7?;3:?2==<6;6090:8=521`09516<58k86<:?;<17g?73;27?=o4>419>04d=9=;019o=:06`?82f;3;>;63;a58212=:42434>=;7?;c:?77`<6<9168>k5153894>e28>:70:?0;37g>;38;0:8n52411950g<5=:?6<;l;<631?72k279=<4>569>644=9;b?3;>h63j8;36`>;b13;>h63ja;36`>;bj3;?963je;371>;bn3;?963i0;371>;a13;?863ia;370>;al3;?863ie;370>;6:k0:885213a9513<588o6<::;<31a?73=2wx=4l50;0x94?7289m70?6c;3a3>{t90;1<745a34;2=7?m7:p5<4=838p1<7>:063?87>:3;i;6s|18194?4|583:6<:>;<3:7?7e?2wxi84?:2y>5<2=9=:01<7;:062?8c228h<7p}>9583>7}:90>1=o94=0;0>4273ty?<<4?:2y>5<3=9=:01<7::062?82793;i;6s|18794?4|583>6{t90=1<74d034;2?7?:7:p5<>=838p1<77:0`4?87>;3;>m6s|18;94?4|58326{t:0l1<7=t=3;b>45a348j=7?;1:?1e5<6j>1v?7n:18184>i3;i;63=ed8201=z{;3h6=4={<0b5?7382795n4>b69~w7g62909w0;5i90:?k5rs3;a>5<5s48j<7jm;<0:f?7e?2wx>4j50;0x97?d28>;70<6d;3a3>{t:0o1<74263482i7?m7:p74d=838p1>?9:01e?856k3;i;6s|30:94?4|5:;h6<=i;<12?8:0`4?xu4900;6?u230:9516<5:;267}:=m=1=>h4=4fe>4d03ty>h:4?:5y>1a1=9k=018jm:063?83bn3;?<63:e28205=z{h54>b69~w0b>2909w0;k8;374>;2l00:n:5rs4fb>5<5s4?o47?;1:?6`d<6j>1v8k9:18683cj3;?=63:db8212=:=mn1=894=4g0>42634?n:7?m7:p1ad=838p18jm:0`4?83ci3;?<6s|5ea94?4|5{t91;1<745a34;3>7?m7:p52e=838p1<6=:01e?870k3;i;6s|16`94?4|58296il4=05a>4d03ty:;i4?:3y>52e=9=:01<9k:0`4?xu6?l0;6?u216a9517<58=n6;7p};0g83>7}:91:1=9?4=52e>4d03ty:4=4?:3y>5=6=9k=01<9j:062?xu6i=0;6?u218f956`<58k>69d83>7}:9h?1ho5218g95g152z?2=c<6<916=l>51c58yv7f93:1>v3>9g8204=:9h;1=o94}r3b6?6=:r7:m?4>b69>5d7=9=:0q~:<2;296~;6i:0:8<5242095g152z?2e6<6j>16=l?51538yv44<3:1>v3=2g827c=:::?1=o94}r01b?6=;r79>k4>b69>1`?=9=:018k6:062?xu5;80;6?u2227956`<5;9:6>;5dc9>666=9k=0q~<<2;296~;5;80:8=5222095g152z?174<6<816>>=51c58yv4bn3:1>v3=e`827c=::o:1=o94}r0fe?6=b69>63?=9<=01?98:074?84003;>;6s|2da94?4|5;l;6<=i;<0fg?7e?2wx>hl50;0x97`72mh01?km:0`4?xu5mm0;6?u22da9516<5;oo6hm5153897cb28h<7p}<4083>7}:;:=1=>h4=261>4d03ty8?:4?:4y>761=9k=01>=l:074?82c:3;>;63i7;36e>;a03;>m6s|35294?4|5:9o6<=i;<174?7e?2wx?>650;0x9625289m70=<8;3a3>{t;:31<7427349857?m7:p76g=838p1>=7:062?854i3;i;6s|32`94?4|5:9i6;2100:n:52f6821g=:n10:9o5rs21`>5<5s498o7?m7:?07d<6<81v>=j:181854m3;i;63<418205=z{:9m6=4={<10b?7e?2788=4>409~w62c2909w0=;3;30b>;45<5s49?i7?1v>:::181853<3;?<63<4482f2=z{:>=6=4={<170?7392788;4>b69~w6202909w0=;7;3a3>;45<5s49?47?m7:?003<6<81v>:6:18185313;i;63<47820f=z{:>j6=4={<17e?7e?2788;4>569~w62e2909w0=;b;3a3>;45<5s49?o7?m7:?003<6=k1v>;n:181853n3;8j63<5c82f2=z{:>m6=4j{<17b?7e?278984>5`9>700=9;8:07a?85203;>n63<58821g=:=>h1=9?4=g4950d<5o=1=8m4=g:950e<5o31=9;4=gc9513<5on1=9;4=gg9513<7>52z?01g<6;o16?8>51c58yv5293:1>v3<518205=:;<;1=o94}r166?6=:r789=4>409>704=9k=0q~h;:180852;3;?<63<528204=:n=0:n:5rs270>5<5s49>?7?m7:?017<6<91vkm50;1x963328>;70=:4;375>;ak3;i;6s|34694?4|5:??6{t;<<1<74d0349>>7?:7:p701=838p1>;8:0`4?852:3;>m6s|34:94?4|5:?3628h<70=:2;36g>{t<8>1<745a34>:97?m7:p047=838p19?::01e?82693;i;6s|40294?4|5=;>6il4=533>4d03ty?=?4?:3y>047=9=:019?=:0`4?xu39:0;6?u24039517<5=;86q68<>51528917728>:70:<3;374>;3;:0:8<5242;95g1<5==o6<:?;<64`?7392wx8??50;1x9171289m70:=3;375>;3:;0:n:5rs535>5<3s4>::7?m7:?75`<6<81687}:<;91=9>4=534>4d03ty?>>4?:3y>075=9k=019<=:01e?xu3910;6?u24059516<5=;3628h<7p};1`83>7}:<8k1=o94=53:>4273ty?=o4?:3y>04d=9k=019?6:062?xu68h0;6>u240a9516<5=;h6<:>;<33e?7e?2wx89;37g>{t9881<7=t=53g>42734>:h7?;1:?257<6j>1v9?k:181826l3;i;63;188212=z{=;n6=4={<62a?7e?27?=44>5`9~w17a2909w0:>f;3a3>;3900:9o5rs503>5<5s4>9<7?m7:?75<<6=j1v954>3g9>07c=9k=0q~:=5;296~;3;80:8=5243795g18=7>52z?774<6j>168>>512d8yv25>3:1>v3;248205=:<;<1=o94}r613?6=:r7?>84>409>071=9k=0q~0:8=524359517<5;:m6;7p};2`83>7}:<;k1=o94=50f>4263ty?>o4?:3y>07d=9k=0197}:<:81=>h4=51;>4d03ty??94?:3y>06>=9:l019=;:0`4?xu3;:0;6?u242:9`g=:<:91=o94}r601?6=:r7??94>419>063=9k=0q~:<6;296~;3;=0:8<5242495g1j;7>54z?7=a<6;o168l7512d891gf28>:70:n8;3a3>{t4d034>j=7?;c:?7e7<6=>168l=514c891g328?j7p};a783>7}:h4=5c5>4d03ty?m84?:2y>0d3=9k=0187j:063?83>m3;?=6s|48g94?4|5=kj6<:?;<6:a?7e?2wx8lo50;0x91gf28h<70:n8;30b>{t<0l1<742734>2j7?m7:p0d6=838p197j:062?82f83;i;6s|4`394?4|5=k:6;3i=0:9o524749517<5=?26<:>;<63=?7e?27:4l4>409>647=9n6s|17f94?77s4>j>7?:b:?7e6<6=j168l:514a8940c28h<70?82;363>;6?:0:9o52166950d<58=>6<;m;<342?72j279=<4>5c9>644=9n63;e4821g=:43e3ty?m?4?:3y>0d4=9k=019o?:062?xu3i:0;6?u24`195g1<5=k;6<:l;|q7e1<72;q68l:51c5891g728?<7p};d683>6}:h4=5f:>45a34>o47?m7:p0a?=83=p19j6:0`4?82c93;>m63;d3821g=:42334;;97?:7:?243<6=h1v9j9:18182c=3;8j63;d782f2=z{=n>6=4<{<6g1?7e?27:419>55d=9=;0q~:ld;296~;3l10:?k524bf95g1hi7>52z?7ga<6<9168nk51c58yv2dn3:1>v3;ce8204=:419>0a6=9=;01<><:0`4?xu3l90;6?u24e295g1<5=im6<:?;|q7`4<72;q68i?51c5891ea28>:7p};d383>7}:42d3ty?h>4?:3y>0a5=9k=019mi:074?xu3l=0;6?u24e695g1<5=im6<;n;|q7fa<72=q68o?512d891d7289m70:mf;375>;3jl0:n:5rs5`3>5<3s4>i<7?m7:?7f3<6<9169485152891??28>;7p};bb83>7}:h4=5``>4d03ty?n:4?:2y>0g1=9k=018mk:063?83dl3;?=6s|4c094?4|5=hm6<:?;<6a6?7e?2wx8oh50;0x91da28h<70:me;30b>{t42734>i?7?m7:p0g2=838p19l=:062?82e<3;i;6s|58794?5|5=h>6<:?;<6a1?73927>584>b69~w1d22909w0:m5;3a3>;3j=0:8=5rs345>5<>s4>i:7?;1:?6=3<6<816>;851c58970>28?j70<87;36e>;5?10:9l5252:9516<5=3<6<:>;<6::=50;;x91d128>h70;66;37g>;5>00:9o5226195g1<5;=<6<;m;<04?54>409>0<1=9=i01977:06`?xu3j?0;6?u24c495g1<5=h?6<:>;|q7f=<72;q68o651c5891dd28>;7p};b883>7}:4263ty?nl4?:3y>0gg=9k=019ll:06`?xu3jk0;6?u24c`95g1<5=hh6<;8;|q6f4<72;q69l8512d890d528h<7p}:a783>41|5419>5ae=9=;01e58205=:9lk1=9?4=0ga>42734;nh7?;0:?2b6<6<816=k:51528976128>:70;58l0:8<5220c9517<5;;i6<:>;<010?739279>84>5`9>67d=9=;01?m:4>b69~w0g?2909w0;n7;374>;2i10:n:5rs4c:>5<5s4?j;7?;1:?6e<<6j>1v8on:18183fi3;i;63:a88205=z{h21<7=t=4ca>42734?jn7?;1:?b{t=hi1<74d034?j57?;c:p1db=838p18ok:0`4?83f13;>;6s|5`g94?4|5{t=k:1<74d034?j57?:c:p1g?=838p18l<:01e?83ei3;i;6s|5c694?4|5;70;m5;3a3>{t=k<1<742634?i:7?m7:p1g1=838p18l8:0`4?83e>3;?<6s|5c:94?4|5{t=j<1<745a34?h:7?m7:p1f5=839p18m<:0`4?821<3;?<63;658204=z{nn4>b69~w0dc2909w0;mc;374>;2jm0:n:5rs4`f>5<5s4?io7?;1:?6f`<6j>1vnk4>b69>1gc=9=:0q~;l0;296~;2k90:n:525cg951752z?6g4<6j>169ok515a8yv3d:3:1>v3:c382f2=:=ko1=894}r7`0?6=:r7>o94>b69>1f0=9=:0q~;l5;296~;2k<0:n:525b4951752z?0`f<6;o16?h:51c58yv5cl3:1>v3419>7ac=9k=0q~=kf;296~;4lm0:8<523ed95g152z?0a5<6j>16?ih51528yv5b93:1>v35`9>7f4=9k=01<88:06`?83a28>h70=8a;36e>{t;l81<74d0349oj7?;c:p7fc=838p1>m7:01e?85dn3;i;6s|3b;94?4|5:im6<=i;<1`=?7e?2wx?no50;0x96e>28>;70=la;3a3>{t;jh1<7426349hn7?m7:p7fe=838p1>ml:0`4?85dj3;?<6s|3bf94?4|5:io6;4lh0:n:5rs2f2>5<5s49on7?;0:?0`4<6j>1v>jm:18185cj3;i;63b69~w6b42909w0=k1;375>;4l:0:n:5rs2f7>5<5s49o87?m7:?0`6<6<91v>l?:18685c=3;>m63>698212=:9>91=8m4=057>43d349i<7?m7:p7a3=838p1>j::0`4?85c;3;?=6s|34a94?3|5:n=6<;n;<35=?72?27:;84>5b9>70e=9k=019k::07`?xu4>;0;68u23e4950d<58<26<;n;<341?72l278:?4>b69>0`3=955z?0`2<6=h16=;o51458941128?h70=98;3a3>;3m?0:9n5rs24f>5<2s49o;7?:b:?22d<6=h16=:8514f8960b28h<70:j6;36`>{t;m=1<74d0349o?7?:7:p7`3=83?p1>j7:07b?85b=3;i;63;748212=:1=8m4=5g4>43d3ty8io4?:4y>7a>=9km:0`4?820=3;>m63;e5821a=:b69>7a5=967}:=m<1=o94=4f6>45a3ty>oo4?:3y>1fg=9=:018mm:0`4?xu2kj0;6?u25bc9517<5;7p}=6`83>6}:=jo1=9>4=4af>426348=m7?m7:p1fc=838p18mj:0`4?83dk3;?=6s|5bd94?4|550;0x90b728h<70;lc;363>{t=m;1<74d034?ho7?:a:p1a4=838p18j=:0`4?83dk3;>n6s|5e194?4|5;3>j0:n:5rs542>5<5s4>=h7?;0:?724<6j>1v98k:181821l3;i;63;6b827c=z{=<96=4={<655?73827?:?4>b69~w1042909w0:91;375>;3>:0:n:5rs547>5<5s4>=87?m7:?726<6<91v8?9:180821=3;?<63;648204=:=8<1=o94}r651?6=:r7?:84>b69>035=9=;0q~:96;296~;3>?0:n:52471951e=;7>52z?722<6j>168;=51458yv2103:1>v3;6982f2=:b69>035=9h0:n:52471950e52z?6af<6;o169k?51c58yv3bl3:1>v3:f0827c=:=ln1=o94}r7fa?6=:r7>ii4>419>1`c=9k=0q~;jf;296~;2mm0:8<525dd95g17>52z?6ac<6<8169k<51c58yv5?<3:1?v3<7e827c=:;1<1=9?4=2:6>4d03ty8;h4?:3y>7=0=9=:01>9j:0`4?xu40?0;6?u239495g1<5:2>6<=i;|q03c<72;q6?:k51528961a28h<7p}<8183>7}:;>o1=9?4=2:3>4d03ty8n;4?:cy>7=7=9=;01>6=:07a?85?l3;?<63<978205=:;0l1=9?4=2c3>430349j57?;1:?0ed<6=h16?o851c5896da28>;70=mf;375>{t;ki1<77t=2:2>42d3493?7?:b:?0h70=n1;363>;4i00:8n523``950g<5:hh6728>;7p}<8383>7}:;181=o94=2:3>4263ty84>4?:3y>7=5=9k=01>6?:06`?xu40l0;6?u2395956`<5:2m6?28h<7p}<8883>7}:;121=9>4=2::>4d03ty84l4?:3y>7=>=9=;01>6n:0`4?xu40k0;6?u239`95g1<5:2j6<:?;|q0f28>:7p}<8e83>7}:;1n1=o94=2:b>42d3ty8544?:3y>7<6=9:l01>7n:0`4?xu4180;6?u238c956`<5:3:67}:;0;1=9?4=2;0>4d03ty8594?:3y>7<2=9k=01>7<:063?xu41<0;6?u238795g1<5:386<:>;|q0=3<72;q6?4851c5896?428>h7p}<9683>7}:;0=1=o94=2;0>4303ty8554?:3y>7<>=9k=01>7<:07b?xu3=l0;6?u2446956`<5=?m66}:<42734;m57?;1:p003=838p19;i:01e?822=3;i;6s|44494?4|5=?>6<:?;<662?7e?2wx88950;0x913228>:70::7;3a3>{t<<21<74d034>>;7?;0:p00?=838p19;6:0`4?822?3;?=6s|44`94?4|5=?i6{t=0=1<745a34?247?m7:p1=e=83;:w0;7c;3a3>;2180:9:525809501<5<386<;m;<7:0?72j279:i4>5e9>63c=9h63>fg821a=:ij0:9n52ae821f=:=;91=894=40b>43034;8=7?;1:?277<6=515a8yv3>>3:1>v3:94827c=:=0<1=o94}r7;`?6=:r7>554>3g9>1=b=9k=0q~;7e;296~;20m0:8=5259g95g152z?64d03ty>5=4?:3y>1<6=9k=0186i:063?xu2180;6?u258395g1<5<2m6<:>;|q6=7<72;q694<51c5890>a28>h7p}:9283>7}:=091=o94=4:e>4303ty>594?:3y>1<2=9k=0186i:07b?xu49m0;6>u25849501<5;<26<;l;<12`?7e?2wx?l<50;1x96?e289m70=n4;375>;4i:0:n:5rs2;`>5<5s49j87?;0:?0=f<6j>1v>o;:18185f<3;i;63b69~w6?b2909w0=6c;375>;41l0:n:5rs2;e>5<5s492j7?m7:?0=`<6<91v>o?:18185f83;i;63<9d8204=z{:k:6=4={<1b5?7e?2785h4>4b9~w6gc2908w0=n5;30b>;4io0:8<523`g95g152z?0ec<6<916?l851c58yv5fn3:1>v3h4}r1b3?6=:r78m;4>419>7d1=9k=0q~=n8;296~;4i?0:8<523`:95g152z?0e<<6j>16?l651528yv5fi3:1>v3b69>7d>=9=i0q~=nc;296~;4ij0:n:523`:950153z?123<6;o16>:<51538971628h<7p}=6g83>7}::?k1=>h4=34e>4d03ty9::4?:3y>624=9=:01?88:0`4?xu5?;0;6?u226095g1<5;=:6<=i;|q12=<72;q6>;951528970?28h<7p}=6883>7}::?=1=9?4=34:>4d03ty9:o4?:3y>63d=9k=01?8i:063?xu5>j0;6?u227a95g1<5;;|q12a<72;q6>;j51c58970a28>h7p}=6d83>7}::?o1=o94=34e>4303ty9;44?:2y>625=9:l01?9m:062?840i3;i;6s|26694?4|5;=i6<:?;<040?7e?2wx>:l50;0x971e28h<70<8a;30b>{t:>?1<7427348<97?m7:p620=838p1?9;:062?840>3;i;6s|26594?4|5;=<6:650;0x971?28h<70<86;375>{t<9n1<745a34>;i7?m7:p05g=838p19>j:01e?827i3;i;6s|41`94?4|5=:j6<:?;<63f?7e?2wx8=m50;0x916f28>:70:?c;3a3>{t;j<1<745a349h;7?m7:p7f5=838p1>m8:01e?85d;3;i;6s|3b694?4|5:i86<:?;<1`0?7e?2wx?n;50;0x96e428>:70=l5;3a3>{t9191<7=t=2a6>427349h97?;1:?2<6<6j>1v9:;:18182413;8j63;4482f2=z{=9j6=4={<671?74n27??l4>b69~w15e2909w0:;3;k0:n:5rs51`>5<5s4>8m7?;1:?77f<6j>1v9=k:181824l3;i;63;3b8205=z{=9n6=4={<60a?7e?27??n4>409~w0242908w0:;3;o0:8<5255195g18j7>52z?77c<6j>168>m515a8yv75:3:1?v3;418205=:<=:1=9?4=001>4d03ty?8=4?:3y>016=9k=019=l:074?xu3<80;6?u245395g1<5=9h6<;n;|q707<72;q689<51c58915d28?i7p};4283>7}:<=91=o94=51`>43d3ty::o4?:3y>537=9:l01<8l:0`4?xu6>;0;6?u217a956`<58<966583>7}:9?81=9?4=047>4d03ty?;94?:2y>533=9=:01<8::062?820<3;i;6s|17794?4|58<>6{t9?=1<74d034;=87?;c:p53>=838p1<87:0`4?871<3;>;6s|17;94?4|58<26{t9>=1<7=t=04g>45a34;<57?;1:?23=<6j>1v<8j:18187013;?<63>6d82f2=z{8=26=4={<34=?7e?27:;54>3g9~w40a2909w0?9e;374>;6>o0:n:5rs053>5<5s4;=i7?;1:?235<6j>1v9k<:18087093;?<63>708204=:b69>526=9=:0q~?82;296~;6?;0:n:52162951752z?236<6j>16=:>515a8yv70<3:1>v3>7582f2=:9>:1=894}r341?6=:r7:;84>b69>526=952z?0f3<6;o16?ol51c58yv5e?3:1>v3419>7g>=9k=0q~=m9;296~;4j>0:8<523c;95g152z?6<3<6;o1695l51c58yv3??3:1>v3:8c827c=:=1=1=o94}r7;4:4>419>1=>=9k=0q~;79;296~;20>0:8<5259;95g152z?6a5<6;o169h;51c58yv3b93:1>v3:e4827c=:=l;1=o94}r7f6?6=:r7>i<4>419>1`4=9k=0q~;j3;296~;2m80:8<525d195g152z?6a3<6;o169hl51c58yv3b?3:1>v3:ec827c=:=l=1=o94}r7fi:4>419>1`>=9k=0q~;j9;296~;2m>0:8<525d;95g1i7>52z?11d<6;o16>8h51c58yv42j3:1>v3=5g827c=::419>60e=9k=0q~<:d;296~;5=k0:8<5224f95g152z?05a<6;o16??<51c58yv56m3:1>v3<23827c=:;8o1=o94}r12b?6=:r78=h4>419>74`=9k=0q~==0;296~;49l0:8<5233295g152z?02=<6;o16?;j51c58yv5113:1>v3<6e827c=:;?31=o94}r15e?6=:r78:44>419>73g=9k=0q~=9b;296~;4>00:8<5237`95g17>52z?02`<6;o16?:=51c58yv51n3:1>v3<72827c=:;?l1=o94}r144?6=:r78:k4>419>726=9k=0q~=81;296~;4>o0:8<5236395g152z?0f5<6;o16?o;51c58yv5e93:1>v3419>7g4=9k=0q~=m3;296~;4j80:8<523c195g152z?0ff<6;o16?n?51c58yv5el3:1>v3419>7gc=9k=0q~=mf;296~;4jm0:8<523cd95g152z?0b4<6;o16?k851c58yv5a:3:1>v3419>7c5=9k=0q~=i4;296~;4n;0:8<523g695g152z?0b2<6;o16?km51c58yv5a03:1>v3419>7c?=9k=0q~=ia;296~;4n10:8<523gc95g145a34<:6m7?m7:?736<6<91v8l50;0x937=9:l018l51c58yv3d2909w0;m:063?83d28h<7p}:d;296~;2j3;?=63:d;3a3>{t=l0;6?u25d82f2=:=m0:8=5rs4d94?4|545a34<<67?m7:?736<6<81v;=50;0x931=9:l01;=51c58yv032909w08<:063?80328h<7p}95;296~;1;3;?=6395;3a3>{t91o1<745a34;3j7?m7:p5=2=838p1<6i:01e?87?<3;i;6s|19794?4|582?6<:?;<3;1?7e?2wx=5850;0x94>328>:70?76;3a3>{t9831<7=t=0:4>42734;3;7?;1:?25<<6j>1v<68:18187??3;i;63>878205=z{409>106=9k=0q~?78;296~;6010:n:52194951752z?2<<<6j>16=58515a8yv7?i3:1>v3>8`82f2=:91<1=894}r3;f?6=:r7:4o4>b69>5=0=952z?216=58514a8yv41<3:1>v3=61827c=::??1=o94}r055?6=:r79:84>3g9>637=9k=0q~<92;296~;5>80:8=5227095g152z?124<6<816>;=51c58yv54=3:1>v3<30827c=:;:<1=o94}r106?6=:r78?;4>3g9>764=9k=0q~=<3;296~;4;;0:8=5232195g152z?077<6<816?>:51c58yv5183:1>v3<5b827c=:;?;1=o94}r16`?6=:r78:<4>3g9>70b=9k=0q~=:e;296~;4=m0:8=5234g95g1j7>52z?01a<6<816?8h51c58yv51>3:1>v3<63827c=:;?=1=o94}r157?6=:r78::4>3g9>735=9k=0q~=94;296~;4>:0:8=5237695g152z?026<6<816?;;51c58yv50j3:1>v3<75827c=:;>i1=o94}r140?6=:r78;94>b69>0cg=9=;0q~=85;296~;4?j0:?k5236795g152z?030<6<916?:851c58yv50?3:1>v3<748204=:;>=1=o94}r14b69>721=9=:0q~=89;296~;4?00:n:52365951752z?03d<6j>16?:9515a8yv5b13:1>v33g9>7`0=9k=0q~=j7;296~;4m?0:8=523d595g152z?0a3<6<816?h651c58yv5bn3:1>v33g9>7`e=9k=0q~=jd;296~;4mj0:8=523df95g152z?0af<6<816?hk51c58yv27?3:1>v3b69>02c=9=;0q~:?6;296~;3880:?k5241495g152z?74=<6;o16?kk51c58yv5an3:1>v3409>056=9k=0q~:?2;296~;38;0:n:524149516;?7>52z?746<6j>168=851538yv27<3:1>v3;0582f2=:<9<1=9m4}r631?6=:r7?<84>b69>050=9<=0q~;<9;296~;2;<0:?k5252c95g153z?670<6j>16=o<5152894d528>:7p}:3783>7}:=:k1=>h4=415>4d03ty>?:4?:3y>160=9=:018=8:0`4?xu2;10;6?u25249517<5<9367}:=h?1=>h4=4;b>4d03ty>5o4?:3y>1;7p}:9d83>7}:=0o1=o94=4;`>4263ty>5k4?:3y>1<`=9k=0187l:06`?xu2i90;6?u25`295g1<5<3h6<;8;|q6e4<72;q69l?51c5890?d28?j7p}:a383>7}:=h81=o94=4;`>43e3ty>m>4?:3y>1d5=9k=0187l:07`?xu2n?0;6?u25g0956`<57}:=o91=9>4=4d7>4d03ty>j84?:3y>1c5=9=;018h::0`4?xu6ll0;6?u21e:956`<58nn628h<7p}>d`83>7}:9m31=9>4=0fb>4d03ty:ho4?:3y>5a?=9=;01:7p}>e483>7}:9ml1=>h4=0g6>4d03ty:i=4?:3y>5`3=9:l015153894c528h<7p}>e283>7}:9l91=o94=0g1>4273ty:i94?:3y>5`2=9k=01eb83>6}:9li1=o94=32g>427348;h7?;1:p5`1=838p1:70?j9;3a3>{t9lk1<74d034;n57?;0:p5`d=838p150;0x94`2289m70?i0;3a3>{t9o;1<742734;m=7?m7:p5c4=838p1{t:9;1<745a348;=7?m7:p656=838p1;70?i8;3a3>{t9o31<742634;m57?m7:p5cd=838p1{t9oo1<74d0348;<7?:7:p5c`=838p1m6s|21:94?4|5;:96<=i;<03==50;0x976?289m70{t:9>1<7427348;87?m7:p653=838p1?><:062?847=3;i;6s|21494?4|5;:=6=950;0x976028h<70{t:8?1<745a348:97?m7:p642=838p1?>i:01e?846<3;i;6s|21c94?4|5;;>6<=i;<03e?7e?2wx>=l50;0x976f28>;70{t:9i1<7426348;o7?m7:p65b=838p1?>k:0`4?847k3;?<6s|21g94?4|5;:n6<>50;0x977728h<70<>4;374>{t:8;1<74d0348:87?;1:p644=838p1??=:0`4?846<3;?o6s|20194?4|5;;86c;3a3>{t:8=1<745a348:;7?m7:p64>=838p1??8:063?84603;i;6s|20;94?4|5;;<6<:>;<02=?7e?2wx>9;374>{t:8h1<74d0348:57?;1:p670=838p1??k:01e?845>3;i;6s|20g94?4|5;8=6<=i;<02a?7e?2wx>;70<>f;3a3>{t:;:1<74263489<7?m7:p677=838p1?<>:0`4?84583;?<6s|23094?4|5;896?=50;0x974428h<70<=0;37g>{t:;>1<74d03489<7?:7:p673=838p1?<::0`4?84583;>m6s|23f94?4|5;8<6<=i;<01`?7e?2wx>?650;0x974c289m70<=8;3a3>{t:;31<7427348957?m7:p67g=838p1?<7:062?845i3;i;6s|23`94?4|5;8i6?m50;0x974d28h<70<=a;375>{t45a34>mn7?m7:p0c>=838p19hm:01e?82a03;i;6s|4g;94?4|5=l36<:?;<6e=?7e?2wx8ko50;0x91`?28>:70:ia;3a3>{t=8n1<745a34?:h7?m7:p14e=838p18?9:01e?836k3;i;6s|50194?4|5<;o6<=i;<727?7e?2wx9<:50;0x907428>;70;>4;3a3>{t=8?1<742634?:97?m7:p0f0=839p18?::063?836=3;?=63;c782f2=z{<;<6=4={<723?7e?27>=n4>419~w07?2909w0;>8;3a3>;29j0:8<5rs43:>5<5s4?:57?m7:?65f<6=n4>5`9~w0322909w0;;c;30b>;2=<0:n:5rs477>5<5s4?><7?1v8:k:181832=3;8j63:4e82f2=z{<>n6=4={<77`?73827>8h4>b69~w02a2909w0;;d;375>;25<5s4?>=7?m7:?611<6<91v8;=:181832:3;i;63:558204=z{994>4b9~w01d2909w0;88;30b>;2?j0:n:5rs45:>5<5s4?1v89n:18183013;?<63:7`82f2=z{<=i6=4={<74=?73927>;o4>b69~w1112909w0:80;30b>;3??0:n:5rs556>5<5s4><87?1v99>:181820>3;8j63;7082f2=z{==96=4={<645?73827?;?4>b69~w1142909w0:81;375>;3?:0:n:5rs5a;>5<5s4>h>7?1v9m8:18182d>3;8j63;c682f2=z{=i86=4={<6`=?74n27?o>4>b69~w1e32909w0:l3;374>;3k=0:n:5rs5a6>5<5s4>h?7?;1:?7g0<6j>1v9k6:18182cn3;8j63;e`82f2=z{=o36=4={<6f7?74n27?i54>b69~w1c72909w0:ja;30b>;3m90:n:5rs5g2>5<5s4>n<7?;0:?7a4<6j>1v9k=:18182b83;?=63;e382f2=z{=o?6=4={<6f0?7e?27?i54>419~w1c22909w0:j5;3a3>;3m10:8<5rs5g5>5<5s4>n:7?m7:?7a=<6b69~w1cb2909w0:i4;30b>;3ml0:n:5rs5ge>5<5s4>ni7?;0:?7ac<6j>1v9h?:18182bm3;?=63;f182f2=z{=l:6=4={<6e5?7e?27?j=4>419~w1`52909w0:i2;3a3>;3n90:8<5rs5d0>5<5s4>m?7?m7:?7b5<6:18187fj3;8j63>b082f2=z{8ko6=4={<3bg?74n27:mi4>b69~w4d52909w0?nd;30b>;6j;0:n:5rs0cf>5<5s4;i=7?1vag82f2=z{8h;6=4={<3ba?73927:n=4>b69~wd`=838p1l:512d89d`=9k=0q~oj:1818g?289m70oj:0`4?xuf=3:1>v3nf;30b>;f=3;i;6s|a783>7}:i<0:8=52a782f2=z{h=1<75<5s4k264273tyjm7>52z?be?7e?27ji7?;1:peg<72;q6mo4>b69>e`<6{tj=0;6?u2b6827c=:j=0:n:5rsc794?4|5k>1=9>4=c795g142634h=6=9:l0196?:0`4?xu3?h0;6?u2492956`<5==j67}:<>k1=9?4=55`>4d03ty?;i4?:3y>02b=9k=0199l:063?xu3?l0;6?u246g95g1<5==h6<:>;|q7=<<72:q684=512d89f4=9:l0197n:0`4?xu31=0;6?u248c956`<5=3?67}:<0>1=9?4=5;5>4d03ty?5:4?:3y>0<1=9k=01979:063?xu3110;6?u248:95g1<5=3=6<:>;|q661<72;q69?>512d8904328h<7p}:2083>7}:=;>1=>h4=402>4d03ty>>?4?:3y>177=9=:018<=:0`4?xu2::0;6?u25339517<5<8867}:=;h1=>h4=40;>4d03ty>>44?:3y>17>=9=:018<6:0`4?xu2:h0;6?u253:9517<5<8j6j512d8902>28h<7p}:4983>7}:==91=>h4=46;>4d03ty>?h4?:3y>11?=9:l018=j:0`4?xu2;o0;6?u252g9516<5<9m6k51538902728h<7p}>2183>6}:==;1=9>4=462>42634;9<7?m7:p117=838p18:>:0`4?83383;?<6s|55094?4|5<>96{t==?1<74d034??47?;1:p110=838p18:9:0`4?83303;?o6s|55594?4|5<><6`7<6;o16h;4>b69~wa5=838p1i8512d89a5=9k=0q~j;:1818b428>;70j;:0`4?xuc=3:1>v3k3;375>;c=3;i;6s|d083>7}:km0:?k52d082f2=z{jo1<75<5s4in6<:?;4d03tyo<7>52z?`a?73927o<7?m7:p164=838p18>50;0x904a28>;70;<0;3a3>{t=:;1<742634?8=7?m7:paf<72;q6i84>3g9>af<6j>1vhj50;1x9`0=9=:01h8515389`b=9k=0q~k9:1818c128h<70kl:063?xub?3:1>v3j7;3a3>;bk3;?=6s|e983>7}:m10:n:52eb820f=z{l31<75<5s4oj643f3tynn7>52z?ff?7e?27no7?:b:pb4<72;q6ii4>3g9>b4<6j>1vhk50;0x9`c=9k=01k?51528yvca2909w0ki:0`4?8`628>:7p}i0;296~;a83;i;63i1;37g>{tn:0;6?u2f3827c=:n:0:n:5rsg094?5|5o81=o94=g79516<5o?1=9?4}rda>5<5s4l?6<=i;4d03tym97>52z?e1?7e?27mn7?;0:pb3<72;q6j;4>b69>bg<6<81vk950;0x9c1=9k=01kl515a8yv`?2909w0h7:0`4?8`e28?<7p}i9;296~;a13;i;63ib;36e>{tnh0;6?u2f`82f2=:nk0:9o5rs021>5<5s4lh6<=i;<336?7e?2wxji4?:3y>ba<6j>16==<51528yv`b2909w0hj:0`4?877:3;?=6s|fg83>7}:no0:n:52110951e52z?245<6j>16==<51458yv7793:1>v3>0082f2=:9981=8o4}r33=?6=:r7:<>4>3g9>55?=9k=0q~??4;296~;68=0:n:5211;951652z?240<6j>16==751538yv77>3:1>v3>0782f2=:9931=9m4}r333?6=:r7:<:4>b69>55?=9<=0q~??8;296~;6810:n:5211;950g52z?24d<6;o16=v3>0c82f2=:98;1=9>4}r33g?6=:r7:b69>547=9=;0q~??d;296~;68m0:n:52103951e52z?24`<6j>16=v3>0g82f2=:98;1=8o4}r324?6=:r7:==4>b69>547=98;296~;69;0:?k5210:95g152z?256<6j>16=<651528yv76<3:1>v3>1582f2=:9821=9?4}r321?6=:r7:=84>b69>54>=9=i0q~?>6;296~;69?0:n:5210:950152z?252<6j>16=<6514c8yv76n3:1>v3>18827c=:98l1=o94}r32e?6=:r7:=l4>b69>54`=9=:0q~?>b;296~;69k0:n:5210d951752z?25f<6j>16=v3>1e82f2=:98l1=894}r32a?6=:r7:=h4>b69>54`=952z?267<6;o16=?651c58yv75;3:1>v3>2282f2=:9;21=9>4}r310?6=:r7:>94>b69>57>=9=;0q~?=5;296~;6:<0:n:5213:951e52z?263<6j>16=?651458yv75?3:1>v3>2682f2=:9;21=8o4}r31b?6=:r7:>44>3g9>57`=9k=0q~?=a;296~;6:h0:n:5213d951652z?26g<6j>16=?h51538yv75k3:1>v3>2b82f2=:9;l1=9m4}r31`?6=:r7:>i4>b69>57`=9<=0q~?=e;296~;6:l0:n:5213d950g52z?275<6;o16=>851c58yv7493:1>v3>3082f2=:9:<1=9>4}r306?6=:r7:??4>b69>560=9=;0q~?<3;296~;6;:0:n:52124951e52z?271<6j>16=>851458yv74=3:1>v3>3482f2=:9:<1=8o4}|l6a04=83;pqc;j5283>4}zf87>51zm1`32290:wp`:e4494?7|ug?n9:4?:0y~j0c203:1=vsa5d7:>5<6std>i8o50;3xyk3b=k0;6{|l6a34=83;pqc;j6283>4}zf51zm1`02290:wp`:e7494?7|ug?n::4?:0y~j0c103:1=vsa5d4:>5<6std>i;o50;3xyk3b>k0;6{|l6a24=83;pqc;j7283>4}zf51zm1`12290:wp`:e6494?7|ug?n;:4?:0y~j0c003:1=vsa5d5:>5<6std>i:o50;3xyk3b?k0;6o1<7?t}o7f3c<728qvb8k70;295~{i=l2:6=4>{|l6a=4=83;pqc;j8283>4}zf51zm1`>2290:wp`:e9494?7|ug?n4:4?:0y~j0c?03:1=vsa5d::>5<6std>i5o50;3xyk3b0k0;6{|l6a<4=83;pqc;j9283>4}zf51zm1`?2290:wp`:e8494?7|ug?n5:4?:0y~j0c>03:1=vsa5d;:>5<6std>i4o50;3xyk3b1k0;6{|l6ad4=83;pqc;ja283>4}zf51zm1`g2290:wp`:e`494?7|ug?nm:4?:0y~j0cf03:1=vsa5dc:>5<6std>ilo50;3xyk3bik0;6{|l6ag4=83;pqc;jb283>4}zf51zm1`d2290:wp`:ec494?7|ug?nn:4?:0y~j0ce03:1=vsa5d`:>5<6std>ioo50;3xyk3bjk0;6{|l6af4=83;pqc;jc283>4}zf51zm1`e2290:wp`:eb494?7|ug?no:4?:0y~j0cd03:1=vsa5da:>5<6std>ino50;3xyk3bkk0;6{|l6aa4=83;pqc;jd283>4}zf51zm1`b2290:wp`:ee494?7|ug?nh:4?:0y~j0cc03:1=vsa5df:>5<6std>iio50;3xyk3blk0;6{|l6a`4=83;pqc;je283>4}zf51zm1`c2290:wp`:ed494?7|ug?ni:4?:0y~j0cb03:1=vsa5dg:>5<6std>iho50;3xyk3bmk0;6{|l6ac4=83;pqc;jf283>4}zf51zm1``2290:wp`:eg494?7|ug?nj:4?:0y~j0ca03:1=vsa5dd:>5<6std>iko50;3xyk3bnk0;6{|l6b54=83;pqc;i0283>4}zf51zm1c62290:wp`:f1494?7|ug?m<:4?:0y~j0`703:1=vsa5g2:>5<6std>j=o50;3xyk3a8k0;6k:182xh2n9o1<7?t}o7e4c<728qvb8h>0;295~{i=o;:6=4>{|l6b44=83;pqc;i1283>4}zf51zm1c72290:wp`:f0494?7|ug?m=:4?:0y~j0`603:1=vsa5g3:>5<6std>j{|l6b74=83;pqc;i2283>4}zf51zm1c42290:wp`:f3494?7|ug?m>:4?:0y~j0`503:1=vsa5g0:>5<6std>j?o50;3xyk3a:k0;6{|l6b64=83;pqc;i3283>4}zf51zm1c52290:wp`:f2494?7|ug?m?:4?:0y~j0`403:1=vsa5g1:>5<6std>j>o50;3xyk3a;k0;6:6=4>{|l6b14=83;pqc;i4283>4}zf51zm1c22290:wp`:f5494?7|ug?m8:4?:0y~j0`303:1=vsa5g6:>5<6std>j9o50;3xyk3a{|l6b04=83;pqc;i5283>4}zf87>51zm1c32290:wp`:f4494?7|ug?m9:4?:0y~j0`203:1=vsa5g7:>5<6std>j8o50;3xyk3a=k0;6{|l6b34=83;pqc;i6283>4}zf51zm1c02290:wp`:f7494?7|ug?m::4?:0y~j0`103:1=vsa5g4:>5<6std>j;o50;3xyk3a>k0;6{|l6b24=83;pqc;i7283>4}zf51zm1c12290:wp`:f6494?7|ug?m;:4?:0y~j0`003:1=vsa5g5:>5<6std>j:o50;3xyk3a?k0;6o1<7?t}o7e3c<728qvb8h70;295~{i=o2:6=4>{|l6b=4=83;pqc;i8283>4}zf51zm1c>2290:wp`:f9494?7|ug?m4:4?:0y~j0`?03:1=vsa5g::>5<6std>j5o50;3xyk3a0k0;6{|l6b<4=83;pqc;i9283>4}zf51zm1c?2290:wp`:f8494?7|ug?m5:4?:0y~j0`>03:1=vsa5g;:>5<6std>j4o50;3xyk3a1k0;6{|l6bd4=83;pqc;ia283>4}zf51zm1cg2290:wp`:f`494?7|ug?mm:4?:0y~j0`f03:1=vsa5gc:>5<6std>jlo50;3xyk3aik0;6{|l6bg4=83;pqc;ib283>4}zf51zm1cd2290:wp`:fc494?7|ug?mn:4?:0y~j0`e03:1=vsa5g`:>5<6std>joo50;3xyk3ajk0;6{|l6bf4=83;pqc;ic283>4}zf51zm1ce2290:wp`:fb494?7|ug?mo:4?:0y~j0`d03:1=vsa5ga:>5<6std>jno50;3xyk3akk0;6{|l6ba4=83;pqc;id283>4}zf51zm1cb2290:wp`:fe494?7|ug?mh:4?:0y~j0`c03:1=vsa5gf:>5<6std>jio50;3xyk3alk0;6{|l6b`4=83;pqc;ie283>4}zf51zm1cc2290:wp`:fd494?7|ug?mi:4?:0y~j0`b03:1=vsa5gg:>5<6std>jho50;3xyk3amk0;6{|l6bc4=83;pqc;if283>4}zf51zm1c`2290:wp`:fg494?7|ug?mj:4?:0y~j0`a03:1=vsa5gd:>5<6std>jko50;3xyk3ank0;6?0;295~{i>9::6=4>{|l5454=83;pqc8?0283>4}zf?:;87>51zm2562290:wp`901494?7|ug<;<:4?:0y~j36703:1=vsa612:>5<6std=<=o50;3xyk078k0;6k:182xh189o1<7?t}o434c<728qvb;>>0;295~{i>9;:6=4>{|l5444=83;pqc8?1283>4}zf?::87>51zm2572290:wp`900494?7|ug<;=:4?:0y~j36603:1=vsa613:>5<6std=<=0;295~{i>98:6=4>{|l5474=83;pqc8?2283>4}zf?:987>51zm2542290:wp`903494?7|ug<;>:4?:0y~j36503:1=vsa610:>5<6std=<0;295~{i>99:6=4>{|l5464=83;pqc8?3283>4}zf?:887>51zm2552290:wp`902494?7|ug<;?:4?:0y~j36403:1=vsa611:>5<6std=<>o50;3xyk07;k0;6;0;295~{i>9>:6=4>{|l5414=83;pqc8?4283>4}zf?:?87>51zm2522290:wp`905494?7|ug<;8:4?:0y~j36303:1=vsa616:>5<6std=<9o50;3xyk07:0;295~{i>9?:6=4>{|l5404=83;pqc8?5283>4}zf?:>87>51zm2532290:wp`904494?7|ug<;9:4?:0y~j36203:1=vsa617:>5<6std=<8o50;3xyk07=k0;690;295~{i>9<:6=4>{|l5434=83;pqc8?6283>4}zf?:=87>51zm2502290:wp`907494?7|ug<;::4?:0y~j36103:1=vsa614:>5<6std=<;o50;3xyk07>k0;680;295~{i>9=:6=4>{|l5424=83;pqc8?7283>4}zf?:<87>51zm2512290:wp`906494?7|ug<;;:4?:0y~j36003:1=vsa615:>5<6std=<:o50;3xyk07?k0;6o1<7?t}o433c<728qvb;>70;295~{i>92:6=4>{|l54=4=83;pqc8?8283>4}zf?:387>51zm25>2290:wp`909494?7|ug<;4:4?:0y~j36?03:1=vsa61::>5<6std=<5o50;3xyk070k0;660;295~{i>93:6=4>{|l54<4=83;pqc8?9283>4}zf?:287>51zm25?2290:wp`908494?7|ug<;5:4?:0y~j36>03:1=vsa61;:>5<6std=<4o50;3xyk071k0;6n0;295~{i>9k:6=4>{|l54d4=83;pqc8?a283>4}zf?:j87>51zm25g2290:wp`90`494?7|ug<;m:4?:0y~j36f03:1=vsa61c:>5<6std=m0;295~{i>9h:6=4>{|l54g4=83;pqc8?b283>4}zf?:i87>51zm25d2290:wp`90c494?7|ug<;n:4?:0y~j36e03:1=vsa61`:>5<6std=l0;295~{i>9i:6=4>{|l54f4=83;pqc8?c283>4}zf?:h87>51zm25e2290:wp`90b494?7|ug<;o:4?:0y~j36d03:1=vsa61a:>5<6std=k0;295~{i>9n:6=4>{|l54a4=83;pqc8?d283>4}zf?:o87>51zm25b2290:wp`90e494?7|ug<;h:4?:0y~j36c03:1=vsa61f:>5<6std=j0;295~{i>9o:6=4>{|l54`4=83;pqc8?e283>4}zf?:n87>51zm25c2290:wp`90d494?7|ug<;i:4?:0y~j36b03:1=vsa61g:>5<6std=i0;295~{i>9l:6=4>{|l54c4=83;pqc8?f283>4}zf?:m87>51zm25`2290:wp`90g494?7|ug<;j:4?:0y~j36a03:1=vsa61d:>5<6std=8::6=4>{|l5554=83;pqc8>0283>4}zf?;;87>51zm2462290:wp`911494?7|ug<:<:4?:0y~j37703:1=vsa602:>5<6std===o50;3xyk068k0;6k:182xh199o1<7?t}o424c<728qvb;?>0;295~{i>8;:6=4>{|l5544=83;pqc8>1283>4}zf?;:87>51zm2472290:wp`910494?7|ug<:=:4?:0y~j37603:1=vsa603:>5<6std==88:6=4>{|l5574=83;pqc8>2283>4}zf?;987>51zm2442290:wp`913494?7|ug<:>:4?:0y~j37503:1=vsa600:>5<6std==?o50;3xyk06:k0;689:6=4>{|l5564=83;pqc8>3283>4}zf?;887>51zm2452290:wp`912494?7|ug<:?:4?:0y~j37403:1=vsa601:>5<6std==>o50;3xyk06;k0;68>:6=4>{|l5514=83;pqc8>4283>4}zf?;?87>51zm2422290:wp`915494?7|ug<:8:4?:0y~j37303:1=vsa606:>5<6std==9o50;3xyk068?:6=4>{|l5504=83;pqc8>5283>4}zf?;>87>51zm2432290:wp`914494?7|ug<:9:4?:0y~j37203:1=vsa607:>5<6std==8o50;3xyk06=k0;68<:6=4>{|l5534=83;pqc8>6283>4}zf?;=87>51zm2402290:wp`917494?7|ug<:::4?:0y~j37103:1=vsa604:>5<6std==;o50;3xyk06>k0;68=:6=4>{|l5524=83;pqc8>7283>4}zf?;<87>51zm2412290:wp`916494?7|ug<:;:4?:0y~j37003:1=vsa605:>5<6std==:o50;3xyk06?k0;6o1<7?t}o423c<728qvb;?70;295~{i>82:6=4>{|l55=4=83;pqc8>8283>4}zf?;387>51zm24>2290:wp`919494?7|ug<:4:4?:0y~j37?03:1=vsa60::>5<6std==5o50;3xyk060k0;683:6=4>{|l55<4=83;pqc8>9283>4}zf?;287>51zm24?2290:wp`918494?7|ug<:5:4?:0y~j37>03:1=vsa60;:>5<6std==4o50;3xyk061k0;68k:6=4>{|l55d4=83;pqc8>a283>4}zf?;j87>51zm24g2290:wp`91`494?7|ug<:m:4?:0y~j37f03:1=vsa60c:>5<6std==lo50;3xyk06ik0;68h:6=4>{|l55g4=83;pqc8>b283>4}zf?;i87>51zm24d2290:wp`91c494?7|ug<:n:4?:0y~j37e03:1=vsa60`:>5<6std==oo50;3xyk06jk0;68i:6=4>{|l55f4=83;pqc8>c283>4}zf?;h87>51zm24e2290:wp`91b494?7|ug<:o:4?:0y~j37d03:1=vsa60a:>5<6std==no50;3xyk06kk0;68n:6=4>{|l55a4=83;pqc8>d283>4}zf?;o87>51zm24b2290:wp`91e494?7|ug<:h:4?:0y~j37c03:1=vsa60f:>5<6std==io50;3xyk06lk0;68o:6=4>{|l55`4=83;pqc8>e283>4}zf?;n87>51zm24c2290:wp`91d494?7|ug<:i:4?:0y~j37b03:1=vsa60g:>5<6std==ho50;3xyk06mk0;68l:6=4>{|l55c4=83;pqc8>f283>4}zf?;m87>51zm24`2290:wp`91g494?7|ug<:j:4?:0y~j37a03:1=vsa60d:>5<6std==ko50;3xyk06nk0;6;::6=4>{|l5654=83;pqc8=0283>4}zf?8;87>51zm2762290:wp`921494?7|ug<9<:4?:0y~j34703:1=vsa632:>5<6std=>=o50;3xyk058k0;6k:182xh1:9o1<7?t}o414c<728qvb;<>0;295~{i>;;:6=4>{|l5644=83;pqc8=1283>4}zf?8:87>51zm2772290:wp`920494?7|ug<9=:4?:0y~j34603:1=vsa633:>5<6std=>;8:6=4>{|l5674=83;pqc8=2283>4}zf?8987>51zm2742290:wp`923494?7|ug<9>:4?:0y~j34503:1=vsa630:>5<6std=>?o50;3xyk05:k0;6;9:6=4>{|l5664=83;pqc8=3283>4}zf?8887>51zm2752290:wp`922494?7|ug<9?:4?:0y~j34403:1=vsa631:>5<6std=>>o50;3xyk05;k0;6;>:6=4>{|l5614=83;pqc8=4283>4}zf?8?87>51zm2722290:wp`925494?7|ug<98:4?:0y~j34303:1=vsa636:>5<6std=>9o50;3xyk05;?:6=4>{|l5604=83;pqc8=5283>4}zf?8>87>51zm2732290:wp`924494?7|ug<99:4?:0y~j34203:1=vsa637:>5<6std=>8o50;3xyk05=k0;6;<:6=4>{|l5634=83;pqc8=6283>4}zf?8=87>51zm2702290:wp`927494?7|ug<9::4?:0y~j34103:1=vsa634:>5<6std=>;o50;3xyk05>k0;6;=:6=4>{|l5624=83;pqc8=7283>4}zf?8<87>51zm2712290:wp`926494?7|ug<9;:4?:0y~j34003:1=vsa635:>5<6std=>:o50;3xyk05?k0;6o1<7?t}o413c<728qvb;<70;295~{i>;2:6=4>{|l56=4=83;pqc8=8283>4}zf?8387>51zm27>2290:wp`929494?7|ug<94:4?:0y~j34?03:1=vsa63::>5<6std=>5o50;3xyk050k0;6;3:6=4>{|l56<4=83;pqc8=9283>4}zf?8287>51zm27?2290:wp`928494?7|ug<95:4?:0y~j34>03:1=vsa63;:>5<6std=>4o50;3xyk051k0;6;k:6=4>{|l56d4=83;pqc8=a283>4}zf?8j87>51zm27g2290:wp`92`494?7|ug<9m:4?:0y~j34f03:1=vsa63c:>5<6std=>lo50;3xyk05ik0;6;h:6=4>{|l56g4=83;pqc8=b283>4}zf?8i87>51zm27d2290:wp`92c494?7|ug<9n:4?:0y~j34e03:1=vsa63`:>5<6std=>oo50;3xyk05jk0;6;i:6=4>{|l56f4=83;pqc8=c283>4}zf?8h87>51zm27e2290:wp`92b494?7|ug<9o:4?:0y~j34d03:1=vsa63a:>5<6std=>no50;3xyk05kk0;6;n:6=4>{|l56a4=83;pqc8=d283>4}zf?8o87>51zm27b2290:wp`92e494?7|ug<9h:4?:0y~j34c03:1=vsa63f:>5<6std=>io50;3xyk05lk0;6;o:6=4>{|l56`4=83;pqc8=e283>4}zf?8n87>51zm27c2290:wp`92d494?7|ug<9i:4?:0y~j34b03:1=vsa63g:>5<6std=>ho50;3xyk05mk0;67AZTQWW>WG;980;2<:4228JJUSS2HUM_O2=3;3=b>5=AGZ^X7]X<282:1=FFM8?7L@K359BJA233HDO995NNE47?DHC001JSK]M<02==>GXNZH7=<06;@]EWG:6:730MRH\B=30:<=FWOYI0<:19:C\BVD;9<427LQISC>22;?89B[CUE482556OPFR@?5<8?3HUM_O2>>89B[CUE4;:556OPFR@?648>3HUM_O2=2?`8EZ@TJ5886<06;@]EWG:5;720MRH\B=0=<>GXNZH7?364A^DPF92902KTJ^L35?:8EZ@TJ5<546OPFR@?3;>3^KAQCbGXNZHT=;QFBTDg?DYA[KU:;RGMUGf8EZ@TJV;3SDLZFe9B[CUEW83TEO[Ic:C\BVDX9VCIYKj4A^DPFZ47W@H^Ji5N_GQA[77XAK_Mh6OPFR@\67YNJ\Lo7LQISC]17ZOE]Oi0MRH\B^0\MGSAk2KTJ^LP3^KAQCeGXNZHT9RGMUGa8EZ@TJVXAK_Mo6OPFR@\=ZOE]O>0NLM[8:@VWZOINF;0O95L17O:?F71EVCIYK84C32NAKdB03Mkm1>17:Fbpd:6681N:6KPICWE0>@DDB30JNBD_H@VB7=AL=1MHNK>;F18CKB63@80E=<4I008M74>0ELM\4:KAQC?JHO@IJ@l5CPL][KW4X9;1E<>5A0008J41;N68KGSA=2EIYKK<;NP17>IU;81[o6^!21305574WE>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM81Z96\N<1<5?WG;99437_O31083:3=UI5;:285]A=3=1>TF4;4>7_O33?78VD:36<1YM1;15:PB83823[K7;3;4R@>;:0=UI53596\NMBQ:?WGJW[ojht74R@]3[JDRNh1YMR??_N@VBd=UIV;:SBLZF89QEZ7XGK_M56\N_3]LFP@>3[KT?RAMUG;8VDY3WFH^J45]A^7\KGSA12XJS;Q@BTD:?WGX?VEIYK74R@];[JDRN01YMR7POCWE1>THEJY=7_k|umv5?VIRZJO>7^Y31?78WR:56>1X[1=51?78WR:46=1_U]Kl;TQFVZPN[@HGI>5YCB;8RLCPW]S[I;5XasrPA4b4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{a:amp*Gh}}EN=?5lnu-BkprHMVg~t=>?0018gkr(IfCHQbuy234576;2iex"O`uuMF[hs89:;>45lnu-JTI4u981hby!FPM0q[kis89::=?5lnu-JTI4uWge<=>>1e9`jq)K\`gn~1>1e:amp*JSadoy0<>1e:amp*JSadoy03j4cov,HQojm{682i5lnu-OPlkbz5>5h6mat.NWmhcu4<4o7n`{/MVji`t;>7n0ocz LUknaw:06m1hby!CThofv9>9l2iex"B[ilgq8<8d3jd#AZfmdp\440Pnnv345669<1hby!CThofvZ6XWhdo<=>?179`jq)K\`gn~R>P_`lg456798<0ocz LUknawY7WVkeh=>?0335?fhs'E^bah|P0^]bja67899h7n`{/MVji`tX9m1hby!CThofvZ779?1hby!CThofvZ77WVkeh=>?0058gkr(D]cfiQ>0^]bja6789;:;6mat.NWmhcuW8:TSl`k01236a=df}%GXdcjr^3253=df}%GXdcjr^32[Zgil9:;<<94cov,HQojm{U:=RQnne234576?2iex"B[ilgq[47XWhdo<=>?2e9`jq)K\`gn~R?=179`jq)K\`gn~R?=_^cm`56788=0ocz LUknawY6:VUjbi>?01323>ei|&F_e`k}_00\[dhc89:;>i5lnu-OPlkbzV;8=;5lnu-OPlkbzV;8SRoad1234417:amp*JSadoyS<=P_`lg4567:8?0ocz LUknawY6WVkeh=>?0048gkr(D]cfiQ>_^cm`56788;=7n`{/MVji`tX9VUjbi>?010`?fhs'E^bah|P2078gkr(D]cfiQ=_^cm`56788<0ocz LUknawY5WVkeh=>?0035?fhs'E^bah|P2^]bja67898h7n`{/MVji`tX;8?0ocz LUknawY4WVkeh=>?0048gkr(D]cfiQ<_^cm`56788;=7n`{/MVji`tX;VUjbi>?010`?fhs'E^bah|P4078gkr(D]cfiQ;_^cm`56788<0ocz LUknawY3WVkeh=>?0035?fhs'E^bah|P4^]bja67898h7n`{/MVji`tX=8?0ocz LUknawY2WVkeh=>?0048gkr(D]cfiQ:_^cm`56788;=7n`{/MVji`tX=VUjbi>?010`?fhs'E^bah|P6078gkr(D]cfiQ9_^cm`56788<0ocz LUknawY1WVkeh=>?0035?fhs'E^bah|P6^]bja67898h7n`{/MVji`tX?8?0ocz LUknawY0WVkeh=>?0048gkr(D]cfiQ8_^cm`56788;=7n`{/MVji`tX?VUjbi>?010`?fhs'E^bah|P8078gkr(D]cfiQ7_^cm`56788<0ocz LUknawY?WVkeh=>?0035?fhs'E^bah|P8^]bja67898h7n`{/MVji`tX18?0ocz LUknawY>WVkeh=>?0048gkr(D]cfiQ6_^cm`56788;=7n`{/MVji`tX1VUjbi>?0101<>ei|&F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6:<3<7;blw+IRnelxTAljk_^cg`5678VGscQ]erwop9766;20ocz LUknawYJimnTSljk0123[H~hzVXnxb{<00=6==df}%GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=>0=7:amp*JSadoyS@okd^]b`a6789UFtb|PRdqvhq:66;=0ocz LUknawYJimnTSljk0123[H~hzVXnxb{<3<13>ei|&F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}682?94cov,HQojm{UFmijP_`fg4567WDrd~R\jstnw8185?2iex"B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2:>358gkr(D]cfiQBaef\[dbc89:;S@v`r^Pfwpjs4?49;6mat.NWmhcuWDkohRQnde2345YJpfxT^h}zlu>4:71?_LzlvZTb{|f050=7:amp*JSadoyS@okd^]b`a6789UFtb|PRdqvhq:>6;;0ocz LUknawYJimnTSljk0123[Zgil9:;?0002?fhs'E^bah|PM`fg[Zgcl9:;?0^]bja6788;9=6mat.NWmhcuWDkohRQnde2345YXign;<=<=2:amp*JSadoyS@okd^]b`a6789UTmcj?010264=df}%GXdcjr^Ob`aYXimn;<=>P_`lg4564:;1hby!CThofvZKflmUTmij?012\[dhc89:8=??4cov,HQojm{UFmijP_`fg4567WVkeh=>?4308gkr(D]cfiQBaef\[dbc89:;SRoad12304463jd#AZfmdp\IdbcWVkoh=>?0^]bja678<897n`{/MVji`tXEhnoSRokd1234ZYffm:;<8?=1:amp*JSadoyS@okd^]b`a6789UTmcj?01416>ei|&F_e`k}_Lcg`ZYflm:;<=QPaof34506:81hby!CThofvZKflmUTmij?012\[dhc89:<>?5lnu-OPlkbzVGjhiQPaef3456XWhdo<=>81308gkr(D]cfiQBaef\[dbc89:;SRoad12337463jd#AZfmdp\IdbcWVkoh=>?0^]bja6781897n`{/MVji`tXEhnoSRokd1234ZYffm:;<5?=1:amp*JSadoyS@okd^]b`a6789UTmcj?01;16>ei|&F_e`k}_Lcg`ZYflm:;<=QPaof345?6:;1hby!CThofvZKflmUTmij?012\[dhc89:2>?<4cov,HQojm{UFmijP_`fg4567WVkeh=>?9202?fhs'E^bah|PM`fg[Zgcl9:;?0^]bja6799;9=6mat.NWmhcuWDkohRQnde2345YXign;<1hby!CThofvZYflm:;<=2>2?34?fhs'E^bah|P_`fg45674895=;5lnu-OPlkbzVUjhi>?01>2:403?9;blw+IRnelxTSljk01238686>2iex"B[ilgq[Zgcl9:;<1:1179`jq)K\`gn~RQnde2345:268<0ocz LUknawYXimn;<=>36?35?fhs'E^bah|P_`fg45674>4::6mat.NWmhcuWVkoh=>?0=:=53=df}%GXdcjr^]b`a6789622<<4cov,HQojm{Ujbi>?0130?fhs'E^bah|Paof34566981hby!_OSQ\BVKXNOn:=95lnu-SKWUXNZGTJKj>-Hl21>ei|&ZD^^QISL]EBa7*Ag;:96mat.RLVVYA[DUMJi?"Io021>ei|&ZD^^QISL]EBa7*Ag9:96mat.RLVVYA[DUMJi?"Io625>ei|&ZD^^QISL]EBa46<2iex"^@RR]EWHYANm8&Ec?:;blw+UIU[VLXARHId3/Jj4723jd#]A]S^DPIZ@Al;'Bb??:;blw+UIU[VLXARHId3/Jj6723jd#]A]S^DPIZ@Al;'Bb9?:;blw+UIU[VLXARHId3/Jj0763jd#]A]S^DPIZIr|:;97n`{/QMQWZ@TEVE~x>?>2:amp*VHZZUM_@Q@uu1156=df}%[C_]Pclr\at678:k0ocz RddQat763jd#_kiRds\kw6789;97n`{/SgeV`wXg{:;<=?>2:amp*Tbn[ozSb|?012157=df}%Yik\jq^mq4567;880ocz RddQatYhz9:;<9?=;blw+WcaZl{Tc>?01726>ei|&Xnj_k~_np345619;1hby!]egPfuZiu89:;;<<4cov,V``UmxUd~=>?09f8gkr(Zll^~jkat068gkr(Zll^~jkat^mq45679<1hby!]egWqc`hsWfx;<=>>149`jq)Umo_ykh`{_np345659<1hby!]egWqc`hsWfx;<=><149`jq)Umo_ykh`{_np345639<1hby!]egWqc`hsWfx;<=>:149`jq)Umo_ykh`{_np345619<1hby!]egWqc`hsWfx;<=>8149`jq)Umo_ykh`{_np3456?k2iex"Zfmdp?4;eei|&^bah|32?a8gkr(\`gn~1=1b:amp*Rnelx_O<84cov,Plkbz]ITo`~Pep2345703jd#YgbesV@[fkwWl{;<=>>169`jq)SadoyXNQlmq]fu5678;;<7n`{/UknawRDWjg{Sh?012052=df}%_e`k}TB]`iuYby9:;<9l4cov,PlkbzV::86mat.Vji`tX8VUjbi>?0136?fhs']cfiQ?_^cm`56788;>7n`{/UknawY7WVkeh=>?0336?fhs']cfiQ?_^cm`5678:h0ocz ThofvZ76<2iex"Zfmdp\5ZYffm:;<=?:;blw+Qojm{U:SRoad12344723jd#Ygbes]2[Zgil9:;?0136?fhs']cfiQ=_^cm`56788;>7n`{/UknawY5WVkeh=>?03`8gkr(\`gn~R=>4:amp*RnelxT?RQnne2345723jd#Ygbes]0[Zgil9:;<?_LzlvZTb{|f0<0=6:amp*RnelxTAljk_^cg`5678VGscQ]erwop949:?1hby![ilgq[HgclVUjhi>?01]N|jtXZly~`y2<>328gkr(\`gn~RCnde]\eab789:TSl`k012364=df}%_e`k}_Lcg`ZYflm:;<=QPaof34566:91hby![ilgq[HgclVUjhi>?01]\ekb789;9=6mat.Vji`tXEhnoSRokd1234ZYffm:;<5:amp*RnelxTSljk01238486=2iex"Zfmdp\[dbc89:;0?0>5:amp*RnelxTSljk0123868e3jd#cL]_HMA56=df}%eN_QFOC]nq}6789;?7n`{/o@Q[LIEWds<=>?1068gkr(fKXTEBLPmtz345659=1hby!aBS]JKGYj}q:;<==>4:amp*hEZVCDNRczx12341733jd#cL]_HMA[hs89:;9<:4cov,jGTXAFHTaxv?0125f>ei|&dYI^azt018gkr(f[OXcxzPmtz34566<2iex"`]ERmvpZkrp9:;<et'@EH_964cr-JKFU202ix#DALS7:8gv)NGJY<46m|/HM@W=>55ls.MGGV5?3jy$CIM\499`w*TFEJY27n} R@O@W4?3jy$^LCLS4;8gv)UIDIX:45ls.PBIFU012ix#_OBCR:;?fu(ZFGH_45ls.PLIFU612ix#_ABCR0:?fu(ZFGH_>74cr-QKHET<01h"\@MBQ6=>et'[EFO^86;bq,VJKD[>i0o~!aOwgqhd79=1h"`@vdpoe|6Xign;<=>>5:ap+kIqm{fju=Qnne23457d3jy$bBxjrmcz541>4:dpf*Tbims;~RQ`r12344733oyi#_kndx2q[Ziu89:;><:4fr`,V`gcq9xTSb|?0120f>`tj&Xnmiw>r018bvd(Zlkou<|P_np34566<2lxn"\jae{2vZYhz9:;<4fr`,RUGXWfx;<=>>119ewg)QXHUTc>?01024>`tj&\[MRQ`r12346773oyi#[^N_^mq4567<8:0j~l VQC\[jt789:>==5isc-UTDYXg{:;<=8>0:dpf*PWIVUd~=>?06c8bvd(fM^JOF?=;gqa+kBSIJATSb|?01227>`tj&dOXLMD_^mq45679890j~l nEVBGNYXg{:;<=<7;gqa+kPWI01mo!aVQC2=>`tj&d]\L<6;hmai*GKM9;:7damm.COA5Yj}q:;<=?=;hmai*GKM9Ufyu>?01326>ohjd%J@H>Pmtz345659;1bcoc AMG3[hs89:;?<<4in`n+DJB8Vg~t=>?05;8mjdj'KOY^l5foco,F@TU9k1bcoc BDPQvcc>1`9jkgk(L]KHGo5foco,@QGDC8i0eblb/EVBGNtak2cdn`!KT@AHvw733`eia"J[ABIqvZhh|9:;=<;4in`n+ARFKBxySca{01225g=ngkg$Hb{{OD30?liee&NdyyAJ_`lg45679=1bcoc DnwwK@Yffm:;<=?>4:klfh)Cg|~DIRoad12347g?0130?liee&OXS=QPaof345669:1bcoc ER]3[Zgil9:;>9:klfh)B[V8:>6g`bl-FWZ4XWhdo<=>?129jkgk(MZU9SRoad12344?5foco,AVY3WVkeh=>?0007?liee&OXS@okd^]b`a6789UFtb|PRdqvhq:66;>0eblb/DQ\IdbcWVkoh=>?0^O{kwYUmzgx1<1259jkgk(MZUFmijP_`fg4567WDrd~R\jstnw8685<2cdn`!JS^Ob`aYXimn;<=>PMymq[Wct}e~783?j;hmai*CTWDkohRQnde2345YXign;<=>>f:klfh)B[VGjhiQPaef3456XWhdo<=>?10g8mjdj'LYTAljk_^cg`5678VUjbi>?003e?liee&OXS@okd^]b`a6789UTmcj?01325`=ngkg$I^QBaef\[dbc89:;SRoad12364`5foco,AVYVW8Ud~=>?0030?liee&OXS\Q>_np345659:1bcoc ER]R[4Yhz9:;<>?<;hmai*CTWVkoh=>?0=3=56=ngkg$I^QPaef3456;:7;87damm.GP[Zgcl9:;<1=1129jkgk(MZUTmij?012?0;`h5foco,AVYhz9:;?4g9jkgk(MZUd~=>?04d8mjdj'LYTc>?014e?liee&OXSb|?0124b>ohjd%N_Ra}0123?01027>ohjd%NXLMD_`lg4567;890eblb/DVBGNYffm:;<=:>3:klfh)B\HI@Sl`k0123145ohjd%N~?o4in`n+LIFK];97damm.KLEFRXign;<=>>3:klfh)NGHI_Sl`k0123545?3018mjdj'@EJOYQnne234526;2cdn`!FO@AW[dhc89:;9<=4in`n+LIFK]Ujbi>?01427>ohjd%BCLM[_`lg4567?880eblb/HMBGQYj}q:;<=?<;hmai*OHIJ^Taxv?012256=ngkg$EBOLT^ov|5678;;87damm.KLEFRXe|r;<=><129jkgk(AFKHXRczx12341743`eia"G@ABV\ip~789:>=>5foco,MJGD\Vg~t=>?0730?liee&CDMNZPmtz34560j2cdn`!FOCGQV45?0036?liee&CDNH\]_lw{456799;>7damm.KLF@TUWds<=>?1036?liee&CDNH\]_lw{45679;;>7damm.KLF@TUWds<=>?1236?liee&CDNH\]_lw{45679=;?7damm.KLF@TUWds<=>?2068mjdj'@EII_\Pmtz345649=1bcoc IN@FVWYj}q:;<=:>4:klfh)NGKOY^Rczx12340733`eia"G@BDPQ[hs89:;:<:4in`n+LIEM[XTaxv?012451=ngkg$EBLJRS]nq}67892:86g`bl-JKGCUZVg~t=>?08`8mjdj'@EYI\|>1:klfh)NGZUM_@QIFe320>ohjd%BC^QISL]EBa7*Ag;>7damm.KLWZ@TEVLMh<#Fn036?liee&CD_RH\M^DE`4+Nf;;>7damm.KLWZ@TEVLMh<#Fn236?liee&CD_RH\M^DE`4+Nf=;:7damm.KLWZ@TEVLMh??;;hmai*OH[VLXARHId3/Jj436:klfh)NGZUM_@QIFe0.Mk759<1bcoc INQ\BVKXNOn9!D`=149jkgk(AFYTJ^CPFGf1)Lh49<1bcoc INQ\BVKXNOn9!D`;149jkgk(AFYTJ^CPFGf1)Lh29<1bcoc INQ\BVKXNOn9!D`9149jkgk(AFYTJ^CPFGf1)Lh09<1bcoc INQ\BVKXNOn9!D`7149jkgk(AFYTJ^CPFGf1)Lh>981bcoc INQ\BVKXNOn8=95foco,MJUXNZGTJKj<-Hl21>ohjd%BC^QISL]EBa5*Ag;:96g`bl-JKVYA[DUMJi="Io021>ohjd%BC^QISL]EBa5*Ag9:96g`bl-JKVYA[DUMJi="Io621>ohjd%BC^QISL]EBa5*Ag?:96g`bl-JKVYA[DUMJi="Io421>ohjd%BC^QISL]EBa5*Ag=:96g`bl-JKVYA[DUMJi="Io:21>ohjd%BC^QISL]EBa5*Ag337damm.PFW<=ngkg$^H]>a:klfh)UMZxmm6g`bl-QAVtu9;1bcoc RDQqvZhh|9:;=<=4in`n+WCTz{Uecy>?003b?liee&\[Mhn;hmai*PWI{x:>6g`bl-UTDtuWge<=>>129jkgk(^YKy~R``t12354dohjd%eM^azt^llp56798>0eblb/oCPkprXff~;<=?>159jkgk(fHYdyyQaou234446<2cdn`!aARmvpZhh|9:;=>?;;hmai*hF[fSca{01220g=ngkg$bIgaLD31?liee&dOecBJ_np34566;2cdn`!aDhlOAZiu89:;=n5foco,jAir|FOo7damm.lGkprHM8n0eblb/oFlqqIB:m1bcoc nEmvpJC412cdn`!aLEQ25>ohjd%e@I]Pnnv34576:2cdn`!aLEQ\jjr789;:=?5foco,jIBTWge<=>>2008mjdj'gFO_R``t12356773`eia"`CDR]lv56798;0eblb/oNGWZiu89::=>2038mjdj'gFO_Ra}0122747>6038mjdj'gFO_Ra}01223<=ngkg$bXJ\109jkgk(f\NXSca{012257=ngkg$bXJ\_omw45669880eblb/oWGWZhh|9:;=??=;hmai*hRLZUecy>?001:?liee&d]AL?=;hmai*hQEHUTmcj?01227>ohjd%eZ@OP_`lg456798;0eblb/oTNEZYhz9:;<<<4in`n+kPJIVUd~=>?0031?liee&d]ALQPos234546:2cdn`!aVLC\[jt789:8=?5foco,jSKFWVey<=>?4008mjdj'g\FMRQ`r12340753`eia"`YM@]\kw6789<:>6g`bl-mRHGXWfx;<=>8109jkgk(f_GJSl`k012357=ngkg$b[CN_`lg45679880eblb/oTNEZgil9:;?01126>ohjd%eZ@OPaof345639;1bcoc nWOB[dhc89:;9<<4in`n+kPJIVkeh=>?0731?liee&d]ALQnne23451>3`ei"OCE1;8mjdt'HFN=?1008mjdt'HFN=RQ`r12354dohjz%H`ho}1^]bja6789;?7dams.Aoadt6Whdo<=>?1c9jkgu(AFKHXh4in`p+LIE[VXnmiw>0:klfv)NGKYT^hoky033?lie{&CDN^Q]e`fz6461bco} IN@P[WcflpUjbi>?013;?lie{&CDN^Q]e`fz[dhc89:;=<64in`p+LIE[VXnmiwPaof34565911bco} IN@P[WcflpUjbi>?0112<>ohjz%BCO]PRdcg}Zgil9:;<9?7;hmaw*OHJZUYiljv_`lg4567=01bco} INN354=ngky$EBB?_lw{45679;1bco} INN3[hs89:;=<<4in`p+LIK8Vg~t=>?0331?lie{&CD@=Qbuy234556:2cdn~!FOM2\ip~789:?=?5focq,MJJ7Wds<=>?589jkgu(AFF:=<5focq,MJJ6Wge<=>>139jkgu(AFF:Sca{01225d=ngky$EB\JQ008mjdt'@EYI\Qbuy2345743`ei"G@RDS\ip~789::=95focq,MJTBYVg~t=>?00227>ohjz%BC_K^_lw{4567:890ebl|/HMQATYj}q:;<==>3:klfv)NG[OZS`{w0123045?6018mjdt'@EYI\Qbuy234516;2cdn~!FOSGR[hs89:;4<=4in`p+LIUMXUfyu>?01;b?lie{&CD^Z>>2:klfv)NG[];S`{w012356=ngky$EB\X0^ov|56788;87dams.KLVR6Xe|r;<=>=129jkgu(AFX\?1018mjdt'@EY[=Qaou234476;2cdn~!FOSU3[kis89::><=4in`p+LIU_9Uecy>?00127>ohjz%BC_Y?_omw4566<890ebl|/HMQS5Yig}:;<<;>3:klfv)NG[];Sca{01222455focq,MJTP9Vkeh=>?0030?lie{&CD^Z?Paof345659:1bco} INPT5Zgil9:;<>?<;hmaw*OHZ^;Tmcj?012756=ngky$EB\X1^cm`5678<;87dams.KLVR7Xign;<=>9c:klfv)NG[ojht?:;hmaw*OHZlkouRQnne2345713`ei"G@Rdcg}ZYffm:;<=?>6:klfv)NG[ojhtQPaof345659=1bco} INPfeaXWfx;<=>>5:klfv)NG[ojhtQPos234576=2cdn~!FOSgb`|YXg{:;<=<>5:klfv)NG[ojhtQPos234556=2cdn~!FOSgb`|YXg{:;<=:>5:klfv)NG[ojhtQPos234536=2cdn~!FOSgb`|YXg{:;<=86;hmaw*OH]9;:7dams.KLQ5Yj}q:;<=?=;hmaw*OH]9Ufyu>?01326>ohjz%BCX>Pmtz345659;1bco} INW3[hs89:;?<<4in`p+LIR8Vg~t=>?0531?lie{&CDY=Qbuy23453>3`ei"G@U032?lie{&CDY3`ei"Aacd32?lie{&EeohQPos2345753`ei"Aacd]\kw6789;:>6g`br-LjfcXWfx;<=>=139jkgu(GginSRa}01237442:klfv)HfjoTSb|?012557=ngky$Ccmj_^mq4567?8:0ebl|/QQ\BVKXNOn:=>5focq,TVYA[DUMJi?"Io37?lie{&ZXSK]B_GDg5(Oi98>0ebl|/QQ\BVKXNOn:!D`=119jkgu(XZUM_@QIFe027>ohjz%[_RH\M^DE`7+Nf8>0ebl|/QQ\BVKXNOn9!D`>149jkgu(XZUM_@QIFe0.Mk779<1bco} PR]EWHYANm8&Ec?>149jkgu(XZUM_@QIFe0.Mk759<1bco} PR]EWHYANm8&Ec?<159jkgu(XZUM_@QIFe0.Mk46<2cdn~!_S^DPIZ@Al;'Bb>?;;hmaw*VTWOYFSKHk2,Km042=95focq,TVYA[DUMJi<"Io420>ohjz%[_RH\M^DE`7+Nf>;?7dams.RP[CUJWOLo> Ga8068mjdt'YYTJ^CPFGf1)Lh>991bco} PR]EWHYH}}::=6g`br-SWZ@TEVE~x=?>1:klfv)W[VLXARAzt1026>ohjz%[_Rmbp^gr4567m2cdn~!aBDPQ[CUE9?1bco} nCGQVZ@TJVUd~=>?0058mjdt'gHN^_QISC]\kw6789;:;6g`br-mF@TUWOYISRa}0123641a:lB@jssDL;:i6`NDnwwH@7XGK_M;6`NS^DPFf=iIZUM_OQFBTD4?kGTW@EIh6`NS^KLFZIE]OO<7cO`uuMF<>hFg|~DI3gHN^_QFOCg8jGCUZVCDNRGMUG58jGAXAFH<7cL]_HMAg>hEZVCDNRAMUG68jFGT12dHM^Q@BTDb?kBSIJATJ^Li;oFWEFMXNZHTCO[Ia:lGPDELW@EIj6`KT@AH[LIEW@H^J;5aDhlOAg=iL`dGIRAMUG48jAoiGLh0bIgaOD]LFP@03gNdyyAJc:lGkprHMVEIYK;4nHRO6d=iAYF9SDLZF99mHAUXNZHo7cBKS^DPFZOE]O20bAJ\_HMAa>hKLZUBCOQ@BTDF7>hHM11eCHQ@BTD4?kTFEE]No6`]ALNTAZIE]O=0b_OBUVG`?kTFE\]NSBLZF59mVDU>3gXJ_RAMUG68jWCTj2dYI^QFOCWEAf=iZLYTbbz?013g?kTB[Vddx=>?1048jWIJKZh0b_ABCR]LFP@13gXDAZKm;oPLIRCXGK_M46`ZDR]EWGbhPMVLXNRGMUG;8vdk(JHI_~45}al-QEHCI981ym`!]ALGM[hs89:;=?5}al-QEHCIWds<=>?1018vdk(ZHGNBRczx1234466;2xja"\NMDL\ip~789::=<=4r`o,VDKBFVg~t=>?00027>tfe&XJAH@Pmtz34566;890~lc R@OFJZkrp9:;<<:>3:pbi*TFELDTaxv?0122145>7008vdk(ZHGNBRczx12347753{kf#_OBEO]nq}67899:>6|nm.PBI@HXe|r;<=>;139qeh)UIDOES`{w01231442:pbi*TFELDTaxv?012;57=uid%YM@KA_lw{45671j1ym`!]ALPfea6<2xja"\NMSgb`|Yj}q:;<=?:;scn+WGJZlkouRczx12344713{kf#_OBRdcg}Zkrp9:;<<>>6:pbi*TFE[ojhtQbuy2345769?1ym`!]ALPfeaXe|r;<=>>2048vdk(ZHGYiljv_lw{45679:;=7ob/SCNV`gcqVg~t=>?00622>tfe&XJA_kndx]nq}6789;>=85}al-QEHTbimsTaxv?012150=uid%YM@\jae{\ip~789:8=85}al-QEHTbimsTaxv?012750=uid%YM@\jae{\ip~789:>=85}al-QEHTbimsTaxv?012550=uid%YM@\jae{\ip~789:<=85}al-QEHTbimsTaxv?012;50=uid%YM@\jae{\ip~789:2h6|nm.PBIZTbimsn7ob/SCN[Wcflp;n7ob/SCN[Wcflp827ob/SCPAI763{kf#_O\EM]nq}6789;97ob/SCPAIYj}q:;<=?>3:pbi*TF[LFTaxv?0122445>2018vdk(ZHYN@Rczx1234456;2xja"\NSDN\ip~789::8<=4r`o,VDUBDVg~t=>?00727>tfe&XJ_HBPmtz34566>890~lc R@QFHZkrp9:;<<9>3:pbi*TF[LFTaxv?0122<456|nm.PBW@JXe|r;<=>=129qeh)UIZOGS`{w012365743{kf#_O\EM]nq}67898:=?5}al-QEVCKWds<=>?3008vdk(ZHYN@Rczx12341753{kf#_O\EM]nq}6789?:>6|nm.PBW@JXe|r;<=>9139qeh)UIZOGS`{w01233440:pbi*TFW9Ufyu>?0132?wgj'[KT?>;scn+WGX8Vg~t=>?0533?wgj'[KT=Rczx123447_lw{456798;0~lc R@]2[hs89:;>?3038vdk(ZHU:S`{w0123046=109qeh)UIV8Taxv?012054=uid%YMR1:pbi*TFW:Ufyu>?01025>tfe&XJS>Qbuy23455692xja"\N_2]nq}6789>:<6|nm.PB[1Yj}q:;<=?>;scn+WGX?0032?wgj'[KT8Rczx12347763{kf#_OP4^ov|5678:;:7ob/SC\0Zkrp9:;<9??;scn+WGX=Vg~t=>?0038vdk(ZHU>S`{w0123547?4028vdk(ZHU=S`{w012354=uid%YMR8Pmtz34566981ym`!]A^4\ip~789:9=<5}al-QEZ0Xe|r;<=><109qeh)UIV1:pbi*TFW>Ufyu>?01125>tfe&XJS:Qbuy23452682xja"\N_9]nq}6789;:7ob/SC\;scn+WGX0Vg~t=>?0332?wgj'[KT4Rczx12346763{kf#_OP8^ov|5678=;:7ob/SC\;scn+WGX0Vg~t=>?0733?wgj'[KT5Rczx123447Wds<=>?3038vdk(ZHU2S`{w01230c=uid%Y_RH\M^DE`4753{kf#_]PFRO\BCb6%@d:?6|nm.PP[CUJWOLo= Ga1068vdk(ZZUM_@QIFe3.Mk779=1ym`!]S^DPIZ@Al8'Bb4:pbi*TTWOYFSKHk1,Km57733{kf#_]PFRO\BCb6%@d:?<:4r`o,VVYA[DUMJi?"Io3756=uid%Y_RH\M^DE`4+Nf;;87ob/SQ\BVKXNOn:!D`<129qeh)U[VLXARHId0/Jj1743{kf#_]PFRO\BCb6%@d>=>5}al-QWZ@TEVLMh<#Fn730?wgj'[YTJ^CPFGf2)Lh09:1ym`!]S^DPIZ@Al8'Bb5?<;scn+WUXNZGTJKj>-Hl:b>tfe&XXSK]B_GDg644159qeh)U[VLXARHId3/Jj466<2xja"\\_GQN[C@c:$Ce=tfe&XXSK]B_GDg6(Oi9<;87ob/SQ\BVKXNOn9!D`=129qeh)U[VLXARHId3/Jj6743{kf#_]PFRO\BCb5%@d?=>5}al-QWZ@TEVLMh?#Fn430?wgj'[YTJ^CPFGf1)Lh19:1ym`!]S^DPIZ@Al;'Bb:?<;scn+WUXNZGTJKj=-Hl;56=uid%Y_RH\M^DE`7+Nf0l0~lc RR]EWHYANm9:>6|nm.PP[CUJWOLo? Ga129qeh)U[VLXARHId2/Jj4733{kf#_]PFRO\BCb4%@d:<<:4r`o,VVYA[DUMJi="Io3251=uid%Y_RH\M^DE`6+Nf88:?6|nm.PP[CUJWOLo? Ga2018vdk(ZZUM_@QIFe1.Mk56;2xja"\\_GQN[C@c;$Ce8<=4r`o,VVYA[DUMJi="Io727>tfe&XXSK]B_GDg7(Oi>890~lc RR]EWHYANm9&Ec9>3:pbi*TTWOYFSKHk3,Km<450:pbi*TTWOYFSB{{83`8vdk(ZllOcck>3:pbi*TbnMeeiRQ`r123442?01020>tfe&XnjIaae^]lv5678:;?7ob/Sge@jhbWVey<=>?4`9qeh)UmoXJ_l5}al-QacTbyl1ym`!]egPfuVik880~lc RddQatYffm:;<=?<;scn+WcaZl{Tmcj?012256=uid%Yik\jq^cm`5678;n0~lc RddVvbci|8?0~lc RddVvbci|Vkeh=>?0048vdk(Zll^~jkat^cm`56788;=7ob/SgeQwabf}Ujbi>?01025>tfe&XnkX|heovP}ked3{kf#Rczx1234==uid%eOL]>0:pbi*hDIZUecy>?0032?wgj'gIJ_R``t12354?<109qeh)iD^OTmcj?01273>tfe&dDI55}al-mK@7?3{kf#cAJ299qeh)iZHY27ob/oPBW4?3{kf#c\NS4`8vdk(f[EFO^?m;scn+kTHE^O:56|nm.lVS@7682xja"`ZWD]bja6789;:7ob/oWTAZgil9:;<;scn+kSPMVkeh=>?0332?wgj'g_\IRoad12346763{kf#c[XE^cm`5678=20tn7:01zoyEFw98o97MNw9c8E>7<6sZ><6l;5718271d7j<0i<4hf;3<0(l?59b9~W10=i<0<<7?<4c2a1?d7i91o5o4?:082V202h?1;=4>35`3f04<48>qX8:4n5;53>453j9h>6o>62:&:e?033-=:69=m;c;a>5<3j38n?7=?7zJ:<>">?33i7W=n:2y2>7<42t!89;4?::m0a?6=3f98i7>5;h11a?6=3f9=87>5;h16a?6=3f9>o7>5;n63>5<5<5<>i4;90;66a<3383>>o4<10;66a<5383>>o4>?0;66a<6183>>i4<9:188k64e2900e>:n:188m65>2900c>;n:188k6252900c>:l:188k6052900c>:;:188k6212900e>87:188k6522900c:650;&47?103g=96=54o6494?"0;3=<7c9=:098k=7=83.7=3=i1<7*83;54?k152>10c:l50;&47?103g=96554o6c94?"0;3=<7c9=:898k2?=83.d=?1<7*83;54?k152k10e<750;&47?7?3g=96=54i0594?"0;3;37c9=:098m40=83.7=3=10ek4?:%50>4>81465fe;29 25=911e;?46;:kg>5<#?:0:46`82;c8?le=83.g=5$6195==i?;0o76g=1;29 25=911e;?4j;:k14?6=,>91=55a738e?>o6n3:1(:=5199m37<6821b=h4?:%50>4>81=<54i0f94?"0;3;37c9=:008?l7d290/;>4>8:l46?7432c:n7>5$6195==i?;0:865f1`83>!142820b:<51498m42=83.40<3`3=6=4+728:1>h0:3:07d7;:18'36<>=2d<>7?4;h;0>5<#?:0296`82;08?g?a290:6=4?{%;4>04<@0o0D464o4394?=zjh:1<7?50;2x <1=101C5h5G999l2c<722wino4?:483>5}#1>0><6F6e:J:<>o3<3:17d:::188m6d=831b854?::m40?6=3thh>7>55;294~">?3?;7E7j;I;;?l232900e9;50;9j7g<722c?47>5;n57>5<36=44o6694?=zjmk1<7;50;2x <1==91C5h5G999j01<722c?97>5;h1a>5<>{ell0;684?:1y'=2<282B2i6F68:k70?6=3`>>6=44i2`94?=n<10;66a84;29?xdb:3:197>50z&:3?373A3n7E77;h67>5<>o303:17b9;:188ygc1290>6=4?{%;4>06<@0o0D464i5694?=n<<0;66gt$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::aa`<72<0;6=u+96864>N>m2B246g;4;29?l222900e>l50;9j0=<722e<87>5;|`e6?6==3:15<2290;w)78:428L5;h6;>5<>1<75rbb594?3=83:p(495519K=`=O111b894?::k71?6=3`9i6=44i5:94?=h?=0;66smcc83>0<729q/5:4:0:J:a>N>02c?87>5;h66>5<>i0<3:17pllf;291?6=8r.2;7;?;I;f?M??3`>?6=44i5794?=n;k0;66g;8;29?j132900qo?99;297?6=8r.2;7:i;I;f?M??3-?n6=5f3c83>>o303:17b9;:188yg71=3:1?7>50z&:3?2a3A3n7E77;%7f>5=n;k0;66g;8;29?j132900qo?91;297?6=8r.2;7:i;I;f?M??3-?n6=5f3c83>>o303:17b9;:188yg72k3:1?7>50z&:3?2a3A3n7E77;%7f>5=n;k0;66g;8;29?j132900qo<66;291?6=8r.2;7;?;I;f?M??3`>?6=44i5794?=n;k0;66g;8;29?j132900qo?i1;297?6=8r.2;7:i;I;f?M??3`9i6=44i5:94?=h?=0;66sm1bf94?3=83:p(495519K=`=O111b894?::k71?6=3`9i6=44i5:94?=h?=0;66sm30f94?3=83:p(495519K=`=O111b894?::k71?6=3`9i6=44i5:94?=h?=0;66sm2gf94?3=83:p(495519K=`=O111/9h4?;h67>5<>o303:17b9;:188yg4fi3:197>50z&:3?373A3n7E77;h67>5<>o303:17b9;:188yg4fl3:1?7>50z&:3?2a3A3n7E77;h1a>5<>{e9o=1<7=50;2x <1=5;n57>5<53;294~">?3>m7E7j;I;;?l5e2900e9650;9l31<722wi=kj50;194?6|,0=18k5G9d9K===n;k0;66g;8;29?j132900qo5;h1a>5<>{e9hn1<7;50;2x <1==91C5h5G999'1`<73`>?6=44i5794?=n;k0;66g;8;29?j132900qo?;8;297?6=8r.2;7:i;I;f?M??3`9i6=44i5:94?=h?=0;66sm12g94?5=83:p(4954g9K=`=O111b?o4?::k7=831d;94?::a66b=8391<7>t$8590c=O1l1C555f3c83>>o303:17b9;:188yg7c<3:1?7>50z&:3?2a3A3n7E77;h1a>5<>{e:;i1<7=50;2x <1=5;n57>5<53;294~">?3>m7E7j;I;;?l5e2900e9650;9l31<722wi>:>50;194?6|,0=18k5G9d9K===n;k0;66g;8;29?j132900qo?kf;297?6=8r.2;7:i;I;f?M??3`9i6=44i5:94?=h?=0;66sm26694?5=83:p(4954g9K=`=O111b?o4?::k7=831d;94?::a6d?=8391<7>t$8590c=O1l1C555f3c83>>o303:17b9;:188yg4d=3:1?7>50z&:3?2a3A3n7E77;h1a>5<>{e<8h1<7=50;2x <1=5;n57>5<::7>53;294~">?3>m7E7j;I;;?l5e2900e9650;9l31<722wi87;297?6=8r.2;7:i;I;f?M??3`9i6=44i5:94?=h?=0;66sm37c94?5=83:p(4954g9K=`=O111b?o4?::k75;n57>5<53;294~">?3>m7E7j;I;;?!3b291b?o4?::k75;n57>5<:97>53;294~">?3>m7E7j;I;;?!3b291b?o4?::k7=831d;94?::a52`=8391<7>t$8590c=O1l1C555f3c83>>o303:17b9;:188ygg129086=4?{%;4>1`<@0o0D464i2`94?=n<10;66a84;29?xdfi3:1?7>50z&:3?2a3A3n7E77;h1a>5<>{e:0;1<7=50;2x <1=5;n57>5<53;294~">?3>m7E7j;I;;?l5e2900e9650;9l31<722wi>:950;194?6|,0=18k5G9d9K===n;k0;66g;8;29?j132900qo<8d;297?6=8r.2;7:i;I;f?M??3`9i6=44i5:94?=h?=0;66sm23c94?5=83:p(4954g9K=`=O111b?o4?::k7=831d;94?::a5ae=8391<7>t$8590c=O1l1C555f3c83>>o303:17b9;:188yg40;3:1?7>50z&:3?2a3A3n7E77;h1a>5<>{e9l>1<7=50;2x <1=5;n57>5<9=7>53;294~">?3>m7E7j;I;;?l5e2900e9650;9l31<722wi>o>50;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a6c4=83?1<7>t$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::a6gd=83?1<7>t$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::a6a7=83?1<7>t$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::a6c?=83?1<7>t$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::a6f4=83?1<7>t$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::a6a`=83?1<7>t$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::a5g6=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi>9j50;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a674=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi=h650;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a57b=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi=>=50;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a61c=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi>8:50;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a634=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi?=850;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a75g=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi?ij50;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a7`b=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi?kk50;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a53`=8391=7=tH8:8 <1=><1b844?::k7e?6=3f3:6=44b`694?5=83:p(4959e9K=`=O111b994?::k61?6=3f5<5sW>270o;:478yv2f2909wS:n;026d83>6<62:qC555+96851>o313:17d:n:188k<7=831im94?:283>5}#1>02h6F6e:J:<>o2<3:17d;::188k3g=831v9750;0xZ1?<5h>1985rs5c94?4|V=k01l:5559~w<7=838pR4?4=`692d=zuk;=h7>53;397~N>02.2;78:;h6:>5<>df<3:1?7>50z&:3??c3A3n7E77;h77>5<>{t<00;6?uQ489>e1<2=2wx8l4?:3y]0d=:i=0>86s|9083>7}Y1816m949a:~fc?=8391=7=tH8:8 <1=><1b844?::k7e?6=3f3:6=44b`694?5=83:p(4959e9K=`=O111b994?::k61?6=3f5<5sW>270o;:478yv2f2909wS:n;022900e9o50;9l=4<722hj87>53;294~">?33o7E7j;I;;?l332900e8;50;9l2d<722wx844?:3y]0<=:i=0>96s|4`83>7}Y{zjo=1<7=51;1xL<><,0=1:85f4883>>o3i3:17b7>:188fd2=8391<7>t$859=a=O1l1C555f5583>>o2=3:17b8n:188yv2>2909wS:6;03j6=4={_6b?8g32<>0q~7>:181[?634k?6;o4}|`e2?6=;3;1?vF68:&:3?023`>26=44i5c94?=h180;66ln4;297?6=8r.2;77k;I;f?M??3`??6=44i4794?=h>h0;66s|4883>7}Y<016m94:5:p0d<72;qU8l52a5860>{t180;6?uQ909>e1<1i2wvn<>=:180>4<4sA337)78:778m1?=831b8l4?::m:5?6=3kk?6=4<:183!?020n0D4k4H8:8m02=831b984?::m5e?6=3ty?57>52z\7=>;f<3?>7p};a;296~X3i27j87;;;|q:5?6=:rT2=63n4;4b?x{e99;1<7=51;1xL<><,0=1:85f4883>>o3i3:17b7>:188fd2=8391<7>t$859=a=O1l1C555f5583>>o2=3:17b8n:188yv2>2909wS:6;03j6=4={_6b?8g32<>0q~7>:181[?634k?6;o4}|`245<72:0:6>uG999'=2<1=2c?57>5;h6b>5<6<729q/5:46d:J:a>N>02c>87>5;h76>5<h1vqohi:180>4<4sA337)78:778m1?=831b8l4?::m:5?6=3kk?6=4<:183!?020n0D4k4H8:8m02=831b984?::m5e?6=3ty?57>52z\7=>;f<3?>7p};a;296~X3i27j87;;;|q:5?6=:rT2=63n4;4b?x{enl0;6>4>:2yK===#1>0=96g;9;29?l2f2900c4?50;9ae1<72:0;6=u+968:`>N>m2B246g:4;29?l322900c;o50;9~w1?=838pR974=`6910=z{=k1<71:l5r}cdg>5<42808wE77;%;4>33>i>93:17oo;:180>5<7s-3<64j4H8g8L<>1<75f5483>>i1i3:17p};9;296~X3127j87;:;|q7e?6=:rT?m63n4;77?xu>93:1>vP61:?b0?0f3twijn4?:282>6}O111/5:495:k7=?6=3`>j6=44o8394?=ei=0;6>4?:1y'=2<>l2B2i6F68:k60?6=3`?>6=44o7c94?=z{=31<71995rs8394?4|V0;01l:56`9~yg`e29086<4<{I;;?!?02??0e9750;9j0d<722e2=7>5;cc7>5<4290;w)78:8f8L5;|q7=?6=:rT?563n4;76?xu3i3:1>vP;a:?b0?333ty2=7>52z\:5>;f<36<62:qC555+96851>o313:17d:n:188k<7=831im94?:283>5}#1>02h6F6e:J:<>o2<3:17d;::188k3g=831v9750;0xZ1?<5h>1985rs5c94?4|V=k01l:5559~w<7=838pR4?4=`692d=zuk8;:7>53;397~N>02.2;78:;h6:>5<>df<3:1?7>50z&:3??c3A3n7E77;h77>5<>{t<00;6?uQ489>e1<2=2wx8l4?:3y]0d=:i=0>86s|9083>7}Y1816m949a:~f76229086<4<{I;;?!?02??0e9750;9j0d<722e2=7>5;cc7>5<4290;w)78:8f8L5;|q7=?6=:rT?563n4;76?xu3i3:1>vP;a:?b0?333ty2=7>52z\:5>;f<334k?68;4}r6b>5<5sW>j70o;:468yv?62909wS7>;3g4?:282>6}O111/5:495:k7=?6=3`>j6=44o8394?=ei=0;6>4?:1y'=2<>l2B2i6F68:k60?6=3`?>6=44o7c94?=z{=31<71995rs8394?4|V0;01l:56`9~yg55k3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L4?:1y'=2<33A3n7E77;h77>5<>{e<;91<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`75a<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo:>e;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pl;1883>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<:m7>53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th??>4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg25<3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L50;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd4180;6>4?:1y'=2<33A3n7E77;h77>5<>{e:l31<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`1ad<72:0;6=u+9687?M?b3A337d;;:188m03=831d:l4?::a6de=8391<7>t$8592a=O1l1C555f5583>>o2=3:17b8n:188yg56n3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8Lt$8590>N>m2B246g:4;29?l322900c;o50;9~f4df29096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm1c`94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th:nn4?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn5<7s-3<68h4H8g8L<>>{e9j:1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`2g4<72:0;6=u+9685`>N>m2B246g:4;29?l322900c;o50;9~f4e329086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg7dn3:1>7>50z&:3?3a3A3n7E77;h70>5<5<4290;w)78:7f8L5;|`2f1<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo?m6;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj8h<6=4<:183!?02?n0D4k4H8:8m02=831b984?::m5e?6=3th95}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi>=o50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn?>7:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo?i9;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pl>fb83>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm1gd94?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f:181>5<7s-3<68h4H8g8L<>>{e:><1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`2a2<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo<>6;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj;;<6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th:ho4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi>;k50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn<9<:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo?81;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pl>5d83>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<j7>52;294~">?3?m7E7j;I;;?l342900c;o50;9~f40729086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg71;3:1>7>50z&:3?3a3A3n7E77;h70>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo?9b;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj897>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th:==4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi==m50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn<>7:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo??4;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17plmf;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zjj:1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|``5?6=;3:15;|`g0?6=:3:1o2<3:17d;::188k3g=831vni650;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xdc13:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xdck3:1>7>50z&:3?3a3A3n7E77;h70>5<N>02c>87>5;h76>5<4?::m5e?6=3thn=7>53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3thn87>52;294~">?3?m7E7j;I;;?l342900c;o50;9~f`3=8391<7>t$8590>N>m2B246g:4;29?l322900c;o50;9~f`>=8381<7>t$8591c=O1l1C555f5283>>i1i3:17plj9;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pljc;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zjln1<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zjo:1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`e5?6=;3:15;|`e0?6=:3:1o2<3:17d;::188k3g=831vnn;50;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xdd>3:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xdd13:1>7>50z&:3?3a3A3n7E77;h70>5<N>02c>87>5;h76>5<4?::m5e?6=3thhi7>53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3tho=7>52;294~">?3?m7E7j;I;;?l342900c;o50;9~fa4=8391<7>t$8590>N>m2B246g:4;29?l322900c;o50;9~fde=8381<7>t$8591c=O1l1C555f5283>>i1i3:17pln8;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zjh31<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj88h6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f45d29086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg56i3:1>7>50z&:3?3a3A3n7E77;h70>5<5<4290;w)78:59K=`=O111b994?::k61?6=3fj:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo<82;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj8kn6=4=:183!?02N>m2B246g:3;29?j0f2900qo?ke;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj8h96=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f76b29096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm21d94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th9==4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi??950;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd4:10;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5;|`76g<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo:=c;297?6=8r.2;78k;I;f?M??3`??6=44i4794?=h>h0;66sm42694?5=83:p(4956e9K=`=O111b994?::k61?6=3f5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=8083>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<7>52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7>429086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd51:0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=8783>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7>?29086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd60=0;644?:1y'=2<>3A3n7E77;h77>5<>o2?3:17d;7:188m0?=831b9l4?::k6f?6=3f:7>5;h74>5<>o2i3:17d;m:188k3g=831vn<69:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo?65;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj83=6=4=:183!?02t$8591c=O1l1C555f5283>>i1i3:17pl>9983>0<729q/5:49;I;f?M??3`??6=44i4794?=n=?0;66g:7;29?j0f2900qo=k9;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pl7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th8hn4?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn>mk:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo=le;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj:im6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3o2<3:17d;::188k3g=831vn>m6:181>5<7s-3<68h4H8g8L<>>{e;jk1<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj:ii6=4<:183!?02?n0D4k4H8:8m02=831b984?::m5e?6=3th8o>4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi?n:50;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd4k<0;6>4?:1y'=2<33A3n7E77;h77>5<>{e;j<1<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th8nk4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg5d83:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xd4k80;6>4?:1y'=2<1l2B2i6F68:k60?6=3`?>6=44o7c94?=zj:h26=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f6de29086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg5ek3:1?7>50z&:3?0c3A3n7E77;h77>5<>{e;k>1<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj:h>6=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f6d029086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd4io0;6>4?:1y'=2<33A3n7E77;h77>5<>{e;k:1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`0f4<72:0;6=u+9687?M?b3A337d;;:188m03=831d:l4?::a7g4=8391<7>t$8592a=O1l1C555f5583>>o2=3:17b8n:188yg5fi3:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xd4ik0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5;|`0ea<72:0;6=u+9685`>N>m2B246g:4;29?l322900c;o50;9~f14129096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm43594?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th?>54?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg2513:197>50z&:3?0<@0o0D464i4694?=n=<0;66g:6;29?l302900c;o50;9~f15029096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm42:94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th??44?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg24i3:197>50z&:3?0<@0o0D464i4694?=n=<0;66g:6;29?l302900c;o50;9~f7`329086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg4a=3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8Lk950;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn?h7:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=be83>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm2cg94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th9nk4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg4d83:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xd5k80;6>4?:1y'=2<1l2B2i6F68:k60?6=3`?>6=44o7c94?=zj;n86=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7b229086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg4c>3:1?7>50z&:3?0c3A3n7E77;h77>5<>{e;;91<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj:8?6=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f7d529096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm2c194?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th9n94?:583>5}#1>0>7E7j;I;;?l332900e8;50;9j13<722e=m7>5;|`2<4<72:0;6=u+9685`>N>m2B246g:4;29?l322900c;o50;9~f4>529086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg7203:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L5<>o2?3:17b8n:188yg74=3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L950;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn<=7:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo?<9;297?6=8r.2;78k;I;f?M??3`??6=44i4794?=h>h0;66sm13d94?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<5290;w)78:4d8L?50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn<==:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=6583>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm27794?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<5290;w)78:4d8L;950;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd5>10;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5;|`12d<72:0;6=u+9685`>N>m2B246g:4;29?l322900c;o50;9~f70e290?6=4?{%;4>0=O1l1C555f5583>>o2=3:17d;9:188k3g=831vn?8l:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=5783>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<m7>52;294~">?3?m7E7j;I;;?l342900c;o50;9~f73e29096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm24a94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th99i4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg42m3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L;>50;794?6|,0=1:6F6e:J:<>o2<3:17d;::188m00=831b9:4?::m5e?6=3th9:<4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi>8950;694?6|,0=1:h5G9d9K===n==0;66g:5;29?l312900c;o50;9~f73?29086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg4213:1?7>50z&:3?0c3A3n7E77;h77>5<>{e:<:1<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj;?:6=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f73429086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd48j0;6?4?:1y'=2<2n2B2i6F68:k67?6=3ft$8590>N>m2B246g:4;29?l322900c;o50;9~f67429096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm30694?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th8=84?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi?<850;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd49>0;6>4?:1y'=2<33A3n7E77;h77>5<>{e;821<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj::o6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3o2<3:17d;::188k3g=831vn>??:187>5<7s-3<6;k4H8g8L<>1<75f5483>>o2>3:17b8n:188yg5703:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xd4800;6>4?:1y'=2<1l2B2i6F68:k60?6=3`?>6=44o7c94?=zj=:;6=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f16229096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm41494?5=83:p(4956e9K=`=O111b994?::k61?6=3f7:181>5<7s-3<68h4H8g8L<>>{e<931<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj=:j6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<;n7>54;294~">?3?0D4k4H8:8m02=831b984?::k62?6=3f1<7>t$8591>N>m2B246g:4;29?l322900e8850;9l2d<722wi8==50;194?6|,0=1:i5G9d9K===n==0;66g:5;29?j0f2900qo=jf;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj:l=6=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f6`?29096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm3g;94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th8jl4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg5aj3:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xd4nj0;6>4?:1y'=2<33A3n7E77;h77>5<>{e;on1<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3o2<3:17d;::188k3g=831vn>h=:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo=i3;297?6=8r.2;78k;I;f?M??3`??6=44i4794?=h>h0;66sm3g694?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<4290;w)78:7f8L5;|`0`c<72:0;6=u+9687?M?b3A337d;;:188m03=831d:l4?::a7`3=8381<7>t$8591c=O1l1C555f5283>>i1i3:17pl6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm3d594?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th8i54?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg5b13:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8Lo2<3:17d;::188k3g=831vn>kl:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo=j0;291?6=8r.2;784H8g8L<>1<75f5483>>o2>3:17d;8:188k3g=831vn>k>:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl6<729q/5:49d:J:a>N>02c>87>5;h76>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f1<7>t$8591>N>m2B246g:4;29?l322900e8850;9l2d<722wi>5<7s-3<68h4H8g8L<>>{e:8o1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`15c<72=0;6=u+9686?M?b3A337d;;:188m03=831b9;4?::m5e?6=3th9>=4?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn?<>:187>5<7s-3<685G9d9K===n==0;66g:5;29?l312900c;o50;9~f4b129086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd6l>0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5;|`2`<<72:0;6=u+9685`>N>m2B246g:4;29?l322900c;o50;9~f42f29086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg73j3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L5;|`215<72<0;6=u+9685?M?b3A337d;;:188m03=831b9;4?::k63?6=3ft$8592a=O1l1C555f5583>>o2=3:17b8n:188yg7393:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L5;|`203<72<0;6=u+9685?M?b3A337d;;:188m03=831b9;4?::k63?6=3ft$8590>N>m2B246g:4;29?l322900c;o50;9~f41f29096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm16`94?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<4290;w)78:7f8L5;|`23a<72:0;6=u+9687?M?b3A337d;;:188m03=831d:l4?::a52c=8391<7>t$8592a=O1l1C555f5583>>o2=3:17b8n:188yg7a;3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8Lo2<3:17d;::188k3g=831vn5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=3g83>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f72129096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm25594?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<5290;w)78:4d8L9750;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd54?:1y'=2<1l2B2i6F68:k60?6=3`?>6=44o7c94?=zj;>i6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th98=4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi>9?50;694?6|,0=196F6e:J:<>o2<3:17d;::188m00=831d:l4?::a614=83>1<7>t$8592`=O1l1C555f5583>>o2=3:17d;9:188k3g=831vn?:<:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo<;4;297?6=8r.2;78k;I;f?M??3`??6=44i4794?=h>h0;66sm22494?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th9?:4?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn?=7:181>5<7s-3<68h4H8g8L<>>{e::31<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th9?o4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi>>m50;194?6|,0=1:i5G9d9K===n==0;66g:5;29?j0f2900qo?6a;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj83i6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f4?c29096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm18g94?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<4290;w)78:59K=`=O111b994?::k61?6=3ft$8590>N>m2B246g:4;29?l322900c;o50;9~f6?329096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm38d94?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<5290;w)78:4d8Lo9:181>5<7s-3<68h4H8g8L<>>{e;h=1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`0e=<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo=65;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pl<9783>1<729q/5:4:;I;f?M??3`??6=44i4794?=n=?0;66a9a;29?xd41>0;6>4?:1y'=2<33A3n7E77;h77>5<>{e;021<7:50;2x <1==2B2i6F68:k60?6=3`?>6=44i4494?=h>h0;66sm38;94?5=83:p(4956e9K=`=O111b994?::k61?6=3ft$8590>N>m2B246g:4;29?l322900c;o50;9~f6?d29086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd41m0;6>4?:1y'=2<33A3n7E77;h77>5<>{e;0o1<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th8m<4?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn>8k:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl<7783>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm36594?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th8;54?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg5013:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L8j:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl<6g83>0<729q/5:49;I;f?M??3`??6=44i4794?=n=?0;66g:7;29?j0f2900qo=80;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pl<7083>6<729q/5:49d:J:a>N>02c>87>5;h76>5<5<4290;w)78:59K=`=O111b994?::k61?6=3ft$8590>N>m2B246g:4;29?l322900c;o50;9~f61229086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd4090;6?4?:1y'=2<2n2B2i6F68:k67?6=3f67:181>5<7s-3<68h4H8g8L<>>{e;131<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`0N>m2B246g:3;29?j0f2900qo=7b;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj:2h6=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f6>b29086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd4080;6>4?:1y'=2<33A3n7E77;h77>5<>{e;181<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th8494?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn>6::180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl<8783>1<729q/5:4:;I;f?M??3`??6=44i4794?=n=?0;66a9a;29?xd5:=0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5<7s-3<68h4H8g8L<>>{e:;=1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`16=<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo<=9;293?6=8r.2;764H8g8L<>1<75f5483>>o2>3:17d;8:188m0>=831b944?::m5e?6=3th95l4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg4>j3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L4j50;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd51l0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f3:1:7>5;h74>5<>{e:h:1<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<7>55;294~">?3<0D4k4H8:8m02=831b984?::k62?6=3`?<6=44o7c94?=zj;k86=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7g229096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm2`494?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f5;|`754<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo:>2;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj=;86=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<:87>53;294~">?3h?50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn?k=:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=e283>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7c229086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg4b>3:187>50z&:3?3<@0o0D464i4694?=n=<0;66g:6;29?j0f2900qo<89;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj;=j6=4=:183!?02t$8592a=O1l1C555f5583>>o2=3:17b8n:188yg40k3:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xd6mh0;6>4?:1y'=2<1l2B2i6F68:k60?6=3`?>6=44o7c94?=zj8oi6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f4g329096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm1`794?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th:m;4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg7f?3:1>7>50z&:3?3a3A3n7E77;h70>5<5<1290;w)78:69K=`=O111b994?::k61?6=3`?=6=44i4594?=n=10;66a9a;29?xd6i00;6>4?:1y'=2<1l2B2i6F68:k60?6=3`?>6=44o7c94?=zj;h=6=4=:183!?02t$8591c=O1l1C555f5283>>i1i3:17pl=b983>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7df290>6=4?{%;4>3=O1l1C555f5583>>o2=3:17d;9:188m01=831d:l4?::a7a4=8381<7>t$8591c=O1l1C555f5283>>i1i3:17pl7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<54;294~">?3?0D4k4H8:8m02=831b984?::k62?6=3ft$8590>N>m2B246g:4;29?l322900c;o50;9~f6b029086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd5mj0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5<7s-3<68h4H8g8L<>>{e:ll1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`1b5<72<0;6=u+9685?M?b3A337d;;:188m03=831b9;4?::k63?6=3ft$8591c=O1l1C555f5283>>i1i3:17pl=8c83>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7>c29086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd50l0;694?:1y'=2<23A3n7E77;h77>5<>i1i3:17pl=8g83>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm28294?5=83:p(4956e9K=`=O111b994?::k61?6=3f5<7s-3<68h4H8g8L<>>{e:::1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`174<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo<<2;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj;986=4::183!?02?1C5h5G999j11<722c>97>5;h75>5<>{e::>1<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th:4n4?:483>5}#1>0=7E7j;I;;?l332900e8;50;9j13<722c>;7>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th:4h4?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn<6i:181>5<7s-3<68h4H8g8L<>>{e90:1<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th:5?4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi=4=50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn<66:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl>8`83>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm19`94?5=83:p(4956e9K=`=O111b994?::k61?6=3f5<7s-3<68h4H8g8L<>>{e<::1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`774<72=0;6=u+9685a>N>m2B246g:4;29?l322900e8850;9l2d<722wi8><50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn?m8:181>5<7s-3<68h4H8g8L<>>{e:j31<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th9oo4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg4dk3:1>7>50z&:3?3a3A3n7E77;h70>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f5;|`1gc<72:0;6=u+9685`>N>m2B246g:4;29?l322900c;o50;9~f7b729086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg4d03:1?7>50z&:3?0c3A3n7E77;h77>5<>{e:ol1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`045<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo=?1;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj::96=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3o2<3:17d;::188k3g=831vn>>::180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=d983>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm2e;94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th9hl4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg4cj3:1>7>50z&:3?3a3A3n7E77;h70>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f1<7>t$8591>N>m2B246g:4;29?l322900e8850;9l2d<722wi=n850;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd6k>0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl>c`83>6<729q/5:49d:J:a>N>02c>87>5;h76>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f1<7>t$8591>N>m2B246g:4;29?l322900e8850;9l2d<722wi==850;694?6|,0=196F6e:J:<>o2<3:17d;::188m00=831d:l4?::a55c=83>1<7>t$8591>N>m2B246g:4;29?l322900e8850;9l2d<722wi=o2<3:17d;::188m00=831b9:4?::m5e?6=3th:5}#1>0<7E7j;I;;?l332900e8;50;9j13<722c>;7>5;h7;>5<5<>290;w)78:89K=`=O111b994?::k61?6=3`?=6=44i4594?=n=10;66g:9;29?l3f2900e8l50;9l2d<722wi=<=50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn5<7s-3<645G9d9K===n==0;66g:5;29?l312900e8950;9j1=<722c>57>5;h7b>5<>{e9821<7:50;2x <1==2B2i6F68:k60?6=3`?>6=44i4494?=h>h0;66sm10;94?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<5290;w)78:4f8L5<5290;w)78:4f8L5}#1>0>h6F6e:J:<>o2;3:17b8n:188yg5393:1>7>50z&:3?3c3A3n7E77;h70>5<5<5290;w)78:4f8L7>50z&:3?3c3A3n7E77;h70>5<4?::m5e?6=3th88o4?:383>5}#1>0>h6F6e:J:<>o2;3:17b8n:188yg5113:1>7>50z&:3?3c3A3n7E77;h70>5<4?::m5e?6=3th9j7>52;294~">?3?o7E7j;I;;?l342900c;o50;9~f7c=8381<7>t$8591a=O1l1C555f5283>>i1i3:17pl=d;296?6=8r.2;7;k;I;f?M??3`?86=44o7c94?=zj;h1<7<50;2x <1==m1C5h5G999j16<722e=m7>5;|`1e?6=:3:17>50z&:3?3c3A3n7E77;h70>5<4?::m5e?6=3th9:7>52;294~">?3?o7E7j;I;;?l342900c;o50;9~f73=8381<7>t$8591a=O1l1C555f5283>>i1i3:17pl=4;296?6=8r.2;7;k;I;f?M??3`?86=44o7c94?=zj;91<7<50;2x <1==m1C5h5G999j16<722e=m7>5;|`16?6=:3:1650;094?6|,0=19i5G9d9K===n=:0;66a9a;29?xd4?3:1>7>50z&:3?3c3A3n7E77;h70>5<4?::m5e?6=3th897>52;294~">?3?o7E7j;I;;?l342900c;o50;9~f62=8381<7>t$8591a=O1l1C555f5283>>i1i3:17pl<3;296?6=8r.2;7;k;I;f?M??3`?86=44o7c94?=zj:81<7<50;2x <1==m1C5h5G999j16<722e=m7>5;|`05?6=:3:10;694?:1y'=2<1:2B2i6F68:k67?6=3`>i6=44o7c94?=h>90;66sm3g83>7<729q/5:49b:J:a>N>02c>?7>5;n4b>5<52;294~">?33d<@0o0D464i4194?=h>h0;66sm34f94?4=83:p(4956c9K=`=O111b9>4?::m5e?6=3th?=7>52;294~">?33d<@0o0D464i4194?=h>h0;66sm34394?4=83:p(4956c9K=`=O111b9>4?::m5e?6=3th8?i4?:383>5}#1>0=n6F6e:J:<>o2;3:17b8n:188yg54j3:1>7>50z&:3?0e3A3n7E77;h70>5<5<4290;w)78:7a8L5;|`071<72;0;6=u+9685f>N>m2B246g:3;29?j0f2900qo=:3;296?6=8r.2;78m;I;f?M??3`?86=44o7c94?=zj:<:6=4<:183!?02?i0D4k4H8:8m05=831b8o4?::m5e?6=3th88k4?:383>5}#1>0=n6F6e:J:<>o2;3:17b8n:188yg55i3:1>7>50z&:3?0e3A3n7E77;h70>5<5<5290;w)78:7`8Lt$8592f=O1l1C555f5283>>o3j3:17b8n:188yg53=3:1>7>50z&:3?0e3A3n7E77;h70>5<5<5290;w)78:7`8L850;094?6|,0=1:o5G9d9K===n=:0;66a9a;29?xd?>3:1>7>50z&:3?0e3A3n7E77;h70>5<4?::m5e?6=3th3j7>52;294~">?3t$8592g=O1l1C555f5283>>i1i3:17pl7d;296?6=8r.2;78m;I;f?M??3`?86=44o7c94?=zj1i1<7<50;2x <1=>k1C5h5G999j16<722e=m7>5;|`;f?6=:3:17>50z&:3?0e3A3n7E77;h70>5<4?::m5e?6=3th3;7>52;294~">?3t$8592g=O1l1C555f5283>>i1i3:17p}n:181[g<5;819>5rsc83>7}Yj279?7;<;|q`>5<5sWi01?:5529~wa<72;qUh63=5;70?xub2909wSk4=34916=z{o0;6?uQf:?13?343ty:<7>52z\24>;503?87p}>1;296~X6927957;<;|q26?6=:rT:>63=a;70?xu6;3:1>vP>3:?1f?343ty:87>52z\20>;5k3?87p}>5;296~X6=279h7;<;|q22?6=:rT::63=e;70?xu6?3:1>vP>7:?1b?343ty:57>52z\2=>;483?87p}>a;296~X6i278=7;<;|q2f?6=:rT:n63<2;70?xu6k3:1>vP>c:?07?343ty:h7>52z\2`>;4<3?87p}>e;296~X6m27897;<;|q2b?6=:rT:j63<6;70?xu583:1>vP=0:?03?343ty9=7>52z\15>;403?87p}=2;297~;60=0>:63;5:3;63;5;3463;5<3563;60l0>863=5;4b?xu5>3:18v3>8586e>;4k90>863>8d861>;5>3n63;5?3863;60j0>863=8;4b?xu513:18v3>84861>;4ko0>863>8b861>;513:63;60j0>:63=a;4b?xu5j3:19v3>84863>;4lk0>863>8b863>;5j370?n3;70?87?i3??70>3?870?6b;76?87f=3?870?78;77?87?l3??7022?2h1v>>50;5x94>222<901<7?:468966=>h1v>?50;0x96ga2?56`9~w64=838p1>l;:478964=>h1v>=50;0x96d>2=56`9~w62=838p1>lj:478962=>h1v>;50;0x96e42;56`9~w60=838p1>m7:478960=>h1v>950;1x94>32<>01>mk:478961=>h1v>650;1x94>32j;:46896>=>h1v>750;3g851i3>370370?md;6;?87fk3??702;76?827:3?>70=jf;70?85a?3?>70=j6;76?85><3?870=6b;77?850>3??70=8a;70?85?13?8704853c9>5c7=;k16=k953c9>5cg=;k16=kj53c9>656=;k16>>j53c9>5a2=;k16>?m53c9>67d=;k16>:>53c9>5a`=;k16>::53c9>5`3=;k16>?<53c9>5`>=;k16>9k53c9>602=;k16>;<53c9>5ac==:16?i49a:p7`<72;qU?h523g85e>{t<90;6?uQ419>04<1i2wx8?4?:3y]07=:<:0>?6s|4283>0}:jm0>?63=67867>;5=l0>?63=33867>;3;3;u21bf97g=:;8n1?o522gf97g=::hk1?o522`f97g=::oi1?o521c:97g=:9kn1?o521b097g=::l=1?o5241d97g=:9hk1?o521`f97g=::h31?o522b797g=:<8h1?o5240497g=:<8l1?o5240597g=:;?k1?o5237`97g=:;>o1?o5238097g=:<8?1?o522c297g=::o81?o522c`97g=::m;1?o522g;97g=::j81?o522ed97g=:;9<1?o5231c97g=:;mn1?o523df97g=:;oo1?o521c0916=:6}:9j>198521e2911=:91<1:l5rs7394?5|58hm68=4=3`7>3g<58i=68=4}r40>57;;;<0f5?3234;3n78n;<0`e?33349;87;;;<0g520y>=c<2927in7::;13<5m<18852d`871>;cm3>>70k=:5789`0=<<16il4;5:?fa?2234l969;4=b1900=:k>0?963lb;66?8ea2=?01?79:57894ec2=?01>?k:57897`c2=?01?on:57894d?2=?01370=i:418917==:1v:;50;0xZ23<51>1:l5rs6494?4|V><015;56`9~w2>=838pR:64=9492d=z{>31<7h1v:o50;0xZ2g<5121:l5rs6`94?4|V>h015756`9~w2e=838pR:m4=9c92d=z{>n1<7h1v:k50;0xZ2c<51i1:l5rs6d94?4|V>l015j56`9~w=6=838pR5>4=9g92d=z{1;1<7h1v5<50;gx96?62<>019>m:47896`>2<901>k?:46894g62?k01>7i:47896g42<901>o;:4189611267:41896>c2<>01>j<:41896b32<<01942908w0=61;4b?87ei3?870?l7;70?xu?<3:1>v3;?<3?87p}77;296~;4j;0=m6377;70?xu?03:1>v3;?03?87p}79;296~;4jj0=m6379;70?xu?i3:1>v3;?i3?87p}7b;296~;4k?0=m637b;70?xu?k3:1>v3;?k3?87p}7d;296~;4l90=m637d;70?xu?m3:1>v3;?m3?87p}7f;296~;4lj0=m637f;70?xu>83:1>v3;32867>;6i00=m6s|a783>=}:i?0<863<32867>;50;0>?63=64861>;4;80?n63<6087f>;4{ti>0;6?u2a787<>;f13h1vlj50;:x9gd=?=16jl4;9:?241<2=27h<7;<;<332?3134;;m7;7;<327?3234;:47;9;|qag?6=9?q6no4l4=b`97g=:ko08n63l2;1a?8b12:h01io53c9>``<4j27n>7=m;6d<5lk1?o52ed80f>;a:39i70o9:2`8974f2:h01<9i:2`894102:h01<=<:2`8944c2:h01lo53c9>fa<1i2wxnh4?:3y>fg<3027h=78n;|qab?6=:r7ij78n;023g<5j;1985rsc094?1|5j81;952f`87e>;68=0>863>07861>;68h0>;63>1386f>;6910>86s|ad83>1}:k:0<863i6;6b?876j3?>70?>7;76?xud<3:1>v3l3;6;?8e12?k0q~m::1818e22?k01n85549~wd`=83>p1n95759>b2<3i27:=i4:5:?25g<2<2wxo54?:3y>g2<3027hm78n;|q`=?6=:r7h578n;0322<5o218l5210f911=z{ji1<77}:km0=m63le;76?xue93:1;v3lf;57?8`>2=k01<62<>01<=9:41894502<>01<h1vi?50;0x9a7=>h16h?4:5:p`6<72;q6o?4;8:?g1?0f3tyo87>52z?g0?0f34n>68;4}r`0>5<1s4n=6::4=g`90d=:99<1995211c913=:98819l5210:910=z{m=1<77}:l10=m63k9;76?xue<3:1:v3ka;57?8`d2=k01<>7:468946f2`a<2=2wxn84?:4y>``<0<27mh7:n;<33e?3334;:>7;7;<323?3e3tyoj7>52z?ga?2?34o:6;o4}rg3>5<5s4o;6;o4=d3910=z{k<1<78t=d0931=:nl0?m63>0b860>;68l0>963>13863>;69>0>56s|e283>7}:m;0?463j5;4b?xub<3:1>v3j4;4b?8c22>01kh54`9>55c===16=<<5579>541==>1vh950;0x9`0=<116i449a:pa=<72;q6i549a:?f=?323tyi47>55z?fe?1334;;<7:n;<324?3334;:>7;;;<323?3?3tynn7>52z?fe?2?34oo6;o4}rg`>5<5s4oh6;o4=df910=z{k31<7:t=dg931=:99;18l52100910=:98=19;5rsdd94?4|5lo18552f085e>{tn90;6?u2f185e>;a93?>7p}ma;291~;a:3=?70??2;6b?876=3??70?>b;75?876?3??7p}i3;296~;a:3>370h::7c8yv`32909w0h;:7c89c3==<1v<><:1818`e2=301<>;:7c8yv77=3:1?v3ic;6:?87703?>70??6;4b?xu68>0;6?u2fe87=>;6810=m6s|11;94?2|5oo1845211a910=:99o19;5211c92d=z{8:i6=4={1?<58:h6;o4}r33`?6=;r7:<=4;9:?255<2=27:>:5;894772?k0q~?>1;290~;68;0?563>14861>;69k0>;63>1285e>{t9881<73g<58;868:4}r320?6=:r7m:7:6;<321?0f3ty:=;4?:2y>b2<3127:=i4:6:?25<<1i2wx=<950;0x94702?k01v3>1985e>;6900>96s|10c94?4|5o21845210`92d=z{8;h6=4={1?<58;o6;o4}r32a?6=:r7m:77>;0252z?e3??634ij68:4}r314?6=:r7m477>;0252z?e=??634n968:4}r316?6=:r7mm77>;0252z?ef??634n268:4}r310?6=:r7mo77>;0252z?e`??634o:68:4}r312?6=:r7mi77>;0252z?eb??634o268:4}r3144?:3y>557=1816j<4:4:p57g=838p1<>=:8389c3===1v<`=<2;27oo7;<;05<5l>19>52e9867>;bk3?870h?:4189c2==:16o84:3:?`=?3434io68=4=e3916=:9;i1:l5rs00g>5<1s4;9h79;;<30g?3334;897;<;<306?3334;<57;;;<34e?343ty:>h4?:3y>57b=<116=><56`9~w44a2909w0?=f;4b?87493??7p}>3183>7}:9::1:l52123910=z{89:6=4={<305?0f34;8>7;:;|q276<72=5759>56d==:16=>65559>57`===16=:j5559~w4532909w0?<3;6;?874133483>7}:9:?1:l52125910=z{89=6=4={<302?0f34;847;:;|q272<72;q6=>956`9>56?===1v<=7:181874035<4s4k268:4=01`>3g<582968:4}r30a?6=:r7:?h484:?752<302wx=>h50;0x945b2=201<:8:7c8yv7383:1>v3>4185e>;6<<0>86s|15394?4|58>:6;o4=066>037>52z?207<1i27:8;4:5:p515=838p1<:<:7c894212<<0q~?;4;296~;6<=0=m63>47863>{t9=?1<73g<58><68:4}r372?6=:r7:8;49a:?202<2=2wx=9650;0x942?2>>019?i:5:8yv7313:1>v3>4987<>;6=80=m6s|15c94?4|58>j6;o4=06e>0252z?20g<1i27:8k4:5:p51e=838p1<:l:7c89437251862>{t9=o1<73g<58?;6894}r37b?6=:r7:8k49a:?214<2<2wx=8>50;0x94372?k01<;>:478yv72>3:1=9u2bc870>;a:3>?70kj:5689`g=<=16i;4;4:?f6?2334nn69:4=ec901=:l?0?863l2;67?8ea2=>01nl5459>g2<3<27h?7:;;<362?1334;>i7;<;<357?3434;=;7;<;<35f?3434;9o7;:;|q212<72;q6=885499>50d=>h1v<;7:181872035<5s4;>m78n;<36f?303ty:9?4?:6y>50e=?=16=;j5489>527==<16=8h5529>50>==:16=9m5529>514==:1v<;k:181872k3>370?90;4b?xu6=l0;6?u214g92d=:9?:1995rs07e>5<5s4;>j78n;<354?323ty:9>4?:9y>537=?=16=;j54`9>527===16=875529>51g==<16=9j5529>516===16=9=5529~w4052909w0?91;6;?871<36283>7}:9?91:l52176910=z{8??6=47{<351?1334;=i7:n;<347?3334;>m7;<;<37e?3334;?i7;<;<374?3234;?87;<;|q223<72;q6=;;5499>53>=>h1v<88:181871?352142911=:9=;19>52154911=z{853e==<1v<9?:180871m3>270?83;76?870937383>7}:9?l1845216192d=z{8=?6=4={<35`??634;=87;;;|q230<72;q6=;k5909>53>===1v<99:181871n33:70?9c;77?xu6?>0;68u2165931=:i10>?63>78861>;6?j0>863<3c867>{t9>21<71><58=n6;o4}r34=?6=:r7:;449a:?23`<2<2wx=:o50;0x941f2?k01<9m:478yv70j3:1>v3>7c85e>;6?j0>96s|16a94?4|58=h6;o4=05g>0352z?23a<1i27:;h4:5:p52`=839p1<9i:668963>2<901<6>:478yv7?83:1>v3>7g87<>;60;0=m6s|19394?4|582:6;o4=0:1>0352z?2<1<1i27:4;4:4:p5=2=838p1<6::7c894>1291861>{t9121<73g<583868:4}r3;=?6=:r7:4l49a:?2c2?k01<7=:468yv7?l3:1>v3>8d85e>;6180>86s|19g94?4|582m6;o4=0;2>0352z?2=5<1i27:4o4:4:p5<6=838p1<7>:7c894?5292861>{t9081<73g<582268;4}r3:7?6=:r7:4449a:?22<>0q~?65;296~;61<0=m63>99861>{t90<1<73g<58336884}r3:3?6=:r7:5:49a:?2==<2?2wx=4750;0x94?f2?k01<7j:478yv7>i3:1>v3>9c85e>;61o0>86s|18`94?4|583h6;o4=0;e>0352z?2=a<1i27:m<4:5:p50q~?6e;296~;61o0=m63>a1861>{t90l1<73g<58k:68:4}r3b6?6=:r7:m>49a:?2e=<2=2wx=l=50;0x94g32?k01v3>a485e>;6i10>:6s|1`794?4|58k=6;o4=0c;>0152z?2e2<1i27:m54:8:p5d1=838p12ae87<>{t9hh1<712<58kh6;o4}r3b`?6==r7:mi484:?2=f<2;27:5h4:4:?2e1<2;27:4i4:5:p5d`=838p1b6860>{t9k;1<7=t=0`3>6d<5=8:6>l4=0`1>3g52z?2f5<3027:n949a:p5g3=838p1c`860>{t9k31<712<58hh6;o4}r3ae?6=:r7:nl49a:?2ff<2<2wx=ol50;0x94de2?k01v3>be840>;6k00>86s|1cg94?4|58ho69:4=0a2>3g52z?2fc<1i27:o<4:4:p5f6=838p1d3867>{t9j91<71><58i?6;o4}r3`1?6=;r7:o?4;4:?2ga<3<27:on49a:p5f0=838p120=m63>c`861>{t9j21<73g<58ih68;4}r3`=?6=:r7:o449a:?2gg<2<2wx=no50;0x94ef2?k01v3>cc85e>;6kj0>86s|1bf94?4|58io6::4=21e>0552z?2ga<3027:h=49a:p5f`=838p1d2861>{t9m>1<722<5:8<68=4}r3g1?6=:r7:h94;8:?2`<<1i2wx=i850;0x94b12?k01v3>d685e>;6l10>96s|1e:94?4|58n36;o4=0f:>0353z?2`g<1i2799;4:3:?16`<2;2wx=im50;0x94bd2>>01db80f>;51808n63=8580f>;5?m08n63=7680f>;5?:08n63=6g80f>;5e580f>;6ll0=m6s|1ed94?4|58nm6::4=0fa>0253z?2`c<3027:hn4;8:?2a4<1i2wx=h<50;1x94c42?k01?;n:41897572<90q~?j4;296~;6m=0<863>e2861>{t9l?1<722<58o868:4}r3f2?6=;r7:i84;8:?2a1<3027:i:49a:p5`>=838p162<90q~?j9;296~;6m10?463>ec85e>{t9lk1<73g<58oi68;4}r3fg?6=1r7:j<484:?146<31279<54:5:?15<<2<279=o4:3:?2b1<2;279>94:3:?1=g<2;279m?4:5:p5c4=838p1:5:894`12?k0q~?i3;296~;6n:0=m63>f4860>{t9o>1<73g<58l>68;4}r3e1?6=:r7:j849a:?2b3<2=2wx=hj50;:x94`02>>01?><:5c8976?2<>01??6:478977f2<901?<9:41897?f2<901?o=:448yv7a03:1>v3>f687<>;6n00=m6s|1dg94?1|58lj6::4=327>1g<5;:j68:4=33f>05<5;8368=4=3;`>05<5;k96894}r3ef?6=:r7:jl4;8:?2bf<1i2wx=hh50;5x94`c2>>01?>::5c8976d2<>01??k:41897402<901?7k:41897g32<90q~?ie;296~;6nm0?463>fg85e>{t9o:1<79t=323>22<5;:=69o4=33:>00<5;;h68=4=30:>03<5;3n68=4=3c6>0552z?145<30279;:5;8976f27:7c8yv4713:1?v3=0487=>;58j0>963=0`85e>{t:9h1<71?<5;:h6;o4}r03`?6==r7:j44:4:?2bf<2<27:jk4:4:?147<2<279==49a:p65c=838p1?>j:7c897772<>0q~{t:8;1<7<7<58l268;4}r026?6=:r79<9461:?2bf<2=2wx><=50;0x976220;01v3=078:5>;58;0>96s|20794?5|5;;<6;o4=32e>05<58l=68:4}r022?6=:r79=;49a:?152<2=2wx><650;1x976b2<901?<>:7c894`42<90q~<>9;296~;5900=m63=21860>{t:8k1<73g<5;;m68;4}r02f?6=:r79=o49a:?15c<2<2wx>v3=1e85e>;5:80>86s|20g94?4|5;;n6;o4=302>0052z?15c<1i279>=4:5:p676=838p1?;5;80>?6s|23194?4|5;896964=30:>3g52z?161<1i279>44:4:p673=838p1?<::7c8974>2<<0q~<=6;296~;5:?0=m63=2886<>{t:;=1<73g<5;826894}r01549a:?16<<212wx>?o50;1x974e2=201?{t:;i1<7=t=30`>22<5;h;6964=3c1>0254z?10=<2;2798l4:4:?17<<2<279?949a:p67c=838p1?0q~<=f;296~;5:o0=m63=32861>{t:::1<73g<5;986884}r005?6=:r79?<49a:?176<2?2wx>><50;0x97552?k01?=;:478yv44;3:1>v3=3285e>;5;=0>86s|22794?4|5;8h6964=31`>3g52z?173<1i279?44:5:p661=838p1?=8:7c8975f2<>0q~<<8;296~;5;10=m63=3`861>{t::31<73g<5;9i68;4}r00e?6=:r79?l49a:?17f<2=2wx>>l50;0x975e2?k01?=l:468yv44l3:18v3=3e840>;5m00>?63<1g867>;5<:0>86s|22g94?4|5;9o6964=367>3g52z?17c<1i2798l4:5:p616=838p1?:?:7c897252{t:=81<73g<5;>868;4}r077?6=:r798>49a:?101<2<2wx>9;50;0x97222?k01?:m:478yv43>3:1>v3=4785e>;5<;0>:6s|25594?4|5;><6;o4=362>0352z?10=<1i2798n4:5:p61?=838p1?:6:7c897262<<0q~<;a;296~;5{t:=h1<73g<5;>968:4}r07g?6=:r798n49a:?104<2<2wx>9j50;6x972c2>>01?8::46894b12<>01?=8:468yv43m3:1iv3=4d840>;5?o0>963=65860>;5>10>?63=5g867>;5=90>963>d6867>;5<<0>?63=46860>;5<90>863=39867>;5?k0>863=8c867>;50m0>86s|25d94?4|5;>n6964=370>3g<7>52z?115<1i2799>4:4:p607=838p1?;>:7c897352{t:<>1<7kt=377>22<5;=m68:4=347>03<5;<<68=4=37;>02<5;?968:4=0f:>02<5;9m68=4=364>03<5;>i68:4=31a>02<5;=j68=4=0gb>02<5;2m68:4}r061?6=:r79994;8:?11<<1i2wx>8850;0x97312?k01?8?:468yv42?3:1>v3=5685e>;5=10>96s|24:94?4|5;?36;o4=37:>02m7>52z?11d<1i279:=4:5:p60d=838p1?;m:7c897072<<0q~<:c;296~;5=j0=m63=61863>{t:3g<5;?<68:4}r06a?6=:r799h49a:?112<2>2wx>8h50;0x973a2?k01?8>:478yv4183:1>v3=6185e>;5=>0>96s|27394?4|5;<:6;o4=37:>037>5fz?127<0<279:l4:4:?11a<2;279:<4:4:?115<2<2799<4:3:?2`3<2=2798;4:3:?10f<2<279?;4:3:?172<2=279;44:3:?2ad<2=2794l4:3:?1<`<2=2wx>;=50;0x97052=201?8l:7c8yv41<3:1>v3=6585e>;5>j0>86s|27794?4|5;<>6;o4=34:>0252z?123<1i279:44:5:p631=838p1?88:7c8970e2<>0q~<98;296~;5>10=m63=6c862>{t:?31<73g<5;;l50;0x970e2?k01?8l:478yv41l3:1?v3=6d85e>;5=j0>?63=2g867>{t:?l1<722<5;:?50;6x97172=201?8i:5:894gf2=?01?9=:7c8yv40;3:1>v3=72840>;5i:0>96s|26694?4|5;=?6::4=3c0>0253z?131<30279;>4;8:?133<1i2wx>:950;0x97102>>01>=>:418yv4003:1>v3=7687<>;5?j0=m6s|26;94?4|5;=26;o4=35a>0352z?13d<1i279;n4:5:p62d=838p1?9m:7c8971d2<>0q~<8d;296~;5?m0<863<42867>{t:>o1<71><5;=m6;o4}r0;4?6=:r794<49a:?1<6<2<2wx>5?50;0x97>52?k01?6<:478yv4?<3:1>v3=85840>;4?6s|29794?4|5;2?6964=3:;>3g52z?1<3<1i279454:4:p6=1=838p1?68:7c897>?2?63=87867>;5190=m6s|29c94?4|5;2j6;o4=3:g>0352z?1b2<<0q~<7d;296~;50m0=m63=8g861>{t:1o1<73g<5;3;68;4}r0;b?6=:r794k49a:?1=5<2<2wx>4?50;0x97?62>>01>8>:418yv4>:3:1>v3=9087<>;51<0=m6s|28194?4|5;386;o4=3;6>0252z?1=1<1i279584:5:p6<0=839p1?79:66897422<901>8<:418yv4>?3:1>v3=9787<>;5110=m6s|28;94?4|5;3=69:4=3c3>3g52z?1=d<1i2795k4:5:p60q~<6c;296~;51j0=m63=9g862>{t:0n1<73g<5;3m6894}r0:a?6=:r795h49a:?1=c<202wx>4h50;0x97?a2?k01?o?:478yv4f93:1?v3=99867>;5i90>863=a985e>{t:h81<73g<5;k368:4}r0b7?6=:r79m>49a:?1e2<2<2wx>l:50;0x97g32?k01?o9:468yv4f=3:1>v3=a485e>;5i?0>96s|2`494?4|5;k=6;o4=3c4>0352z?1e2<1i279m54:5:p6d?=838p1?o6:668964?2<90q~;5io0>963=f5860>;5n<0>?63=be860>;5jl0>?63=d2860>;5l=0>?63<10861>;5m:0>?63=b7867>;5ml0>?63=c8861>;5lm0>86s|2``94?4|5;kj69:4=3c`>3g52z?1ea<0<279mn4:4:p6dc=838p1?ok:5:897ga2?k0q~;49<0>963<09861>;5mm0>?63=c6867>{t:k;1<73g<5;h?68:4}r0a6?6=:r79n>49a:?1f1<2>2wx>o;50;1x97d52<901?ln:7c896642<>0q~{t:k=1<73g<5;hj68;4}r0a2wx>o750;0x97d>2?k01?ln:458yv4ej3:1>v3=bc840>;50>0>?6s|2ca94?4|5;hi6964=3a2>3g52z?1fa<1i279o<4:4:p6gc=838p1?lj:7c897e72<>0q~{t:j:1<73g<5;i:68;4}r0`6?6=:r79o?484:?1fa<2=2wx>n=50;0x97e52=201?m;:7c8yv4d=3:1>v3=c4840>;56964=3a;>3g52z?1g2<1i279oi4:5:p6f?=838p1?m6:7c897ea2<>0q~{t:jh1<73g<5;in68;4}r0`g?6=:r79on49a:?1`5<2=2wx>nj50;0x97ec2?k01?m7:468yv4dm3:1>v3=cd85e>;5ko0>96s|2bd94?4|5;im6;o4=3f3>0252z?1`5<1i279o54:5:p6a7=839p1?j>:66894ce2<>01?6l:418yv4c:3:1>v3=d087<>;5l?0=m6s|2e194?4|5;n86;o4=3f5>0252z?1`1<1i279h84:5:p6a3=838p1?j::7c897b12{t:m21<73g<5;nh68:4}r0g=?6=:r79h449a:?1`f<2=2wx>io50;0x97bf2?k01?jj:468yv4cj3:1>v3=dc85e>;5ll0>:6s|2ea94?4|5;nh6;o4=3fg>0352z?1`a<1i279hh4:5:p6a`=838p1?ji:66897b42;5m?0=m6s|2d394?4|5;o:6;o4=3g5>037>52z?1a7<1i279i84:4:p6`5=838p1?k<:7c897c22{t:l?1<73g<5;o=68:4}r0f3?6=:r79i:484:?1f6<2;2wx>h650;0x97c02=201?kn:7c8yv4b13:1>v3=e885e>;5mh0>96s|2d`94?5|5;o<69:4=23g>12<5;l:6;o4}r0fg?6=:r79in49a:?1b5<2=2wx>hj50;0x97cc2?k01?h?:448yv4bm3:1>v3=ed85e>;5n90>;6s|2dd94?4|5;om6;o4=3d2>0352z?1b5<1i279j<4:4:p6c4=838p1?h=:66897?32<90q~{t:o>1<73g<5;l368:4}r0e1?6=:r79j849a:?1b2<2<2wx>k850;0x97`12?k01?h8:478yv4a?3:1>v3=f685e>;5n10>96s|2g;94?4|5;l26::4=3d7>0352z?1b<<30279jo49a:p6ce=83?p1?on:5:897`d2>>01?h6:56897e52=>01?ol:478yv4al3:1:v3=fe840>;49:0>?63=e5867>;5n90>863=cc867>;5l00>?6s|2gg94?4|5;lo69:4=226>3g52z?1bc<1i278>?:7c896652{t;981<73g<5::868;4}r137?6=:r78<>49a:?041<2=2wx?=:50;0x96632?k01>>::468yv57>3:15v3<07840>;49h0>?63<15867>;49<0>863<09860>;5m;0>863=cb867>;5no0>?63=dc867>{t;9=1<71><5::26;o4}r13>01>?m:46896762<>01>?9:41896702<>01>>6:46897c522<>01?mk:46896672<901?jn:418yv57j3:1>v3<0`87<>;4990=m6s|31a94?4|5::h6;o4=234>0352z?04a<1i278==4:6:p75c=838p1>>j:7c8966a2<>0q~=?f;296~;48o0=m63<11860>{t;8;1<73g<5::n68:4}r126?6=:r78=?49a:?05=<2<2wx?<=50;0x96742?k01>?7:478yv56<3:1>v3<1585e>;48o0>96s|30794?4|5:;>6;o4=22g>0252z?053<1i278?8:7c8967728;296~;4910=m63<0d861>{t;831<73g<5:;i68;4}r12g?6==r79m44;8:?1b7<3<279no4;4:?1ec<2<278=o49a:p74b=838p1>?k:66896512<90q~=>e;296~;49m0?463<2085e>{t;8l1<73g<5:8:68:4}r114?6=:r78>=49a:?064<2=2wx??<50;0x96442?k01><::468yv55;3:1>v3<2585e>;4:<0>96s|33494?4|V:8=70==a;4b?xu4:>0;6?u233592d=:;;31995rs20;>5<5s499478n;<11=?323ty8>l4?:3y>77?=>h16??o5529~w64e2909wS==b:?06a<1i2wx??j50;0x964d2?k01>vP<2d9>77`==:1v>70==f;4b?xu4;90;6?uQ322896562?k0q~=<2;296~X4;;16?>:56`9~w6532909w0=<3;4b?854<3?87p}<3483>7}Y;:?01>=9:7c8yv54?3:1>vP<369>76>==:1v>=7:18087b93?870<;9;70?854037}Y;:k01>=m:7c8yv54k3:1>vP<3b9>76b=>h1v>=j:181[54m278?k49a:p716=838pR>:?;<175?343ty88<4?:3y>5`1==:16?9?56`9~w6252909wS=;2:?006<1i2wx?9:50;0xZ623349?978n;|q000<72;q6??;56`9>713==:1v>:9:181[53>2788:49a:p711=838p1?6<:7c896202<90q~=;8;296~X4<116?975529~w62>2909w0ol:418962>2?k0q~=;a;296~X47}Y;=i01>:k:7c8yv53m3:1>vP<4d9>71`=>h1v>:i:181825k3{t;<81<77}:<:?1:l52341916=z{:??6=4={_160>;4=<0=m6s|34794?4|5=996;o4=276>05:7>52z\013=:;<=1:=5rs27;>5<5s49>578n;<163?2e3ty89l4?:3y]70g<5:?i6;o4}r16f?6=:r7??>49a:?01g<2;2wx?8m50;0xZ63d349>h78n;|q01a<72;q68>:56`9>70b==:1v>;j:181[52m2789k4:3:p70`=83>p1?hm:418915>2<901?h9:418963a2?k0q~=90;296~X4>916?;?56`9~w6052909wS=92:?026<1i2wx?;:50;0xZ603349=978n;|q020<72;q6=i=56`9>733==:1v>89:181[51>278::4:3:p731=838p1?99:41896002?k0q~=98;296~X4>116?;75529~w60>290jw00}:;?k1;9523g:916=:;h819>5237g911=:;1o1995rs24a>5<>s49=n79;;<61=?3334>8m7;;;<632?33349n47;<;<1:b?33349<87;;;<1;4?3434>9i7;<;|q02f<72;q6?;l5499>723=>h1v>8k:181851l3l0;6?u237g92d=:;>:1995rs24e>5<5s49=j78n;<141?323ty8;=4?:3y>726=>h16?:?5549~w6162909w0=81;4b?850:3??7p}<7383>7}:;>81:l52361910=z{:=86=4={<147?0f349<87;:;|q031<72;q6?::56`9>723===1v>99:181850>30;6?u236592d=:;?o1985rs25;>5<5s49<478n;<144?323ty8;44?:3y>72?=>h16?:<5549~w61f2909w0=8a;4b?851n3??7p}<7c83>7}:;>h1:l5237d910=z{:=h6=4={<14g?0f349=j7;9;|q03a<72;q6?:j56`9>73`==>1v>9j:181850m3=?70=60;70?xu4?o0;6?u236g90==:;1<1:l5rs2:3>5<5s493<78n;<1;`?323ty84<4?:3y>7=7=>h16?585579~w6>52909w0=72;4b?85?=3??7p}<8283>7}:;191:l52396911=z{:2?6=4={<1;0?0f349397;:;|q0<0<72;q6?5;56`9>7=0==<1v>68:18185??35<5s493578n;<1;0?323ty84l4?:3y>7=g=>h16?585559~w6>e2909w0=7b;4b?85?93??7p}<8b83>7}:;1i1:l52393910=z{:2o6=4={<1;`?0f3493>7;:;|q0<`<72;q6?5k56`9>7=5===1v>6i:18185>8322<5=8868=4=2f:>02<5:nj68=4=2ag>02<5:in68=4=2a;>02<5:i268=4=2a0>02<5:i?68=4=2`f>02<5:hm68=4=2`:>02<5:hj68=4=2`7>02<5:h>68=4=2ce>02<5:h;68=4=2cb>02<5:ki68=4=2f1>05<5:n?68;4}r1:7?6=:r785?4;8:?0e4<1i2wx?4:50;0x96?32?k01>7::478yv5>=3:1>v3<9485e>;4100>96s|38494?4|5:3=6;o4=2;b>0352z?0=2<1i2785n4:5:p7<>=838p1>77:7c896g62{t;0k1<73g<5:3i68;4}r1:f?6=:r785o49a:?0=f<2<2wx?4m50;0x96?d2?k01>7k:468yv5>l3:1>v3<9e85e>;41l0>96s|38g94?4|5:3n6;o4=2c3>0252z?0=c<1i2785l4:4:p7d6=838p1>o?:7c896g62<>0q~=n2;296~;4i;0=m63<97860>{t;h91<73g<5:3=6884}r1b0?6=:r78m949a:?0=2<2=2wx?l;50;0x96g22?k01>7k:478yv5f>3:1>v3;4i90>96s|3`594?4|5:k<6;o4=2;;>0252z?0e=<1i278554:6:p7d?=838p1>on:7c896gc2<>0q~=na;296~;4ik0=m63{t;hh1<73g<5:ko68;4}r1ba?6=:r78mk49a:?0f7<2<2wx?lh50;0x96d72?k01>l>:478yv5e83:1>v3;4j;0>96s|3c194?4|5:h?6;o4=2`4>0252z?0f0<1i278n;4:5:p7g3=838p1>l9:7c896d02{t;k31<73g<5:hi68;4}r1ae?6=:r78no49a:?0ff<2=2wx?oj50;0x96db2?k01>m>:468yv5em3:1>v3;4k90>96s|3cd94?4|5:i;6;o4=2a2>037>52z?0g6<1i278o;4:4:p7f5=838p1>m;:7c896e22{t;j=1<73g<5:ii68:4}r1`mm:478yv5dk3:1>v3;4l90>86s|3bf94?4|5:in6;o4=2ae>0352z?0gc<1i278h=4:5:p7a7=838p1>j=:7c896b22<>0q~=k2;296~;4l:0=m63{t;m91<73g<5:n<68;4}r1g0?6=:r78h849a:?0`3<2=2wx?i;50;0x96b12?k01>j8:468yv5c03:1>v3;4lj0>86s|3e;94?4|5:nj6;o4=2fa>0352z?0`g<1i278hn4:5:p7ab=83lp1>jk:668916c2<9019>?:41891632<>019>8:41896`f2<901>ji:46896c22<901>k6:41896cd2<>01>o::41896g02<901>96:41896>52<>019?>:418yv5cm3:1>v3;4m=0=m6s|3ed94?4|5:nm6;o4=2g2>0252z?0a5<1i278i?4:5:p7`7=838p1>k>:7c896c32<>0q~=j2;296~;4m;0=m63{t;l91<73g<5:o?68;4}r1f1?6=:r78i849a:?0ag<2=2wx?h850;0x96c12?k01>k<:468yv5b?3:1>v3;4mj0>96s|3d:94?4|5:o36;o4=2g3>0352z?0a<<1i278i=4:6:p7`g=838p1>kn:7c896c72<=0q~=jb;296~;4mk0=m63{t;li1<73g<5:o968:4}r1f`?6=mr78ii484:?74`<2<27?<84:3:?74<<2<278j;4:3:?0b6<2<278hk4:5:?0ad<2;278m;4:3:?0==<2=278:i4:5:?03f<2;2784o4:3:?756<2<2wx?hk50;0x96cc2=201>h::7c8yv5bn3:1>v3;4nk0>96s|3g294?4|5:l;6;o4=2d1>0252z?0b4<1i278j84:4:p7c4=838p1>h=:7c896`42{t;o>1<73g<5:l>68;4}r1e2?6=:r78j;49a:?0b4<2=2wx?k950;0x96`02?k01>hl:468yv5a03:1>v3;4nj0>96s|3g;94?4|5:l26;o4=2d1>0352z?0bd<1i278j94:5:p7cd=838p1>hm:7c896`c2{t;on1<73g<5:l:68:4}r1ea?6=ir78jh484:?74=<2;278ji4:4:?0a2<2;278io4:4:?0e=<2;278544:4:?02a<2<278;i4:3:?0<:7c8yv2783:1>v3;0185e>;3800>96s|41394?4|5=::6;o4=521>02;>7>52z?747<1i27?<>4:5:p052=838p19>;:7c8916f2<>0q~:?5;296~;38<0=m63;0`861>{t<9<1<73g<5=:i68:4}r633?6=:r7?<:49a:?74g<2>2wx8=650;0x916?2?k019>=:448yv2713:1>v3;0885e>;38:0>86s|41c94?4|5=:j6;o4=522>02;n7>52z?74g<1i27?<<4:5:p05e=838p19>k:7c8916b2;3900>?6s|40294?4|5=:m69:4=537>3g:=7>52z?754<1i27?=>4:5:p044=838p19?=:7c8917323;296~;39:0=m63;15860>{t<8?1<722<5=9;68=4}r622?6==r7?=;484:?0b2<2<2785;4:5:?032<2;2784:4:3:p041=83>p19>i:5:891702>>019?n:46891752<90q~:>8;296~;39?0?463;1`85e>{t<831<73g<5=;j68;4}r62f?6=jr7?=o484:?741<2=27?<;4:5:?0bg<2<278j=4:4:?0a3<2<278584:4:?0=2<2<278;54:3:?03g<2;2784l4:3:p04e=838p19?m:5:8917b2?k0q~:>d;296~;39m0=m63;1d861>{t<8l1<722<5=;n68:4}r614?6=:r7?=84;8:?74`<1i2wx8??50;0x91462>>01>=k:418yv25:3:1>v3;2087<>;3::0=m6s|43794?4|5=8?68=4=50:>3g9:7>52z?763<1i27?>44:5:p071=838p19<8:7c8914>2<<0q~:=8;296~;3:10=m63;28863>{t<;k1<73g<5=8h68;4}r61`?6=:r7?>h49a:?774<2<2wx8?k50;0x914a2?k019=>:448yv25n3:1>v3;3185e>;3;;0>96s|42294?4|5=9:6;o4=511>028:7>52z?770<2;27??l49a:p061=838p19=8:7c8915f2{t<:31<73g<5=9j6894}|la`1<728qC555rncf6>5<6sA337p`md783>4}O111vboj8:182M??3tdih54?:0yK===zfkn26=4>{I;;?xhelh0;65<6sA337p`mdd83>4}O111vboji:182M??3tdii=4?:0yK===zfko:6=4>{I;;?xhem;0;65<6sA337p`me783>4}O111vbok8:182M??3tdii54?:0yK===zfko26=4>{I;;?xhemh0;65<6sA337p`med83>4}O111vboki:182M??3tdij=4?:0yK===zfkl:6=4>{I;;?xhen;0;65<6sA337p`mf783>4}O111vboh8:182M??3tdij54?:0yK===zfkl26=4>{I;;?xhenh0;65<6sA337p`mfd83>4}O111vbohi:182M??3tdh<=4?:0yK===zfj::6=4>{I;;?xhd8;0;65<6sA337p`l0783>4}O111vbn>8:182M??3tdh<54?:0yK===zfj:26=4>{I;;?xhd8h0;65<6sA337p`l0d83>4}O111vbn>i:182M??3tdh==4?:0yK===zfj;:6=4>{I;;?xhd9;0;65<6sA337p`l1783>4}O111vbn?8:182M??3tdh=54?:0yK===zfj;26=4>{I;;?xhd9h0;65<6sA337p`l1d83>4}O111vbn?i:182M??3tdh>=4?:0yK===zfj8:6=4>{I;;?xhd:;0;65<6sA337p`l2783>4}O111vbn<8:182M??3tdh>54?:0yK===zfj826=4>{I;;?xhd:h0;65<6sA337p`l2d83>4}O111vbn5<6sA337p`l3783>7}O111vbn=8:181M??3tdh?54?:0yK===zfj926=4>{I;;?xhd;h0;6?uG999~jf5e290:wE77;|l`7f<728qC555rnb1g>5<5sA337p`l3d83>4}O111vbn=i:182M??3tdh8=4?:3yK===zfj>:6=4>{I;;?xhd<;0;65<5sA337p`l4783>4}O111vbn:8:182M??3tdh854?:3yK===zfj>26=4>{I;;?xhd5<6sA337p`l4d83>7}O111vbn:i:182M??3tdh9=4?:0yK===zfj?:6=4={I;;?xhd=;0;65<6sA337p`l5783>4}O111vbn;8:181M??3tdh954?:0yK===zfj?26=4>{I;;?xhd=h0;6?uG999~jf3e290:wE77;|l`1f<728qC555rnb7g>5<5sA337p`l5d83>7}O111vbn;i:181M??3tdh:=4?:3yK===zfj<:6=4={I;;?xhd>;0;6?uG999~jf042909wE77;|l`21<72;qC555rnb46>5<6sA337p`l6783>7}O111vbn88:181M??3tdh:54?:0yK===zfj<26=4>{I;;?xhd>h0;6?uG999~jf0e2909wE77;|l`2f<72;qC555rnb4g>5<5sA337p`l6d83>7}O111vbn8i:181M??3tdh;=4?:3yK===zfj=:6=4={I;;?xhd?;0;6?uG999~jf142909wE77;|l`31<72;qC555rnb56>5<5sA337p`l7783>7}O111vbn98:181M??3tdh;54?:3yK===zfj=26=4>{I;;?xhd?h0;65<6sA337p`l7d83>4}O111vbn9i:182M??3tdh4=4?:0yK===zfj2:6=4>{I;;?xhd0;0;64290:wE77;|l`<1<728qC555rnb:6>5<6sA337p`l8783>4}O111vbn68:182M??3tdh454?:0yK===zfj226=4>{I;;?xhd0h0;6e290:wE77;|l`5<6sA337p`l8d83>4}O111vbn6i:182M??3tdh5=4?:0yK===zfj3:6=4>{I;;?xhd1;0;65<6sA337p`l9783>4}O111vbn78:182M??3tdh554?:0yK===zfj326=4>{I;;?xhd1h0;65<6sA337p`l9d83>7}O111vbn7i:182M??3tdhm=4?:0yK===zfjk:6=4>{I;;?xhdi;0;6?uG999~jfg4290:wE77;|l`e1<728qC555rnbc6>5<5sA337p`la783>4}O111vbno8:182M??3tdhm54?:3yK===zfjk26=4>{I;;?xhdih0;65<5sA337p`lad83>7}O111vbnoi:181M??3tdhn=4?:0yK===zfjh:6=4>{I;;?xhdj;0;65<6sA337p`lb783>4}O111vbnl8:182M??3tdhn54?:0yK===zfjh26=4>{I;;?xhdjh0;65<6sA337p`lbd83>4}O111vbnli:182M??3tdho=4?:0yK===zfji:6=4>{I;;?xhdk;0;65<6sA337p`lc783>4}O111vbnm8:182M??3tdho54?:0yK===zfji26=4>{I;;?xhdkh0;65<6sA337p`lcd83>4}O111vbnmi:182M??3tdhh=4?:0yK===zfjn:6=4>{I;;?xhdl;0;65<6sA337p`ld783>4}O111vbnj8:182M??3tdhh54?:0yK===zfjn26=4>{I;;?xhdlh0;65<6sA337p`ldd83>4}O111vbnji:182M??3tdhi=4?:0yK===zfjo:6=4>{I;;?xhdm;0;65<6sA337p`le783>4}O111vbnk8:182M??3tdhi54?:0yK===zfjo26=4>{I;;?xhdmh0;65<6sA337p`led83>4}O111vbnki:182M??3tdhj=4?:0yK===zfjl:6=4>{I;;?xhdn;0;65<6sA337p`lf783>4}O111vbnh8:182M??3tdhj54?:0yK===zfjl26=4>{I;;?xhdnh0;65<6sA337p`lfd83>4}O111vbnhi:182M??3tdo<=4?:0yK===zfm::6=4>{I;;?xhc8;0;65<6sA337p`k0783>7}O111vbi>8:182M??3tdo<54?:0yK===zfm:26=4>{I;;?xhc8h0;65<5sA337p`k0d83>4}O111vbi>i:181M??3tdo==4?:0yK===zfm;:6=4={I;;?xhc9;0;65<5sA337p`k1783>4}O111vbi?8:182M??3tdo=54?:0yK===zfm;26=4={I;;?xhc9h0;6?uG999~ja7e2909wE77;|lg5f<72;qC555rne3g>5<6sA337p`k1d83>4}O111vbi?i:182M??3tdo>=4?:0yK===zfm8:6=4>{I;;?xhc:;0;65<6sA337p`k2783>4}O111vbi<8:182M??3tdo>54?:0yK===zfm826=4>{I;;?xhc:h0;65<6sA337p`k2d83>4}O111vbi{I;;?xhc;;0;65<6sA337p`k3783>4}O111vbi=8:182M??3tdo?54?:0yK===zfm926=4>{I;;?xhc;h0;65<6sA337p`k3d83>4}O111vbi=i:182M??3tdo8=4?:0yK===zfm>:6=4>{I;;?xhc<;0;65<6sA337p`k4783>4}O111vbi:8:182M??3tdo854?:0yK===zfm>26=4>{I;;?xhc5<6sA337p`k4d83>4}O111vbi:i:182M??3tdo9=4?:0yK===zfm?:6=4>{I;;?xhc=;0;65<6sA337p`k5783>4}O111vbi;8:182M??3tdo954?:0yK===zfm?26=4>{I;;?xhc=h0;65<6sA337p`k5d83>4}O111vbi;i:182M??3tdo:=4?:0yK===zfm<:6=4>{I;;?xhc>;0;65<6sA337p`k6783>4}O111vbi88:182M??3tdo:54?:0yK===zfm<26=4>{I;;?xhc>h0;65<6sA337p`k6d83>4}O111vbi8i:182M??3tdo;=4?:0yK===zfm=:6=4>{I;;?xhc?;0;65<6sA337p`k7783>4}O111vbi98:182M??3tdo;54?:0yK===zfm=26=4>{I;;?xhc?h0;65<6sA337p`k7d83>4}O111vbi9i:182M??3tdo4=4?:0yK===zfm2:6=4>{I;;?xhc0;0;64290:wE77;|lg<1<728qC555rne:6>5<6sA337p`k8783>4}O111vbi68:182M??3tdo454?:0yK===zfm226=4>{I;;?xhc0h0;6e290:wE77;|lg5<6sA337p`k8d83>4}O111vbi6i:182M??3tdo5=4?:0yK===zfm3:6=4>{I;;?xhc1;0;65<6sA337p`k9783>4}O111vbi78:182M??3tdo554?:0yK===zfm326=4>{I;;?xhc1h0;65<6sA337p`k9d83>4}O111vbi7i:182M??3tdom=4?:0yK===zfmk:6=4>{I;;?xhci;0;65<6sA337p`ka783>4}O111vbio8:182M??3tdom54?:0yK===zfmk26=4>{I;;?xhcih0;65<6sA337p`kad83>4}O111vbioi:182M??3tdon=4?:0yK===zfmh:6=4>{I;;?xhcj;0;65<6sA337p`kb783>4}O111vbil8:182M??3tdon54?:0yK===zfmh26=4>{I;;?xhcjh0;65<6sA337p`kbd83>4}O111vbili:182M??3tdoo=4?:0yK===zfmi:6=4>{I;;?xhck;0;65<6sA337p`kc783>4}O111vbim8:182M??3tdoo54?:0yK===zfmi26=4>{I;;?xhckh0;65<6sA337p`kcd83>4}O111vbimi:182M??3tdoh=4?:0yK===zfmn:6=4>{I;;?xhcl;0;65<6sA337p`kd783>4}O111vbij8:182M??3tdoh54?:0yK===zfmn26=4>{I;;?xhclh0;65<6sA337p`kdd83>4}O111vbiji:182M??3tdoi=4?:0yK===zfmo:6=4>{I;;?xhcm;0;65<6sA337p`ke783>4}O111vbik8:182M??3tdoi54?:0yK===zfmo26=4>{I;;?xhcmh0;65<6sA337p`ked83>4}O111vbiki:182M??3tdoj=4?:0yK===zfml:6=4>{I;;?xhcn;0;65<6sA337p`kf783>4}O111vbih8:182M??3tdoj54?:0yK===zfml26=4>{I;;?xhcnh0;65<6sA337p`kfd83>4}O111vbihi:182M??3tdn<=4?:0yK===zfl::6=4>{I;;?xhb8;0;65<6sA337p`j0783>4}O111vbh>8:182M??3tdn<54?:0yK===zfl:26=4>{I;;?xhb8h0;65<6sA337p`j0d83>4}O111vbh>i:182M??3tdn==4?:0yK===zfl;:6=4>{I;;?xhb9;0;65<6sA337p`j1783>4}O111vbh?8:182M??3tdn=54?:0yK===zfl;26=4>{I;;?xhb9h0;65<6sA337p`j1d83>4}O111vbh?i:182M??3tdn>=4?:0yK===zfl8:6=4>{I;;?xhb:;0;65<6sA337p`j2783>4}O111vbh<8:182M??3tdn>54?:0yK===zfl826=4>{I;;?xhb:h0;65<6sA337p`j2d83>4}O111vbh{I;;?xhb;;0;65<6sA337p`j3783>4}O111vbh=8:182M??3tdn?54?:0yK===zfl926=4>{I;;?xhb;h0;65<6sA337p`j3d83>4}O111vbh=i:182M??3tdn8=4?:0yK===zfl>:6=4>{I;;?xhb<;0;65<6sA337p`j4783>4}O111vbh:8:182M??3tdn854?:0yK===zfl>26=4>{I;;?xhb5<6sA337p`j4d83>4}O111vbh:i:182M??3tdn9=4?:0yK===zfl?:6=4>{I;;?xhb=;0;65<6sA337p`j5783>4}O111vbh;8:182M??3tdn954?:0yK===zfl?26=4>{I;;?xhb=h0;65<6sA337p`j5d83>4}O111vbh;i:182M??3tdn:=4?:0yK===zfl<:6=4>{I;;?xhb>;0;65<6sA337p`j6783>4}O111vbh88:182M??3tdn:54?:0yK===zfl<26=4>{I;;?xhb>h0;65<6sA337p`j6d83>4}O111vbh8i:182M??3tdn;=4?:0yK===zfl=:6=4>{I;;?xhb?;0;65<6sA337p`j7783>4}O111vbh98:182M??3tdn;54?:0yK===zfl=26=4>{I;;?xhb?h0;65<6sA337p`j7d83>4}O111vbh9i:182M??3tdn4=4?:0yK===zfl2:6=4>{I;;?xhb0;0;64290:wE77;|lf<1<728qC555rnd:6>5<6sA337p`j8783>4}O111vbh68:182M??3tdn454?:0yK===zfl226=4>{I;;?xhb0h0;6e290:wE77;|lf5<6sA337p`j8d83>4}O111vbh6i:182M??3tdn5=4?:0yK===zfl3:6=4>{I;;?xhb1;0;65<6sA337p`j9783>4}O111vbh78:182M??3tdn554?:0yK===zfl326=4>{I;;?xhb1h0;65<6sA337p`j9d83>4}O111vbh7i:182M??3tdnm=4?:0yK===zflk:6=4>{I;;?xhbi;0;65<6sA337p`ja783>4}O111vbho8:182M??3tdnm54?:0yK===zflk26=4>{I;;?xhbih0;65<6sA337p`jad83>4}O111vbhoi:182M??3tdnn=4?:0yK===zflh:6=4>{I;;?xhbj;0;65<6sA337p`jb783>4}O111vbhl8:182M??3tdnn54?:0yK===zflh26=4>{I;;?xhbjh0;65<6sA337p`jbd83>4}O111vbhli:182M??3tdno=4?:0yK===zfli:6=4>{I;;?xhbk;0;65<6sA337p`jc783>4}O111vbhm8:182M??3tdno54?:0yK===zfli26=4>{I;;?xhbkh0;65<6sA337p`jcd83>4}O111vbhmi:182M??3tdnh=4?:0yK===zfln:6=4>{I;;?xhbl;0;65<6sA337p`jd783>4}O111vbhj8:182M??3tdnh54?:0yK===zfln26=4>{I;;?xhblh0;67AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?0167?DJB9j1J@H?P_np3457c3HFN=RQ`r123542GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5=2HJOY|;;CGQV0=EM[X::6LJRSpe=>DBZ[xmSC>n;CGQVw`XF9;=7OK]Rspf?GCUZ{xTbbz?013e?GCUZ{xTbbz?0132<>DR[VCEJB?4C59@53K>3J;=ARGMUG48G76JMGh0O?>BEO]LFP@13J8>AH@m;B06I@HXGK_M?6M7M99@EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV0i;Bnfew7Xign;<=>>1:F4?ARFKBxm;6J[ABIqvc=C\HI@~Qaou2344773M^JOF|}_omw45669>1Omyo30?58@drf484=7IaztNGg?Air|FOTc>?01g8@jssGLUd~=>?00g8@jssGLUd~=>?03g8@jssGLUd~=>?02g8@jssGLUd~=>?05g8@jssGLUd~=>?04g8@jssGLUd~=>?07g8@jssGLUd~=>?06g8@jssGLUd~=>?0938A0=BNhfg:6KIamn22>CAief996K\<1<6?@U;97?0I^2=>49FW959=2OX090;;DQ\4a=B[V:TSl`k0123a>CTW9UTmcj?0122a>CTW9UTmcj?0121a>CTW9UTmcj?0120a>CTW9UTmcj?0127a>CTW9UTmcj?0126a>CTW9UTmcj?01250>CTW8n0I^Q>_^cm`5678l1N_R?P_`lg45679l1N_R?P_`lg4567:=1N_RCTW:UTmcj?012f?@UX;VUjbi>?013f?@UX;VUjbi>?0107?@UXPMymq[Wct}e~7?3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1:1189FWZKflmUTmij?012\[dhc89:;=l5JS^Ob`aYXimn;<=>P_`lg45679830I^QBaef\[dbc89:;SRoad12354g?103:?@UXEhnoSRokd1234ZYffm:;?0^]bja678;;o7H]PQ^3\kw6789o0I^Q^_0]lv56788l0I^Q^_0]lv56788:m7H]PQ^3\kw6789;:j6K\_P]2[jt789::>h5JS^S\5Ziu89:;>h5JS^S\5Ziu89:;?h5JS^S\5Ziu89:;8h5JS^S\5Ziu89:;9h5JS^S\5Ziu89:;:h5JS^S\5Ziu89:;;h5JS^S\5Ziu89:;4h5JS^S\5Ziu89:;5h5JS^]b`a67896:2h5JS^]b`a6789692h5JS^]b`a6789682h5JS^]b`a67896?285JT@AH`>CSIJATmcj?012f?@RFKBUjbi>?013f?@RFKBUjbi>?010f?@RFKBUjbi>?011f?@RFKBUjbi>?016f?@RFKBUjbi>?017f?@RFKBUjbi>?0145?@YNJ\L97H|<;Dp11>Cu494>7H|31?68AwY7<2OyS7H|PN168BFJL12LH@FQFBTD1?CB33ONHI>5ISC38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ6?LGD[8?0ELM\249JEFU4<2CIYK74IOKWWQGSM>1BBDZ\TB:8MKOS[]I:i6GAIUQ\BVKXNOn:=<5FNHVP[CUJWOLo= Ga139JJLRTWOYFSKHk1,Km544OIA]YTJ^CPFGf1)Lh59;1BBDZ\_GQN[C@c:$Ce?<<4IOKWWZ@TEVLMh?#Fn531?LHN\ZUM_@QIFe0.Mk3b3@DBX^QISL]Lqq5a3@DBX^QISL]Lqq56n2CEEY]PFRO\Kpr4:8:0ECG[S^antZcv89:8?6GAV39JK0=NGHI_h6G@ABV\ekb789:n7DANCU]bja6789;m7DANCU]bja6789;;i6G@ABV\ekb789:9i6G@ABV\ekb789:8i6G@ABV\ekb789:?i6G@ABV\ekb789:>i6G@ABV\ekb789:=i6G@ABV\ekb789:n7DANCU]nq}6789?n7DANCU]nq}6789>0:KLF@TUWds<=>?1033?LIEM[XTaxv?0122646m7DAMESP\ip~789:9j6G@BDPQ[hs89:;?k5FOCGQVZkrp9:;<9h4IN@FVWYj}q:;<=;i;HMAAWTXe|r;<=>9f:KLF@TUWds<=>?7g9JKGCUZVg~t=>?09d8MJDBZ[Ufyu>?01;7?LIEE=1BCO]n;HMAWZTbimsi7DAMS^Pfea6j2CDN^Q]e`fz6g=NGKYT^hoky2`8MJDTW[ojht:9;HMAQCC33@EH_85FOBQ22>OHKZ;;:6G@CR322>OHKZ;996G@CR06?LID[:?0EBM\449JKFU2=2CDO^8:;HM@W23OHD9i0EBB?_lw{4567l2CD@=Qbuy23457c3@EGOHD9Ufyu>?0177?LIK9j1BCA?Pnnv3457c3@EG=R``t123543k4INPFUZkrp9:;<9k4INPFUZkrp9:;<8k4INPFUZkrp9:;<;k4INPFUZkrp9:;<:k4INPFUZkrp9:;<5k4INPFUZkrp9:;<484INPFUw3n7DA]W1]mkq6788?n7DA]W1]mkq67887DA]W0f8MJTP9Vkeh=>?0d9JKWQ6Whdo<=>?1d9JKWQ6Whdo<=>?2d9JKWQ6Whdo<=>?3d9JKWQ6Whdo<=>?4d9JKWQ6Whdo<=>?5d9JKWQ6Whdo<=>?669JKWcflp;;7DA]e`fz[Zgil9:;<?1038MJTbimsTSl`k01236c=NG[ojhtQPos2345773@EYiljv_^mq456798:0EB\jae{\[jt789:9==5FOSgb`|YXg{:;<==>0:KLV`gcqVUd~=>?0533?LIUmhnrSRa}0123146<>4INQ\BVKXNOn:!D`<119JKVYA[DUMJi?"Io6`?LITWOYFSKHk2g9JKVYA[DUMJi<"Io33?LITWOYFSKHk2,Km5472038MJUXNZGTJKj=-Hl27474INQ\BVKXNOn9!D`=119JKVYA[DUMJi<"Io124>OH[VLXARHId3/Jj1773@EXSK]B_GDg6(Oi=8:0EB]PFRO\BCb5%@d===5FOR]EWHYANm8&Ec9>0:KLWZ@TEVLMh?#Fn933?LITWOYFSKHk2,Km=f=NGZUM_@QIFe1e?LITWOYFSKHk3,Km55=NGZUM_@QIFe1.Mk7682CD_RH\M^DE`6+Nf;;;7DA\_GQN[C@c;$Ce?<>4INQ\BVKXNOn8!D`;119JKVYA[DUMJi="Io724>OH[VLXARHId2/Jj3773@EXSK]B_GDg7(Oi?8:0EB]PFRO\BCb4%@d3==5FOR]EWHYANm9&Ec7;;HMV4f=NG\:Taxv?012g?LIR8Vg~t=>?00f8MJS7Wds<=>?2e9JKP6Xe|r;<=>OH]8Uecy>?00f8MJS6Wge<=>>149JKPBT<2CD[Hk4KDGS[MOBZHXHDT94LNEJGDJf3EZFSUA]2^3:?IRnelx7<3o4LUknaw:687k0@Ygbes>25;g2?;8HQojm{6:245CThofv94912F_e`k}<2<:?IRnelx78374LUknaw:2601GXdcjr=4==>JSadoy0:06;MVji`t;0730@Ygbes>::==K\`gn~YM>3:NWmhcu\JUha}Qjq123442?01020>JSadoyXNQlmq]fu5678:;?7AZfmdpWGZejxVoz<=>?4068HQojm{^HSnc_ds3456202F_e`k}_1:8HQojm{U:56B[ilgq[46>3E^bah|P10;8HQojm{U:>55CThofvZ4?3E^bah|P399OPlkbzV>37AZfmdp\1==K\`gn~R87;MVji`tX?11GXdcjr^:;?IRnelxT5?:4LUknawYJimnTSljk0123[H~hzVXnxb{<02=61=K\`gn~RCnde]\eab789:TAua}_Sgpqir;984986B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2>2?00?IRnelxTAljk_^cg`5678VGscQ]erwop979::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7>3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=1=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;<7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1;1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?2;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz38?00?IRnelxTAljk_^cg`5678VGscQ]erwop9?9:?1GXdcjr^Ob`aYXimn;<=>PRdqvhqY7Wge<=>?10f8HQojm{UFmijP_`fg4567WVkeh=>?00g8HQojm{UFmijP_`fg4567WVkeh=>?003g?IRnelxTAljk_^cg`5678VUjbi>?003f?IRnelxTAljk_^cg`5678VUjbi>?0032`>JSadoyS@okd^]b`a6789UTmcj?0102a>JSadoyS@okd^]b`a6789UTmcj?01025a=K\`gn~RCnde]\eab789:TSl`k01205`=K\`gn~RCnde]\eab789:TSl`k012054bP_`lg45609l1GXdcjr^Ob`aYXimn;<=>P_`lg456098n0@Ygbes]NeabXWhno<=>?_^cm`56708o0@Ygbes]NeabXWhno<=>?_^cm`56708;o7AZfmdp\IdbcWVkoh=>?0^]bja6780;n7AZfmdp\IdbcWVkoh=>?0^]bja6780;:h6B[ilgq[HgclVUjhi>?01]\ekb788::i6B[ilgq[HgclVUjhi>?01]\ekb788::=?5CThofvZYflm:;<=2?>018HQojm{UTmij?012?5586;2F_e`k}_^cg`56785;:2<=4LUknawYXimn;<=>313<26>JSadoySRokd12349799;1GXdcjr^]b`a6789692<<4LUknawYXimn;<=>33?31?IRnelxTSljk01238186:2F_e`k}_^cg`56785?5=?5CThofvZYflm:;<=29>008HQojm{UTmij?012?3;753E^bah|P_`fg4567414:>6B[ilgq[Zgcl9:;<1715:Nlea7b3Eejh>2:L23>HB\^EYG<5@4:MAQC35@R318KW543FX?86Aacda8KkebWVey<=>?d:Mmg`YXg{:;<=?k;Nl`aZYhz9:;VTWOYFSKHk1,Kmb>VTWOYFSKHk1,Km5c=W[VLXARHId0/Jj7d<>4PR]EWHYANm8&Ec? Ga2g9SWZ@TEVLMh?#Fn2d8TVYA[DUMJi<"Io6e?UUXNZGTJKj=-Hl6b>VTWOYFSKHk2,Km2c=W[VLXARHId3/Jj2`a3YYTJ^CPFGf1)Lh>j2ZXSK]B_Nww4f=W[VLXARAzt13`?UUXNZGTCxz?2e9SWZejxVoz<=>?1:S6?WG;87<0^L2>0?:8VD:693:5:6\N<03=1>TF484>7_O32?78VD:46<1YM1:15:PB80823[K7:3;4R@>4:0=UI52596\N<8<0?WGJ=2XJAN]9;SCNGV713[KFO^<9;SCNGV523[KFICj4R@OFJZkrp9:;>3g9QEHCIWds<=>?15d8VDKBFVg~t=>?007e?WGJMGUfyu>?0135b>TFELDTaxv?01223c=UIDOES`{w01235=`i6\NMDL\ip~789:=i6\NMDL\ip~789:4R@OQadb~Wds<=>?109QEHTbimsTaxv?012257=UIDXnmiwPmtz345668880^LC]e`fz[hs89:;=?00025>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;:?WGJW[ojhto4R@O\V`gcq8k0^LCPRdcg}73>4g9QEVCKWds<=>?14d8VDUBDVg~t=>?004e?WGTMEUfyu>?0134b>TF[LFTaxv?012212XJS=Q@BTD`?WGX8Vg~t=>?0e9QEZ6Xe|r;<=>>d:PB[5Yj}q:;<=j4R@]3[hs89:;8l5]A^33[JDRNh1YMR?>_N@VB<=UIV;TCO[Ic:PB[4Yj}q:;<=j4R@]2[hs89:;=i5]A^3\ip~789:9h6\N_0]nq}67899o7_OP1^ov|5678=30^LQ=_N@VBf=UIV8Taxv?012g?WGX:Vg~t=>?00f8VDY5Wds<=>?2e9QEZ4Xe|r;<=>Qbuy23457c3[KT?Rczx12347bTFW=UDNXHl;SC\0Zkrp9:;TFW?01f8VDY2Wds<=>?1e9QEZ3Xe|r;<=>=d:PB[0Yj}q:;<==k;SC\1Zkrp9:;<974R@]5[JDRNj1YMR8Pmtz3456c3[KT:Rczx12344bTFW?Ufyu>?016:?WGX?VEIYKm4R@]4[hs89:;h6\N_6]nq}6789;o7_OP7^ov|5678;n0^LQ8_lw{4567;m1YMR9Pmtz3456312XJS5Q@BTD`?WGX0Vg~t=>?0e9QEZ>Xe|r;<=>>d:PB[=Yj}q:;<=j4R@];[hs89:;8i5]A^:\ip~789:>h6\N_9]nq}6789<27_OP9^MAQCeTFW0Ufyu>?013g?WGX1Vg~t=>?03f8VDY>Wds<=>?3e9QEZ?Xe|r;<=>;3:PFW1=UMZ;>7_K\rg78V@Uuzm1YI^|}_omw4566m2XN_|Pnnv34576=2XDAN]9;SMNGV713[EFO^<9;SMNGV513[EFO^:9;SMNGV313[EFO^89;SMNGV1e3[YTJ^CPFGf2a>TTWOYFSKHk1,Kmb>TTWOYFSKHk1,Km5c=U[VLXARHId0/Jj7`TTWOYFSKHk2,Kmb>TTWOYFSKHk2,Km546 Ga7g9QWZ@TEVLMh?#Fn9d8VVYA[DUMJi<"Io;a?WUXNZGTJKj @Q@ML2^T\VMEH:5WSU]UGF0^h494:?6V|t29`jq4?0068mjdt12dJHb{{LD2f?kGCg|~GI=Q@BTD24>hFLf@H>Pos2345763gKOcxzCE1]lv56788;:7cOKotvOA5Yhz9:;;oCGkprKM9Ud~=>?02;8jDBh}}FN=l5aAEmvpIC69l1eMIaztMG2[JDRN:1eM^94n@Q\BVDd3gKXSK]M_H@VB2=iIZUBCOj4n@Q\MJDXGK_MIl5aAR]JKGir|?1eM^aztd9mEVir|Vddx=>?1g9mEVir|Vddx=>?10d8jDUh}}Uecy>?000e?kGTg|~Tbbz?0130b>hF[fSca{012202=iIfCH64n@mvpJC6k2dJcxz@E^MAQC3?1008jGCUZVLXNRQ`r12347753gHN^_QISC]\kw6789927cLJRS]JKGc<>4nCP\MJDXe|r;<=><119mFWYNGKUfyu>?01624>hEZVCDNRczx12340773gHYSDAM_lw{4567>8:0bO\PIN@\ip~789:<86`LARf8jFGTWJf`SD@Y169mGDUXF930bNO\_N@VBf=iKHYTbbz?013g?kEF[Vddx=>?1048jARFKBk0bIZNCJ]EWG`hC\HI@SRa}0123b>hC\HI@SRa}01235c=iL]KHGRQ`r123470hCagEN?o5aDhlLAZIE]O=0bIaztNG;?kBh}}EN=55aDnwwK@4?3gNdyyAJ3b9m@jssGLUDNXH:;oKSH7gRGMUG48jLVK:{>0bAJ\8:lO@VYA[Kn0bAJ\_GQA[LDRN11e@I]PIN@f?kJC[VCDNRAMUGGa?kJC[VCDNb{{c:lO@VYffm:;<?000`?kJC[Vey<=>>3b9mHAUXg{:;<<:l;oNGWZiu89::9n5aLEQ\kw6788hK_LUjbi>?013g?kJPMVkeh=>?03f8jIQBWhdo<=>?3e9mHRCXign;<=>;3:lLA1=iGL;?7cAJ299mK@YHJ\L37cAyesnb}44;oMuawjfqVkeh=>?0332?kIqm{fjuRoad12346763gE}ibny^cm`5678=;:7cAyesnb}Zgil9:;<8?>;oMuawjfqVkeh=>?0758jWGJD^Oh7c\NMMUF[JDRN>1e^LCZWDa8jWGJ]^OTCO[I4:lQEV?hUMZUecy>?00f8jWCTWge<=>>169mV@Uh}}<0b_ABCR58jWIJKZ;i7c\@MBQ\KGSA>2dYC@YJ7:lQKHQB9k1e^BCXE^MAQC2?0e9mQRCXign;<=>>d:lVS@Yffm:;<=j4nTUF[dhc89:;895aVLC;?kPJIVCDNh5aVLC\MJDXGK_MIo5aVLC\MJDh}}n0b[CN_^cm`5678l1eZ@OP_`lg45679j1eZ@OP_np3456c3g\FMRQ`r12344bhQEHUTc>?016g?kPJIVUd~=>?04f8jSKFWVey<=>?6e9mRHGXWfx;<=>8d:lUIDYXg{:;<=6k;oTNEZYhz9:;<4m4nWOB[dhc89:;h6`YM@]bja6789;o7cXBA^cm`5678;n0b[CN_`lg4567;m1eZ@OPaof34563l2d]ALQnne23453c3g\FMRoad12343b7cX_A078jSVF:11eZ]OPFR@g?kPWIVLXNRAMUG:8jSVFW@EIh6`YP@]JKGYNJ\L87cYJ7:lTAZ@TJj1e[HQISC]JFP@43{kf46vl9423|i{GHy;9h<5O@y1;f?@=:3;p_5953879675=9:>im6;3706g:0yP<2<41<09>>4>35`3f3<6<=:n7{Z<4c83>4<62::8w^68:2;6>744289?n=l9:0674`=#;>n1=o64$307>1333k93n7>54c862?g0sA95;29?j1d2900c>j?:188m6g02900c9:l:188m1462900c9?k:188k2c=831d8<=50;9j7ge=831d8=h50;9l7f?=831d?oh50;9j=a<722cnm7>5;h1g6?6=3f9j57>5;n1a6?6=3`9n97>5;n625?6=3`>?i7>5;n617?6=3f>;=7>5;h:3>5<k1<75`38f94?=h;h91<75f3d;94?=n;ko1<75`40c94?=h;m=1<75`3d`94?=h<:81<75`3e`94?=h;l:1<75f44394?=h;k<1<75`25094?"5:?098<5a23794>=h:=:1<7*=278104=i:;?1=65`25`94?"5:?098<5a23796>=h:=k1<7*=278104=i:;?1?65`25;94?"5:?098<5a23790>=h:=21<7*=278104=i:;?1965`25594?"5:?098<5a23792>=h:=<1<7*=278104=i:;?1;65`25794?"5:?098<5a2379<>=h:=>1<7*=278104=i:;?1565`25194?"5:?098<5a2379e>=h::l1<7*=278104=i:;?1n65f4583>!45>3>87c<=5;28?l25290/>?85429m673=921b8<4?:%012?243g8997<4;h63>5<#:;<18>5a23797>=n;l0;6)<=6;60?k45=3>07d=k:18'670=<:1e>?;55:9j7f<72-89:7:<;o011?0<3`9i6=4+234906=i:;?1;65f3`83>!45>3>87c<=5;:8?l5>290/>?85429m673=121b?54?:%012?243g8997o4;h14>5<#:;<18>5a2379f>=n;?0;6)<=6;60?k45=3i07d=::18'670=<:1e>?;5d:9j0f<72-89:7:<;o011?c<3`>i6=4+234906=i:;?1j65f4`83>!45>3>87c<=5;33?>o313:1(?<9:518j74228;07d:7:18'670=<:1e>?;51398m11=83.9>;4;3:l160<6;21b8;4?:%012?243g8997?;;:k71?6=,;8=69=4n306>43<3`9m6=4+234906=i:;?1=;54i26e>5<#:;<1?9k4n306>5=o6=4+234971c6<54i26`>5<#:;<1?9k4n306>7=:183!52:3l;7E=7e:J03g=hmo0;66sm38294?7=83:p(>;=:25`?M5?m2B8;o5`23094?=zj:3<6=4>a;07>6>|@:=i7)=:2;:;?_1?28q96p*>b68014=n0:0;66a>5983>>i4=90;66g9c;29?l26=3:17b?;0;29?l2283:17b<;d;29?j4cj3:17bhn:188m40=83.9>;4>5:l160<732c:87>5$305>436<54i0194?"5:?0:96`=2481?>o693:1(?<9:078j7422:10ek4?:%012?723g8997:4;hg94?"5:?0:96`=2486?>oc290/>?85149m673=>21bo7>5$305>436:54ic83>!45>3;>7c<=5;:8?lg=83.9>;4>5:l160<>32c26=4+234950=i:;?1m65f8;29 74128?0b?<::c98m2<72-89:7?:;o011?e<3`<1<7*=27821>h5:<0o76g>e;29 74128?0b?<::d98m4b=83.9>;4>5:l1605}#;<81?:m4H2:f?M50j2e9>?4?::a053=83?1<7>t$271>`b<@:2n7E=8b:&eb?6>o013:17d6l:188k75b2900qo?:9;296?6=8r.89?4>109K7=c<@:=i7dh>:188k7762900qo?:a;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a050=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::abg<7200;6=u+34096c=O;1o0D>9m;hd1>5<>oa=3:17dh9:188mc1=831bj54?::ke=?6=3f8:=7>5;|`eg?6=13:1N40l1C?:l4ig094?=nn:0;66gi4;29?l`22900ek850;9jb2<722cm47>5;hd:>5<5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd56j;I14f>oa93:17b<>1;29?xd56j;I14f>oa93:17b<>1;29?xd5=90;694?:1y'704=:k1C?5k4H25a?l`52900ek=50;9jb1<722e9=<4?::a05?=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<;m7>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<;n7>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<;o7>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<;h7>53;294~"4=;09m6F<8d9K72d>i5980;66sm41g94?2=83:p(>;=:3`8L6>b3A95<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn?jk:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn?jj:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn?ji:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn?k?:180>5<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a6`7=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<7>54;294~"4=;09n6F<8d9K72d>oa<3:17b<>1;29?xd6<80;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn<:::186>5<7s-9>>7N4?k1bj?4?::ke7?6=3`l?6=44ig794?=h:8;1<75rb065>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6<>0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qo?;8;296?6=8r.89?4>109K7=c<@:=i7dh>:188k7762900qo?;9;297?6=8r.89?4=159K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th:8o4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb06`>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6<;0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qo?;3;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a512=8391<7>t$271>7733A93i6F<7c9jb7<722cm?7>5;n025?6=3ty=6=4={_489cd=n=1v:4?:3y]3>;aj3l>7p}7:181[><5oh1j;5rs883>6}Y127mn7h8;<373?`53tyj6=4<{_c89cd=n116=995f29~wg<72;qUn63ib;d:?xud2908wSm4=ga9b7=:9=?1j?5rse83>6}Yl27mo7h<;<371?`43tyn6=4<{_g89ce=n=16=9;5f59~wc<72:qUj63ic;d6?873=3l>7p}>1;291~X6927mo7h9;<63e?`6348oh7h>;<377?`53ty:?7>56z\27>;ak3l<70:?b;d2?84cm3l:70?;1;d1?873>3l97p}>4;293~X6<27mo7h7;<07a?`634>;57h<;<0f4?`534;?=7h<;<376?`53ty::7>56z\22>;ak3l270<;f;d2?827k3l:70d;296~X6l27mn7h=;|q2a?6=:rT:i63ib;d0?xu1k3:1>vP9c:?74<4?:3y]<6=:<9?1;45rsgc94?4|Vok01kj52038yv`e2909w0hm:332?8`c2o80q~hl:1818`d2;;:70hk:g18yv7383:1>vP>419>512=:8;0q~?;1;296~;6<809=<5215;9b6=z{8>96=4={<376?46927:8>4i3:p515=838p1<:<:332?873<3l87p}>4483>7}:9=?1>c452z?203<59816=9l5f39~w4202909w0?;7;025>;66s|15:94?4|58>36??>;<37e?`43ty:844?:3y>51?=:8;01<:;:g08yv73i3:1>v3>4`8154=:9=h1j>5rs06a>5<5s4;?n7<>1:?20f5883>7}:9<31>c552z?0=5<5:;168=;58b9~w72c290?wS<;d:?21d510=n:1v?:j:181843m38:=63=518e6>{t:=l1<7776348><7h;;|q1`g<72;qU>il4=3g1>7763ty9hn4?:3y>6ae=:8;01?k?:g18yv4cl3:1>v3=de8154=::l81j?5rs3ff>5<5s48oi7<>1:?1a4ih50;0x97ba2;;:706`4=n=1v9>::180827=388i63=518e7>;5lj0m=6s|41594?4|5=:>65:4=525>7763ty?<54?:3y>053=0;168=k52038yv2713:1>v3;088154=:<9o1j>5rs52b>5<5s4>;m7<>1:?74a05c=n;1v9?::181[26=27?<;4i1:p006=839pR9;?;<36=?`634;?47h>;|a005=83986n65e6yK72d<,:?96?:l;[5;>7}62:0v(42900c9m6:188m3e=831b?9;50;9l62d=831d8=?50;9j7`>=831b?>650;9j006=831b8?>50;9j61b=831d8;4=409m673=821d>9>50;&163<5<81e>?;51:9l61d=83.9>;4=409m673=:21d>9o50;&163<5<81e>?;53:9l61?=83.9>;4=409m673=<21d>9650;&163<5<81e>?;55:9l611=83.9>;4=409m673=>21d>9850;&163<5<81e>?;57:9l613=83.9>;4=409m673=021d>9:50;&163<5<81e>?;59:9l615=83.9>;4=409m673=i21d>>h50;&163<5<81e>?;5b:9j56<72-89:7?=;o011?6<3`;:6=4+234957=i:;?1=65ff;29 7412880b?<::398m`<72-89:7?=;o011?5<3`n1<7*=27826>h5:<0?76gl:18'670=9;1e>?;55:9jf?6=,;8=6<<4n306>3=847;:k;>5<#:;<1=?5a2379=>=n?3:1(?<9:008j7422h10e;4?:%012?753g8997l4;h3f>5<#:;<1=?5a2379g>=n9m0;6)<=6;31?k45=3n07d?l:18'670=9;1e>?;5e:9j5g<72-89:7?=;o011?`<3`;j6=4+234957=i:;?1==54i0;94?"5:?0:>6`=24825>=n910;6)<=6;31?k45=3;976g>7;29 7412880b?<::018?l77290/>?85139m673=9=10n>6i:182>5<7s-9>>7h?;I1;a>N4?k1dik4?::a7<6=83;1<7>t$271>61d3A93i6F<7c9l674=831vn>?7:186>5<7s-9>>7kk;I1;a>N4?k1b4?4?::k;0?6=3`=26=44i9a94?=h::o1<75rb267>5<4290;w)=:2;g`?M5?m2B8;o5f7883>>o?k3:17b<0;6>4?:1y'704=mj1C?5k4H25a?l1>2900e5m50;9l66c=831vn>:6:180>5<7s-9>>7kl;I1;a>N4?k1b;44?::k;g?6=3f88i7>5;|`07<<72:0;6=u+3409af=O;1o0D>9m;h5:>5<6j;I14f>o013:17d6l:188k75b2900qo<:1;297?6=8r.89?4jc:J0<`=O;>h0(kh50:k4=?6=3`2h6=44o31f>5<53;294~"4=;0no6F<8d9K72d<,ol1<6g89;29?l>d2900c?=j:188yg40m3:1?7>50z&0175;h:`>5<N40l1C?:l4i6;94?=n0j0;66a=3d83>>{e:l91<7=50;2x 6352li0D>6j;I14f>"an3:0e:750;9j=8391<7>t$271>`e<@:2n7E=8b:&eb?631<75f8b83>>i5;l0;66sm2g794?5=83:p(>;=:da8L6>b3A9:m50;094?6|,:?96;I1;a>N4?k1bj<4?::m154<722wi>:j50;194?6|,:?96??;;I1;a>N4?k1bj?4?::ke7?6=3f8:=7>5;|`76g<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`0bg<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`75g<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`07g<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`07f<72:0;6=u+34096d=O;1o0D>9m;hd1>5<<6=4=:183!52:3;:=6F<8d9K72d36=4<:183!52:38j7E=7e:J03g=nn;0;66gi3;29?j4693:17pl7<729q/?8<51038L6>b3A97<729q/?8<51038L6>b3A96<729q/?8<52068L6>b3A9:188yg27:3:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg27;3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn95<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn95<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn95<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn9=?:186>5<7s-9>>7N4?k1bj?4?::ke7?6=3`l?6=44ig794?=h:8;1<75rb2dg>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb2df>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb2de>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb523>5<2290;w)=:2;0`?M5?m2B8;o5ff383>>oa;3:17dh;:188mc3=831d>5;hd7>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e:021<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e:031<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo<6a;297?6=8r.89?4=159K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th9m=4?:383>5}#;<81=5;n025?6=3th9m<4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb3c1>5<4290;w)=:2;020>N40l1C?:l4ig094?=nn:0;66a=1083>>{e:h?1<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo109K7=c<@:=i7dh>:188k7762900qoh0ek<50;9jb6<722e9=<4?::a6d>=8391<7>t$271>7733A93i6F<7c9jb7<722cm?7>5;n025?6=3th9mo4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb3c`>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb3cg>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd5il0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qoh0ek<50;9jb6<722e9=<4?::a6g4=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a6g5=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<53;294~"4=;09=95G39g8L61e3`l96=44ig194?=h:8;1<75rb3`4>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd5j10;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd5j00;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn?ln:180>5<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a6gb=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<53;294~"4=;09m6F<8d9K72d>i5980;66sm2b294?5=83:p(>;=:337?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd5k:0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn?m;:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn?m::180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`1g3<72:0;6=u+3409642<@:2n7E=8b:ke6?6=3`l86=44o332>5<53;294~"4=;09m6F<8d9K72d>i5980;66sm2e094?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm2e194?5=83:p(>;=:3c8L6>b3A9:188yg4c<3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn?h8:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>>=:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>>9:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>>8:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`04=<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`04<<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`04d<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`04g<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`04f<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`1b=<72:0;6=u+34096d=O;1o0D>9m;hd1>5<6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>5;hd7>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e:on1<7=50;2x 6352;;?7E=7e:J03g=nn;0;66gi3;29?j4693:17pl=fd83>6<729q/?8<52068L6>b3A9:188yg4an3:1?7>50z&017<5i2B84h5G36`8mc4=831bj>4?::m154<722wi?=>50;194?6|,:?96??;;I1;a>N4?k1bj?4?::ke7?6=3f8:=7>5;|`044<72:0;6=u+34096d=O;1o0D>9m;hd1>5<>i5980;66sm31694?5=83:p(>;=:3c8L6>b3A9:188yg57=3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn?kn:180>5<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a6`b=83>1<7>t$271>7d<@:2n7E=8b:ke6?6=3`l86=44ig694?=h:8;1<75rb3gf>5<4290;w)=:2;020>N40l1C?:l4ig094?=nn:0;66a=1083>>{e:ll1<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e:o:1<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e:o;1<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e:o81<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qoh0ek<50;9jb6<722cm87>5;hd6>5<>i5980;66sm2d`94?5=83:p(>;=:3c8L6>b3A9:188yg4bk3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn?k::181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn?k9:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`1a2<72:0;6=u+3409642<@:2n7E=8b:ke6?6=3`l86=44o332>5<52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<54;294~"4=;09n6F<8d9K72d>oa<3:17b<>1;29?xd5kj0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qoh0ek<50;9jb6<722e9=<4?::a6fc=8391<7>t$271>7733A93i6F<7c9jb7<722cm?7>5;n025?6=3th8>k4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb213>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb212>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb211>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb210>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb217>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd4;<0;694?:1y'704=:k1C?5k4H25a?l`52900ek=50;9jb1<722e9=<4?::a760=83>1<7>t$271>7d<@:2n7E=8b:ke6?6=3`l86=44ig694?=h:8;1<75rb203>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb205>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd4:>0;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd4:10;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd4:00;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd4:h0;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd4:k0;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd4:j0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`064<72:0;6=u+34096d=O;1o0D>9m;hd1>5<>i5980;66sm33194?5=83:p(>;=:3c8L6>b3A9:188yg55<3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn><::187>5<7s-9>>7N4?k1bj?4?::ke7?6=3`l?6=44o332>5<53;294~"4=;084i5G39g8L61e3`l96=44ig194?=h:8;1<75rb23a>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb23`>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb23g>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd49l0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qo<9f;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a625=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a622=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a623=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a620=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a621=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a62>=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a62?=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a62g=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<56;294~"4=;09h6F<8d9K72d>oa<3:17dh::188mc0=831d>4?::m154<722wi>:<50;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<9m;hd1>5<7<729q/?8<51038L6>b3A97<729q/?8<51038L6>b3A97<729q/?8<51038L6>b3A97<729q/?8<51038L6>b3A97<729q/?8<51038L6>b3A96<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>629096=4?{%166?7692B84h5G36`8mc7=831d>5290?6=4?{%166?4e3A93i6F<7c9jb7<722cm?7>5;hd7>5<9m;hd1>5<6<729q/?8<52068L6>b3A9:188yg4?>3:1?7>50z&017<5i2B84h5G36`8mc4=831bj>4?::m154<722wi>5950;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e:131<7=50;2x 6352;;?7E=7e:J03g=nn;0;66gi3;29?j4693:17pl=8`83>6<729q/?8<52068L6>b3A9:188yg4?k3:1?7>50z&017<5i2B84h5G36`8mc4=831bj>4?::m154<722wi>5j50;194?6|,:?96??;;I1;a>N4?k1bj?4?::ke7?6=3f8:=7>5;|`116<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`11`<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`121<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`120<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`123<72:0;6=u+34096d=O;1o0D>9m;hd1>5<6=4=:183!52:3;:=6F<8d9K72d6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>29086=4?{%166?4f3A93i6F<7c9jb7<722cm?7>5;n025?6=3th99l4?:483>5}#;<81>n5G39g8L61e3`l96=44ig194?=nn=0;66gi5;29?j4693:17pl=5c83>6<729q/?8<52068L6>b3A9:188yg42k3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn?;k:180>5<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a60`=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<54;294~"4=;09n6F<8d9K72d>oa<3:17b<>1;29?xd5>80;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qo<92;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a635=8391<7>t$271>7733A93i6F<7c9jb7<722cm?7>5;n025?6=3ty=6=4={_4897?>2o80q~950;0xZ2=::h;1j?5rs983>7}Y0279m:4i2:p=?6=:rT270c46g?=n;1vn4?:3y]g>;5jo0m>6s|d;296~Xc348h97h=;|qf>5<5sWo01?mk:g08yv772909wS??;<0:3?`43ty:=7>52z\25>;5l80m?6s|1683>7}Y9>16>4h5f29~w4>=838pR<64=3c6>c5a;296~X6i279n<4i3:p5g<72;qU=o522c59b6=z{8i1<7{t>j0;6iuQ6b9>2ck4i2:?065;4i3:?1=575?=n816??95f09>6=`=n81v5=50;gxZ=5<5:;36:74=267>2?<5:9<6:74=26:>2?<5:926:74=7d93<=::<;1;45227`93<=::>o1;4522d193<=::l21;4522g793<=:;jk1j<5rs32g>5<5s493j7ki;<1233ty9?k4?:3y]66`<5;3j6??>;|q105<72;qU=>5Q2528yv43:3:1?vPi;_076>;5l:0m>6s|25194?4|V;>870{t:=>1<7>70{t:=<1<7<70{t:=21<7270{t:=k1<7i70{t:=n1<7kt^36g?840k3l:70<9d;d0?857?3l970=?8;d2?857i3l:7093l:7p}=5083><}::<;1>>k4=513>c4<5=:;6k<4=34g>c2<5::<6k=4=3gf>c4<5:9;6k?4=3:a>c5<5;<96k<4}r066?6=:r799<47c:?126<5981v?;<:181842;38:=63=568e7>{t:<>1<7776348>m7h:;|q110<72;q6>8;5203897072o80q~<:6;296~;5=?09=<522729b1=z{;?<6=4={<063?4692799o4i3:p60>=838p1?;7:332?842k3l87p}=5883>7}::<31>c4m7>52z?11d<59816>8j5f29~w73e2909w0<:b;025>;5>80m>6s|24a94?4|5;?h6??>;<06b?`53ty99i4?:3y>60b=:8;01?8?:g18yv42m3:1>v3=5d8154=::<21j?5rs37e>5<5s48>j7<>1:?124;>50;0x97072;;:70<93;d0?xu5>80;6?u22739647<5;<96k=4}r056?6=:r79:?4=109>635=n;1v?8;:181841<38:=63=598e7>{t:??1<7776348>j7h<;|q123<72;q6>;852038973>2o80q~<97;296~;5>>09=<5224;9b6=z{;<36=4={<057}::?k1>c252z?12g<5;l16>:j5f39~w70d2909w0<9d;025>;5?<0m=6s|27g94?4|5;7763ty9:k4?:3y>63`=:8;01?9n:g08yv4083:1>v3=718154=::>;1j>5rs352>5<5s48<=7<>1:?137:=50;0x97142;;:70<8a;d0?xu5?=0;6?u22669647<5;=;6k=4}r041?6=:r79;84=109>626=n;1v?99:181840>38:=63=718e0>{t:>=1<7776348<<7h:;|q13=<72;q6>:65203897172o<0q~<89;296~;5?009=<522609b6=z{;=j6=4={<04e?469279;<4i2:p62d=838pR?9m;<04`?4692wx>:m50;0x971d2;;:70<8d;d0?xu5?l0;6<8t=35f>75b349hi7h>;<0:3?`5348247h>;<0:b?`5348j<7h>;<0b1?`5348j:7h>;<0bf?`5348jo7h>;<0a5?`5348i>7h>;<0a3?`5348i47h>;<0a`?`5348ii7h>;<0`7?`5348h87h>;<0g5?`5348o>7h>;<0`e?`6348hn7h;;|q13c<72;q6>:k58b9>6=b=:8;0q~<70;296~;50909=<5229c9b7=z{;2:6=4={<0;5?469279494i3:p6=4=838p1?6=:332?84?=3l87p}=8283>7}::191>c552z?1<1<59816>595f29~w7>22909w0<75;025>;5010m?6s|29494?4|5;2=6??>;<0;=?`43ty94:4?:3y>6=1=:8;01?6k:g18yv4?03:1>v3=898154=::131j?5rs3::>5<5s48357<>1:?15o50;0x97>f2;;:70<7c;d1?xu50k0;6?u229`9647<5;2>6k<4}r0;g?6=:r794n4=109>6=b=n;1v?6j:18184?m38:=63=838e6>{t:1l1<77763483>7h;;|q1=5<72;q6>4>5203897>42o80q~<61;296~;51809=<522919b6=z{;396=4={<0:6?4692794n4i3:p6<5=838p1?7<:332?84??3l97p}=9583>7}::0>1>c452z?1=2<59816>4o5f39~w7?02909w0<68;025>;5100m?6s|28:94?4|5;326??>;<0:e?`43ty95h4?:3y>6<`=:8;01?o=:g08yv4>n3:1>v3=a18154=::h;1j>5rs3c3>5<5s48j=7<>1:?1e7l:50;0x97g22;;:706d>=n:1v?on:18184fj38:=63=ad8e6>{t:hh1<7776348jh7h<;|q1ef<72;q6>lj5203897gb2o90q~4i3:p6g4=838p1?l<:332?84e<3l87p}=b783>7}::k=1>c452z?1f=<59816>o75f29~w7d?2909w0;5jh0m?6s|2ca94?4|5;ho6??>;<0`4?`53ty9ni4?:3y>6gc=:8;01?li:g18yv4em3:1>v3=bg8154=::j:1j>5rs3a1>5<5s48h?7<>1:?1g3n=50;0x97e32;;:706fe=n;1v?m6:18184di38:=63=cb8e7>{t:jk1<7776348hi7h<;|q1gg<72;q6>nm5203897ec2o90q~7}::m91>c552z\1`g=:<8h1j<5rs3g0>5<6:r79i>4=3d9>6c1=n816?=l5f09>6cg=n;16>ho5f39>6`b=n:16>hh5f09>6`0=n;16?>=5f09>77?=n816?63`=n;16>:95f09>6<4=n816>;;5f09>630=n;16>;o5f09>601=n;1v?k;:18184b;32h70{t:l?1<7776348n:7h<;|q1a3<72;q6>h85203897c02o90q~>k4=225>c7<5;l36k<4=3gb>c5<5;oo6k:4=3d3>c7<5;o>6k?4=212>c7<5:8j6k?4=23a>c7<5;c7<5;2;6k<4=3;0>c5<5;?86k?4=345>c5<5;??6k?4=37`>c452z?1a=;5n;0m?6s|2d`94?4|5;oi6??>;<0fg?`43ty9ii4?:3y>6`b=:8;01?h;:g08yv4bm3:1>v3=ed8154=::o91j?5rs3ge>5<5s48nj7<>1:?1b6k>50;0x97`72;;:706`e=n;1v?h<:18184a;38:=63=f58e7>{t:o>1<7776348nn7h<;|q1b0<72mq6>k;522g8966d2o;01?h>:g3897`52o801?k8:g0896552o;01>72o901?6>:g3897322o;01?;m:g08yv4a>3:1>v3=f48;g>;48<09=<5rs3d4>5<5s48m;7<>1:?1b=k650;0x97`?2;;:70=?5;d1?xu5n00;6?u22g;9647<5;lo6k<4}r0ee?6=:r79jl4=109>6cb=n:1v?hm:18184aj38:=63=fd8e7>{t:oi1<7776349;<7h<;|q1ba<72;q6>kj5203896642o80q~>?:332?85793l97p}<0083>7}:;9;1>c57>52z?047<59816>k75f29~w6642909w0=?3;025>;48=0m>6s|31694?4|5::?6??>;<131?`43ty8<;4?:3y>750=:8;01?hn:g18yv57?3:1>v3<068154=::oo1j?5rs22;>5<5s49;47<>1:?1bg2;;:70757=n:1v>>l:181857k38:=63<058e7>{t;821<7=t=23;>75b3498n7h>;<173?`63ty8=44?:3y>74>=0;16?v3<1`8154=:;8n1j?5rs23a>5<5s49:n7<>1:?05ae;d0?xu49m0;6?u230f9647<5:;n6k<4}r12b?6=84=109>622=n816>8k5f09>63>=n81v>{t;;;1<7776349997h;;|q067<72;q6??<5203896442o80q~==3;296~;4::09=<523369b6=z{:8?6=4={<110?469278>84i3:p770=838p1><9:332?855l3l97p}<2683>7}:;;=1>c552z?06=<59816??=5f29~w64>2909w0==9;025>;4:<0m>6s|33c94?4|5:8j6??>;<115?`53ty8>o4?:3y>77d=:8;01><>:g18yv55k3:1>v3<2b8154=:;;>1j?5rs20g>5<5s499h7<>1:?067762=n:1v>=>:181854938:=63<348e7>{t;:81<7776349897h=;|q076<72;q6?>=5203896522o>0q~=<4;296~;4;=09=<523249b7=z{:9>6=4={<101?469278?;4i4:p761=83>p1>=8:31f?84aj3l870==6;d1?84?:3l87p}<3983>7}Y;:201>=6:9a8yv5413:18v3<198;g>;4;009?h5232a9b7=:;8i1j<5rs21b>5<5s498;76l;<10g?4692wx?>l50;0x965e2;;:70=c4<5;oo6k<4=3gf>c5<5:9?6k<4=20`>c4<5:896k<4=350>c7<5;386k<4=3:5>c4<5;<<6k?4}r171?6=:rT8885235;9=6=4={<170?>d349?47<>1:p711=838p1>:8:332?85303l87p}<4883>7}:;=31>>k4=26;>c455z\0e3=:<8o1j>524119b7=:<;n1j<523gf9b4=z{:i26=4={_1`=>;4k009?h5rs2aa>5<5s49h5796;<1`e?4692wx?nj50;0x96e>21i01>mj:332?xu4m10;6?uQ3d:896`a2o;0q~=ia;296~X4nh16?kl52038yv5ak3:1>v3;38909=<5rs2dg>5<5s49mh7<>1:?745;>7>52z?747<598168==5f29~w1742909wS:>3:?0=5<5:;1v9?n:181[26i27?=o4=109~w17c2909wS:>d:?75`<5981v9k4i1:p07g=838pR9h4=109>066=n=1v9{t<<:1<7;t^573?826m3l970:?2;d2?825m3l:70=ie;d2?x{e;0i1<7?<:75934}O;>h0(>;=:01:?!7e?39>=6g73;29?l7503:17d?:8;29?l76;3:17d=n6;29?l0d2900c<;m:188m6c?2900e<:?:188k40?2900e9;?:188m1472900c<:k:188k45f2900c>jm:188k4ge2900c9m;nge>5<55;294~"4=;0nh6F<8d9K72d>o013:17d6l:188k75b2900qo<>7;291?6=8r.89?4jd:J0<`=O;>h0(kh50:k;6?6=3`2?6=44i6;94?=n0j0;66a=3d83>>{e:>0;684?:1y'704=mm1C?5k4H25a?l>52900e5:50;9j3<<722c3o7>5;n00a?6=3th357>53;294~"4=;0no6F<8d9K72d31<75f8b83>>i5;l0;66sm21`94?5=83:p(>;=:da8L6>b3A9d2900c?=j:188yg70m3:197>50z&0175<55;294~"4=;0nh6F<8d9K72d>o013:17d6l:188k75b2900qo?;d;291?6=8r.89?4jd:J0<`=O;>h0e5<50;9j<1<722c<57>5;h:`>5<N40l1C?:l4i9094?=n0=0;66g89;29?l>d2900c?=j:188yg7e:3:197>50z&0175<87>55;294~"4=;0nh6F<8d9K72d>o013:17d6l:188k75b2900qo?86;291?6=8r.89?4jd:J0<`=O;>h0e5<50;9j<1<722c<57>5;h:`>5<5<4290;w)=:2;g`?M5?m2B8;o5f7883>>o?k3:17b<4?:1y'704=mj1C?5k4H25a?l1>2900e5m50;9l66c=831vn<<8:180>5<7s-9>>7kl;I1;a>N4?k1/jk4?;h5:>5<>k50;9~f74e29086=4?{%166?cd3A93i6F<7c9'bc<73`=26=44i9a94?=h::o1<75rb9`94?5=83:p(>;=:3c8L6>b3A9:188yg72>3:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg7e<3:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg5e13:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg5ei3:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg5ej3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn?750;194?6|,:?96??;;I1;a>N4?k1bj?4?::ke7?6=3f8:=7>5;|`2<5<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`2<4<72:0;6=u+34096d=O;1o0D>9m;hd1>5<6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th::l4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb04a>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb04`>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6>m0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qo?;f;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a506=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a507=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a504=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<?7>53;294~"4=;09=95G39g8L61e3`l96=44ig194?=h:8;1<75rb0cg>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6il0;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd6io0;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd6j90;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn:180>5<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a67b=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a664=8391<7>t$271>7733A93i6F<7c9jb7<722cm?7>5;n025?6=3th9?>4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb317>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb316>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb315>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd5;>0;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xd5;10;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn?=6:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`16`<72:0;6=u+34096d=O;1o0D>9m;hd1>5<>i5980;66sm22294?5=83:p(>;=:3c8L6>b3A9:188yg4493:187>50z&017<59<1C?5k4H25a?l`52900ek=50;9jb1<722e9=<4?::a67?=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<53;294~"4=;09=95G39g8L61e3`l96=44ig194?=h:8;1<75rb01a>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb01`>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb01g>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6;l0;694?:1y'704=:8?0D>6j;I14f>oa:3:17dh<:188mc2=831d>4?::m154<722wi=;>50;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<9m;hd2>5<9m;hd2>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e9?>1<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo?95;297?6=8r.89?4=159K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th:9h4?:283>5}#;<81><:4H2:f?M50j2cm>7>5;hd0>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e9>31<7=50;2x 6352;;?7E=7e:J03g=nn;0;66gi3;29?j4693:17pl>7`83>7<729q/?8<51038L6>b3A97c83>7<729q/?8<51038L6>b3A97b83>6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>5;hd7>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e9>:1<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e9>;1<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e9>81<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e9>91<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo?84;297?6=8r.89?4=159K7=c<@:=i7dh=:188mc5=831d>5;hd7>5<9m;hd2>5<9m;hd2>5<9m;hd2>5<9m;hd2>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e:8o1<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo<>f;297?6=8r.89?4=159K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th9><4?:283>5}#;<81><:4H2:f?M50j2cm>7>5;hd0>5<9m;hd2>5<9m;hd2>5<9m;hd2>5<9m;hd2>5<N40l1C?:l4ig094?=nn:0;66gi4;29?l`22900c??>:188yg7?03:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831v>k4}r04>5<68rT9;63=7;00a>;?j3l870?9a;d1?871j3l:70?;f;d1?87283l:70?nd;d1?87fm3l:70<<2;d0?874j3l:70?:f;d0?870i3l:70?84;d1?84613l:70?75;d2?xu503:1>v3=7;:1?84>2;;:7p}9c;29e~X1k279=:47c:?0fd2?<5;;<6:74=3593<=:000<563=0c84=>;6?l0<563>ac84=>;66984=>;6j;0<563>5584=>;6??0<563>f;5:?872j3=270?=7;5:?845?3=270<=b;5:?xu?13:1>v379;00a>;513l97p}7a;296~;?132h706m:332?xu69:0;6?uQ1018944021i0q~?=7;292~;6:>09?h5223f9b4=:::<1j>5223;9b6=:9;4j00m=63>818e5>{t9:k1<7;<30`?`43ty:?n4?:3y>56e=:8;01<=j:g68yv74l3:1>v3>3e8154=:9:o1j?5rs063>5;5;:0m>63>3b8e5>;6>90m>63>798e7>;6>o0m>63=218e6>{t9=n1<7o65m4=070>7763ty:8k4?:3y>51`=:8;01<;<:g08yv7283:1>v3>518154=:9<81j?5rs072>5<5s4;>=7<>1:?217m6k=4}r361?6=:r7:9947c:?213<5981v<;7:180[72027:?i4i2:?15an7<5e83>7}:9c5j7>52z?21c<59816=;;5f39~w4072909w0?90;025>;6>=0m>6s|17394?4|58<:6??>;<350?`43ty::?4?:3y>534=:8;01<89:g18yv71;3:1>v3>628154=:95<5s4;=87<>1:?220?0;6?u21749647<58?n6k=4}r3552z?22=;6>m0m>6s|17`94?4|58;<35g?`43ty::n4?:3y>53e=:8;01<8k:g18yv71m3:1>v3>698;6>;6?<09=<5rs04e>5<5s4;=j7<>1:?23650;0x94172;;:70?83;d0?xu6?80;6?u21639647<58=>6k<4}r346?6=:r7:;?4=109>523=n=1v<9<:181870;38:=63>758e7>{t9>>1<777634;<97h<;|q233<72;q6=:8522g8940f2o90q~?87;296~;6?109=<5216f9b6=z{8=36=4={<34=?46927:;n4i2:p52?=838p1<9n:332?870k3l87p}>7`83>7}:9>h1>c252z?23f<59816=:j5f39~w41b2909w0?8e;00a>;6;l0m?6s|16d94?4|58=n65m4=0:2>7763ty:4=4?:3y>5=6=:8;01<6>:g18yv7?:3:1?v3>7d8;6>;4j?03>63>898154=z{8286=4={<3;7?46927:4:4i3:p5=2=838p1<6;:332?87??3l?7p}>8483>7}:91?1>c352z?2<3<59816=565f29~w4>02909w0?77;025>;6010m>6s|1``94?4|V8ki70?nb;00a>{t9hi1<7=e<58h:6??>;|q2ea<72;q6=lj5203894d62o80q~?ne;296~;6il09=<521c29b7=z{8km6=4={<3bb?46927:n=4i3:p5g6=838p1b383>7}:9k81>>k4=0cg>c552z?2f7w0<8:9a8976e2;9n70?m2;:1?872<32970<6:g18yv47k3:1?v3=0c8;g>;6??03>63>7e8154=z{;:o6=4m{<1;b?ca349i:76;;<023?>3348<65:4=05f>=2<58ki65:4=06g>=2<58<365:4=0`1>=2<58??65:4=055>=256z?152<5;l16>>:5f09>537=n816=:l5f09>526=n816=595f39~w77?2909w0<>7;:1?845938:=6s|20;94?4|5;;26??>;<02`?`43ty9=l4?:3y>64g=:8;01??j:g08yv46j3:1>v3=1c8154=::8o1j>5rs33`>5<5s48:o7<>1:?164f;d1?xu59l0;6?u220g9647<5;;m6k=4}r02b?6=:r79=k4=109>676=n:1v?{t:;=1<77t=304>75b3488n7h>;<001?`63488:7h=;<01=?`534;=>7h>;<34=?`534;<>7h>;<02e?`63ty9>54?:3y>671=0j16>?o52038yv4513:1>v3=288154=::;k1j>5rs30a>5?m50;0x974e21i01?=>:332?xu5:m0;6?u223f9647<5;936k=4}r01a?6=:r79>h4=109>667=n=1v?{t:::1<77763488=7h=;|q177<72;q6>><52038974a2o80q~<<3;296~;5;:09=<5222;9b7=z{;9?6=4={<000?469279?44i3:p663=838p1?=::332?84483l87p}=3783>7}:::<1>c452z?172<59816>?k5f29~w75?2909w0<<8;025>;5;80m?6s|22;94?4|5;926??>;<01b?`43ty9?l4?:3y>66d=:8;01?=l:g18yv44l3:19v3>ac8;6>;663>f;:`?8>e2o801?=l:332?xu4i?0;6?uQ3`4896bc2o;0q~=m6;296~X4j?16?o8522g8yv5e03:1>v3;4jk09=<5rs2`:>5<5s49i57<>1:?0fg7}:;mi1>c452z?0`a<59816?ik5f29~w6c?2908wS=j8:?213;|q715<72=qU88>4=055>=e<5:nh6k=4=04`>c4"6j>089<5`45;94?=h;jl1<75f3`494?=h>j0;66gja;29?l57l3:17d=>2;29?l56?3:17dhn:188f6>a290:6=4?{%166?`73A93i6F<7c9lac<722wi?nh50;794?6|,:?96hj4H2:f?M50j2c3>7>5;h:7>5<31<75f8b83>>i5;l0;66sm31g94?3=83:p(>;=:df8L6>b3A932900e:750;9jt$271>`b<@:2n7E=8b:k;6?6=3`2?6=44i6;94?=n0j0;66a=3d83>>{e;>o1<7;50;2x 6352ln0D>6j;I14f>o?:3:17d6;:188m2?=831b4n4?::m17`<722wi?=4?:283>5}#;<81in5G39g8L61e3-lm6=5f7883>>o?k3:17b<7>50z&017<6981C?5k4H25a?l`62900c??>:188yg0b29086=4?{%166?46<2B84h5G36`8mc4=831bj>4?::m154<722wi?94?:383>5}#;<81=5;n025?6=3th8h84?:383>5}#;<81=5;n025?6=3th8h;4?:283>5}#;<81><:4H2:f?M50j2cm>7>5;hd0>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e;8?1<7<50;2x 63528;:7E=7e:J03g=nn80;66a=1083>>{e;8<1<7=50;2x 6352;;?7E=7e:J03g=nn;0;66gi3;29?j4693:17pl<1183>7<729q/?8<51038L6>b3A96<729q/?8<52068L6>b3A9:188yg5629096=4?{%166?7692B84h5G36`8mc7=831d>4?::m154<722wi?5<50;194?6|,:?96??;;I1;a>N4?k1bj?4?::ke7?6=3f8:=7>5;|`0<6<72:0;6=u+3409642<@:2n7E=8b:ke6?6=3`l86=44o332>5<52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<53;294~"4=;09m6F<8d9K72d>i5980;66sm39494?5=83:p(>;=:337?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xu483:1>v3<0;00a>;1m3l97p}<2;296~;483=270=>:332?xu4;3:1>v3<0;:`?8532;;:7p}9c;29=~X1k278=>47c:?04`1:?0`02a<59816:h4i3:pad<72?qUil523bd93<=:;9o1;45230193<=:;>o1;452308e5>{tnh0;6>uQf`9>7a0=n;16?5>5f29~w76c290>w0=7f;ge?85dn32?70=?e;:7?856;32?70=8e;:7?xu48m0;6>uQ31f896762o801>6<:g08yv57m3:1>v3<0d817`=:;191j>5rs22e>5<5s49;i76=;<125?4692wx?<>50;0x96772;;:70=>1;d0?xu49;0;6>uQ300896712o801>6=:g08yv56;3:1>v3<12817`=:;181j>5rs237>5<5s49:?76=;<122?4692wx?<;50;0x96722;;:70=>6;d0?xu4?l0;6?u236g966c<5=>j6k?4}r14b?6=:r78;h47c:?0<5<5981v>6>:180850m32970=lf;:1?85?>38:=6s|39094?4|5:296??>;<1;1?`53ty84>4?:3y>7=5=:8;01>6::g18yv5?<3:1>v3<858154=:;1<1j>5rs2:6>5<5s49397<>1:?0<35<5sW9hj63d349o:7<>1:p7a3=838p1>j::332?85c>3l87p};4883>7}Y<=3019:m:332?xu3i6k=4}|`0=<<728?1n54l4zJ03g=#;<81=>64$0`4>6363f;947>5;h67=?6=3f9jo7>5;h1`b?6=3`;i:7>5;n327?6=3`3o6=44i536>5<>o6=>0;66g94;29?j2583:17d?m5;29?l5e=3:17b=k7;29?j5b83:17b?i:188k1562900n>6i:182>5<7s-9>>7h?;I1;a>N4?k1dik4?::a067=83?1<7>t$271>`b<@:2n7E=8b:k;6?6=3`2?6=44i6;94?=n0j0;66a=3d83>>{ei?0;6>4?:1y'704=mj1C?5k4H25a?l1>2900e5m50;9l66c=831vnoo50;194?6|,:?96hm4H2:f?M50j2c<57>5;h:`>5<5<4290;w)=:2;g`?M5?m2B8;o5f7883>>o?k3:17b<50z&0175}#;<81in5G39g8L61e3`=26=44i9a94?=h::o1<75rb00;>5<4290;w)=:2;g`?M5?m2B8;o5f7883>>o?k3:17b<50z&0175;h:`>5<5<4290;w)=:2;g`?M5?m2B8;o5f7883>>o?k3:17b<4?:1y'704=mj1C?5k4H25a?l1>2900e5m50;9l66c=831vn:;50;194?6|,:?96hm4H2:f?M50j2c<57>5;h:`>5<N40l1C?:l4i6;94?=n0j0;66a=3d83>>{e0l0;6>4?:1y'704=mj1C?5k4H25a?l1>2900e5m50;9l66c=831vn95<7s-9>>7kl;I1;a>N4?k1b;44?::k;g?6=3f88i7>5;|`0a=<72:0;6=u+3409af=O;1o0D>9m;h5:>5<6<729q/?8<5eb9K7=c<@:=i7d96:188m=e=831d>>k50;9~f`4=8391<7>t$271>`e<@:2n7E=8b:k4=?6=3`2h6=44o31f>5<53;294~"4=;0no6F<8d9K72d31<75f8b83>>i5;l0;66sm7383>6<729q/?8<5eb9K7=c<@:=i7d96:188m=e=831d>>k50;9~f6>029086=4?{%166?cd3A93i6F<7c9j3<<722c3o7>5;n00a?6=3th3h7>53;294~"4=;0no6F<8d9K72d31<75f8b83>>i5;l0;66sm17594?5=83:p(>;=:da8L6>b3A95}#;<81in5G39g8L61e3-lm6=5f7883>>o?k3:17b<;3:1?7>50z&0175;h:`>5<N40l1C?:l4$gd94>o013:17d6l:188k75b2900qo?7f;297?6=8r.89?4jc:J0<`=O;>h0(kh50:k4=?6=3`2h6=44o31f>5<53;294~"4=;0no6F<8d9K72d<,ol1<6g89;29?l>d2900c?=j:188yge629086<4<{I14f>"4=;0:n45f9083>>o>:3:17b=;a;29?g5><3:1?7>50z&017<40m1C?5k4H25a?l`52900ek=50;9l647=831v4?50;0xZ<7<5:3?6k=4}r;1>5<5sW3970=64;d1?xu46<62:qC?:l4$271>4d>3`3:6=44i8094?=h;=k1<75m38694?5=83:p(>;=:2:g?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xu>93:1>vP61:?0=11j?5rs26b>5<5sW9?m63<958154=zukhm6=4<:080M50j2.89?4>b89j=4<722c2>7>5;n17e?6=3k9287>53;294~"4=;084i5G39g8L61e3`l96=44ig194?=h:8;1<75rs8394?4|V0;01>7;:g18yv?52909wS7=;<1:0?`53ty88l4?:3y]71g<5:3?6??>;|af`<72:0:6>uG36`8 63528h27d7>:188m<4=831d?9o50;9a7<2=8391<7>t$271>6>c3A93i6F<7c9jb7<722cm?7>5;n025?6=3ty2=7>52z\:5>;41=0m?6s|9383>7}Y1;16?4:5f39~w62f2909wS=;a:?0=1<5981vqoh0ek<50;9jb6<722e9=<4?::a34<72:0;6=u+34096d=O;1o0D>9m;hd1>5<6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>t$271>4763A93i6F<7c9jb4<722e9=<4?::a7=g=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a32<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`1`d<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`0b4<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`76<<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`773<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`ag?6=:3:19m;hd2>5<5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xde03:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188ygd>29086=4?{%166?4f3A93i6F<7c9jb7<722cm?7>5;n025?6=3thi87>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<6=4<:183!52:38j7E=7e:J03g=nn;0;66gi3;29?j4693:17plm0;296?6=8r.89?4>109K7=c<@:=i7dh>:188k7762900qol>:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|``7?6=;3:1N40l1C?:l4ig094?=nn:0;66a=1083>>{ek<0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vnn950;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb6694?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm3d394?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm3d094?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm3d194?5=83:p(>;=:337?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd4lh0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`2e6<72:0;6=u+34096d=O;1o0D>9m;hd1>5<6=4=:183!52:3;:=6F<8d9K72da983>6<729q/?8<52068L6>b3A9:188yg7f13:187>50z&017<5j2B84h5G36`8mc4=831bj>4?::ke0?6=3f8:=7>5;|`2ed<72:0;6=u+3409642<@:2n7E=8b:ke6?6=3`l86=44o332>5<53;294~"4=;09m6F<8d9K72d>i5980;66sm19a94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm19f94?5=83:p(>;=:3c8L6>b3A9:188yg7?m3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vnil50;094?6|,:?96;I1;a>N4?k1bj<4?::m154<722wihn4?:383>5}#;<81=5;n025?6=3thoh7>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<>{em90;6:4?:1y'704=:l1C?5k4H25a?l`52900ek=50;9jb1<722cm97>5;hd5>5<96=4::183!52:38h7E=7e:J03g=nn;0;66gi3;29?l`32900ek;50;9l647=831vn9:<:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`701<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`700<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`703<72:0;6=u+34096d=O;1o0D>9m;hd1>5<<6=4<:183!52:38j7E=7e:J03g=nn;0;66gi3;29?j4693:17pl;4983>6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>29096=4?{%166?7692B84h5G36`8mc7=831d>4?::m154<722wi=4<50;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<N40l1C?:l4ig094?=nn:0;66a=1083>>{e90>1<7:50;2x 6352;h0D>6j;I14f>oa:3:17dh<:188mc2=831d>4?::ke0?6=3f8:=7>5;|`2=3<72:0;6=u+34096d=O;1o0D>9m;hd1>5<>i5980;66sm2283>6<729q/?8<52068L6>b3A9:188yg4329096=4?{%166?7692B84h5G36`8mc7=831d>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<>i5980;66sm3`g94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm3`d94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm3c294?5=83:p(>;=:337?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd4j80;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn5<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a54b=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<54;294~"4=;09n6F<8d9K72d>oa<3:17b<>1;29?xd69?0;6>4?:1y'704=:8>0D>6j;I14f>oa:3:17dh<:188k7762900qo:<8;293?6=8r.89?4=e:J0<`=O;>h0ek<50;9jb6<722cm87>5;hd6>5<>i5980;66sm42;94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm42c94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm42`94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm42a94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm42f94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sm42g94?2=83:p(>;=:3`8L6>b3A95<7s-9>>7<>4:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a016=83>1<7>t$271>7d<@:2n7E=8b:ke6?6=3`l86=44ig694?=h:8;1<75rb8794?5=83:p(>;=:337?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd>>3:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg?029096=4?{%166?7692B84h5G36`8mc7=831d>=8381<7>t$271>4763A93i6F<7c9jb4<722e9=<4?::a=<<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`:e?6=;3:1N40l1C?:l4ig094?=nn:0;66a=1083>>{e1k0;6;4?:1y'704=:m1C?5k4H25a?l`52900ek=50;9jb1<722cm97>5;hd5>5<5<4290;w)=:2;020>N40l1C?:l4ig094?=nn:0;66a=1083>>{ek00;6?4?:1y'704=98;0D>6j;I14f>oa93:17b<>1;29?xddl3:187>50z&017<5j2B84h5G36`8mc4=831bj>4?::ke0?6=3f8:=7>5;|``a?6=:3:19m;hd2>5<5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rbe294?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66smd083>7<729q/?8<51038L6>b3A9109K7=c<@:=i7dh>:188k7762900qoj<:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`g0?6=<3:1N40l1C?:l4ig094?=nn:0;66gi4;29?j4693:17plla;297?6=8r.89?4=159K7=c<@:=i7dh=:188mc5=831d>1<7>t$271>7d<@:2n7E=8b:ke6?6=3`l86=44ig694?=h:8;1<75rbba94?5=83:p(>;=:337?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xdf03:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188ygg>290>6=4?{%166?46>2B84h5G36`8mc4=831bj>4?::ke0?6=3`l>6=44o332>5<6j;I14f>oa:3:17dh<:188k7762900qool:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`b`?6=;3:19m;hd1>5<6=4=:183!52:3;:=6F<8d9K72d>i5980;66sm3g594?2=83:p(>;=:3`8L6>b3A9h7:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`0b<<72:0;6=u+3409642<@:2n7E=8b:ke6?6=3`l86=44o332>5<52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<53;294~"4=;09=95G39g8L61e3`l96=44ig194?=h:8;1<75rb001>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb000>5<4290;w)=:2;020>N40l1C?:l4ig094?=nn:0;66a=1083>>{e9;>1<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo?=5;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a570=8391<7>t$271>7733A93i6F<7c9jb7<722cm?7>5;n025?6=3th:>l4?:383>5}#;<81=5;n025?6=3th:?=4?:383>5}#;<81=5;n025?6=3th:?<4?:383>5}#;<81=5;n025?6=3th:??4?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb010>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb017>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb016>5<4290;w)=:2;020>N40l1C?:l4ig094?=nn:0;66a=1083>>{e9:<1<7=50;2x 6352;k0D>6j;I14f>oa:3:17dh<:188k7762900qo?<7;297?6=8r.89?4=a:J0<`=O;>h0ek<50;9jb6<722e9=<4?::a57d=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<54;294~"4=;09n6F<8d9K72d>oa<3:17b<>1;29?xd6:m0;694?:1y'704=:8?0D>6j;I14f>oa:3:17dh<:188mc2=831d>5;n025?6=3th:>k4?:283>5}#;<81><:4H2:f?M50j2cm>7>5;hd0>5<5<5sW;m70?i:31f?xu5:3:1>v3>f;:`?8412;;:7p}=3;296~;5;38:=63=5;d1?xu5<3:1>v3=4;025>;5=3l87p}=5;296~;5=38:=63=6;d0?xu1<3:18vP94:?0a74i3:?:f?`43ty<<7>53z?45?46927:544i1:?25=37<5;l16;<4i3:p36<72hq6;?489:?765<01278i5489:?0`2<01278mn489:?0<2<01279h:489:?222<01273h796;<57>7763ty<97>52z?41?44m27<=7h=;|q42?6=;r7<976l;<51>=e<5>=1>5<6=rT;f>3=270ln:6;89g0=?016n?489:?ba?1>34;94796;<3e>2?<58;86:74=d193<=::m21;4527484=>;4010<5637e;5:?8b>2>3014=5789>5=?=?016=5h5789>5d6=?016;94i1:p<5<72;6i=0m=63>9e8e5>;69k0m=6s|8e83>6}:0m09?h521839b4=:98?1j<5rs9g94?5|51o1>>k4=0;;>c7<58;<6k?4}r:e>5<4s42n65m4=9f9>k4=2g2>c7=e<50i1>5<5s43>6??>;<;b>c5776343i6k<4}r;4>5<5s43<6??>;<;a>c2776343i6k;4}r;:>5<5s4326??>;<;a>c0776343h6k<4}r;a>5<5s43i6??>;<;`>c5:18b8g12;9n70lj:8389f5=n:16ho4i1:?707c7<5hk1j<5rs`594?4|5h<14n52ae8154=z{h21<75rs`;94?4|5h31>5rs``94?4|5hh1>5rs`094?g|5ho1>>k4=cg9=7=:k:0m>63kd;d2?823;3l970:<8;d0?82413l:70mk:g189fc=n816m44i2:pec<72;q6mh47c:?a5?4692wxn=4?:3y>f5<59816n<4i3:pe6<720q6n?4=3d9>fc<>:27h97h=;c7<5=>86k=4=51;>c2<5=9i6k?4=e09b4=:i00m?6s|b283>7}:j;03o63m5;025>{tj=0;6?u2b58154=:j<0m?6s|a583>=}:j?09?h52c18:6>;d?3l970jj:g3891232o;019=k:g389a7=n816m44i4:pf2<72;q6n;47c:?a=?4692wxn54?:3y>f=<59816n44i3:pe0<721q6nl4=3d9>g4<>:27n<7h<;<671?`634>8o7h>;c2<5m:1j<52a88e1>{tjk0;6?u2b`8;g>;el38:=6s|bb83>7}:jj09=<52be8e7>{tk;0;6>u2bg8:5>;d;38:=63l5;d0?xud<3:1?v3l0;;2?8e22;;:70m8:g18yve12909w0m>:8389f1=:8;0q~m7:1868dd2o;01o65f09>f1;7763tyh57>52z?`=?46927o?7h<;|q`e?6=:r7hm7<>1:?`f?`43tyhn7>52z?`f?46927ho7h<;|q``?6=:r7hh7<>1:?`e?`53tyhi7>52z?`a?46927o87h<;|q`b?6=:r7hj7<>1:?g0?`53tyo<7>52z?g4?46927o87h;;|qg5?6=:r7o=7<>1:?`f?`53tyo>7>52z?g6?46927hn7h;;|qg7?6=:r7o?7<>1:?`g?`53tyo87>52z?g0?46927hm7h<;|qg1?6=:r7ii7=;a:?a5?`53tyo:7>52z?ab?53i27i97h=;|qg3?6=:r7h<7=;a:?a=?`53tyo47>52z?`5?53i27ih7h=;|qg=?6=;r7o57<`g<59816i=4i2:p`f<72;q6hn4=109>a5`a<59816i=4i6:p``<72;q6hh4=109>a5`c<59816i=4i7:pa7<72=q6i>47c:?f6?44m27h57h>;c775b34n86k<4=``9b7=z{l31<75<3sW;:?63>12817`=:<=81j?5242:9b0=z{8;?6=4;{<322?46927:>>4i2:?2761683>7}:98=1>c552z?25=<59816=2909w0?>9;025>;69m0m>6s|10c94?4|58;j6??>;<32`?`43ty:=o4?:3y>54d=:8;01v3>1b8154=:98o1j>5rs03g>5<5s4;:h7<>1:?25`6;d1?xu69o0;6?u21019=4=109>575=n:1v<<>:181875938:=63>258e6>{t9;81<777634;987h<;|q266<72;q6=?=5203894422o90q~?=4;296~;6:=09=<521349b6=z{88>6=4={<311?46927:>;4i2:p57>=839pR<<7;<31h4i2:p57?=838p1<<7:9a8944a2;;:7p}>2`83>7}:9;k1>c552z?26g<59816=?j5f29~w44d2909w0?=c;025>;6:o0m?6s|13f94?4|588o6??>;<31a?`43ty:>h4?:3y>57c=:8;01<v3>318154=:9:<1j>5rs012>5<5s4;8=7<>1:?26a<50;0x94552;;:70?=c;d0?xu6;:0;6?u21219647<589<6k=4}r300?6=:r7:?94=109>57e=n=1v<=::181874=38:=63>2c8e7>{t9:<1<777634;9h7h=;|q272<72;q6=>952038944d2o80q~?:7;296~X6=>16?hh5f39~w43e2909wS?:b:?222577=n;1v<66:18e87?1388i63;6i;0m>63>a78e5>;60k0m?63>9d8e5>;5<3l:70=m0;d1?8?02o;01>h;:g3896`12o801<<=:g3894572o;01<==:g08944e2o80q~?7a;296~;60003o63>8d8154=z{82i6=4={<3;f?46927:4h4i2:p5=e=838p1<6l:332?87?l3l87p}>8e83>7}:91n1>c55fz?25d4=n:16=l;5f09>5=b=n;16=485f39>63c4<588>6k<4=00b>c7<58996k=4=015>c452z?2;61o0m>6s|18094?4|58396??>;<3:0?`33ty:5>4?:3y>5<5=:8;01<78:g18yv7><3:1>v3>958154=:90?1j>5rs0;6>5<5s4;297<>1:?2=35<2=n;1v<7n:18187>i38:=63>938e6>{t90h1<777634;2>7h<;|q2=f<72;q6=4m5203894?22o80q~?6d;296~;61m09=<521879b1=z{83n6=4={<3:a?46927:5>4i3:p5<`=838p1<7i:332?87><3l87p}>a183>46|58k;6?=j;<3b;<3:7?`534886k=4=2cf>c7<50?1j>52988e5>;4n:0m=63;6:90m=63>208e7>;6;80m=63>368e6>{t9h;1<7=e<58kj6??>;|q2e7<72;q6=l<5203894gf2o80q~?n3;296~;6i:09=<521`59b7=z{8k?6=4={<3b0?46927:m:4i3:p5d3=838p1a783>7}:9h<1>c252z?2e2<59816=l65f29~w4g?2909w0?n8;025>;6i00m?6s|1`;94?4|58k26??>;<3be?`43ty:n84?:3y]5g3<5=8<6k<4}r3a2?6=;rT:n;529`8e6>;4n<0m=6s|21f94?4|5:2m6hh4=512>=253z?1`3<59816=4l5f09>54g=n81v?j8:18184c?388i63=d78e7>{t:m21<775b348o:7h=;|q1`<<72:q6>i658b9>6a1=0j16>io52038yv5??3:1?v3<86817`=:<=81j95242:9b3=z{:236=4<{<1;?21i01>68:9a896>f2;;:7p}7}Y;hi01>ol:31f?xu4im0;6?u23`a97g6=n:1v>oi:18185fn38:=63{t;k:1<7776349i=7h=;|q0f0<72:qU?o;4=659b4=:9:>1j<5rs2ae>5<5sW9hj6360;d2?xu4l>0;6?uQ3e5896b02;9n7p}7}:;m=14n523ec964752z\0a5=:;l91>7`5=n;1v>k=:18185b:38:=63{t;l21<7;<1e5?`63ty8j=4?:3y>7`>=0j16?k?52038yv5a:3:1?v3;3:>0m?63h;:332?85a?3l97p}7}:;o?1>c252z?0b3<59816?k65f29~w6`02909w0=i7;025>;4n00m?6s|3g:94?4|5:l36??>;<1e=?`53ty?=84?:3y]043<5;nj6k?4}r614?6=:rT?>=52432966c9:7>52z?762<598168?75f09~w14?2909w0:=0;:`?825138:=6s|42394?5|V=9:70:<1;00a>;ck3l:7p};3483>7}:<:;14n5242496478;7>52z?774;3;o0m>6s|42;94?4|5=926??>;<60a?`43ty??l4?:3y>06g=:8;019=j:g08yv24j3:1>v3;3c8154=:<:o1j95rs51`>5<5s4>8o7<>1:?705j50;0x915c2;;:70:;0;d7?xu3;l0;6?u242g9647<5=9m6k=4}r60b?6=:r7??k4=109>016=n:1v9:>:181824>3l:70:;8;025>{t<=81<777634>?47h=;|q706<72;q689=5203891202o80q~:;4;296~;3<=09=<524549b7=z{=>>6=4={<671?46927?8;4i3:p010=838p19:9:332?823?3l87p};4683>7}:<==1>c5?57>52z\70<=:;1k1j<5r}c1:2?6=037)?m7;165>i4<=0;66g73;29?j54?3:17b=mf;29?l?c2900e>k::188k17>2900c;:50;9a7=`=83;1<7>t$271>c6<@:2n7E=8b:mfb?6=3th8:o4?:483>5}#;<81ii5G39g8L61e3-lm6=5f8383>>o?<3:17d96:188m=e=831d>>k50;9~f600290>6=4?{%166?cc3A93i6F<7c9'bc<73`296=44i9694?=n?00;66g7c;29?j44m3:17pl<6283>0<729q/?8<5ee9K7=c<@:=i7)hi:19j<7<722c387>5;h5:>5<5;|`2g`<72<0;6=u+3409aa=O;1o0D>9m;h:1>5<1<75f7883>>o?k3:17b<52900e5:50;9j3<<722c3o7>5;n00a?6=3th:h>4?:483>5}#;<81ii5G39g8L61e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>d583>0<729q/?8<5ee9K7=c<@:=i7d6=:188m=2=831b;44?::k;g?6=3f88i7>5;|`2`0<72<0;6=u+3409aa=O;1o0D>9m;h:1>5<1<75f7883>>o?k3:17b<52900e5:50;9j3<<722c3o7>5;n00a?6=3th:h:4?:483>5}#;<81ii5G39g8L61e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>d983>0<729q/?8<5ee9K7=c<@:=i7d6=:188m=2=831b;44?::k;g?6=3f88i7>5;|`2`<<72<0;6=u+3409aa=O;1o0D>9m;h:1>5<1<75f7883>>o?k3:17b<52900e5:50;9j3<<722c3o7>5;n00a?6=3th:h=4?:483>5}#;<81ii5G39g8L61e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>d083>0<729q/?8<5ee9K7=c<@:=i7d6=:188m=2=831b;44?::k;g?6=3f88i7>5;|`2`7<72<0;6=u+3409aa=O;1o0D>9m;h:1>5<1<75f7883>>o?k3:17b<0;684?:1y'704=mm1C?5k4H25a?l>52900e5:50;9j3<<722c3o7>5;n00a?6=3th89:4?:483>5}#;<81ii5G39g8L61e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl<3683>0<729q/?8<5ee9K7=c<@:=i7d6=:188m=2=831b;44?::k;g?6=3f88i7>5;|`f3?6=;3:1N40l1C?:l4i6;94?=n0j0;66a=3d83>>{em?0;6>4?:1y'704=mj1C?5k4H25a?l1>2900e5m50;9l66c=831vn>li:180>5<7s-9>>7kl;I1;a>N4?k1b;44?::k;g?6=3f88i7>5;|`75<<72:0;6=u+3409af=O;1o0D>9m;h5:>5<1<7=50;2x 6352li0D>6j;I14f>o013:17d6l:188k75b2900qo=j7;297?6=8r.89?4jc:J0<`=O;>h0e:750;9jt$271>`e<@:2n7E=8b:&eb?631<75f8b83>>i5;l0;66sm11494?5=83:p(>;=:da8L6>b3A9o>93:17d7=:188k62f2900n>7;:180>5<7s-9>>7=7d:J0<`=O;>h0ek<50;9jb6<722e9=<4?::p=4<72;qU5<523869b6=z{081<74>:2yK72d<,:?965<1<7=50;2x 6352:2o7E=7e:J03g=nn;0;66gi3;29?j4693:17p}61;296~X>9278594i3:p=7<72;qU5?523869b7=z{:>j6=4={_17e>;41=09=<5r}c15b?6=;3;1?vF<7c9'704=9k30e4?50;9j=7<722e88l4?::`0=1<72:0;6=u+34097=b<@:2n7E=8b:ke6?6=3`l86=44o332>5<<3l87p}62;296~X>:278594i2:p71g=838pR>:n;<1:0?4692wvn4<4sA9c5<3l97p}<4`83>7}Y;=k01>7;:332?x{e9mi1<7=51;1xL61e3-9>>7?m9:k:5?6=3`396=44o26b>5<>i5980;66s|9083>7}Y1816?4:5f29~w<4=838pR4<4=2;7>c452z\00d=:;0>1>uG36`8 63528h27d7>:188m<4=831d?9o50;9a7<2=8391<7>t$271>6>c3A93i6F<7c9jb7<722cm?7>5;n025?6=3ty2=7>52z\:5>;41=0m?6s|9383>7}Y1;16?4:5f39~w62f2909wS=;a:?0=1<5981vqo?j6;297?7=;rB8;o5+34095g?>i46<729q/?8<539f8L6>b3A9:188yv?62909wS7>;<1:0?`43ty2>7>52z\:6>;41=0m>6s|35c94?4|V:>j70=64;025>{zj8o>6=4<:080M50j2.89?4>b89j=4<722c2>7>5;n17e?6=3k9287>53;294~"4=;084i5G39g8L61e3`l96=44ig194?=h:8;1<75rs8394?4|V0;01>7;:g18yv?52909wS7=;<1:0?`53ty88l4?:3y]71g<5:3?6??>;|a5`2=8391=7=tH25a?!52:3;i56g61;29?l?52900c>:n:188f6?329086=4?{%166?5?l2B84h5G36`8mc4=831bj>4?::m154<722wx5<4?:3y]=4=:;0>1j>5rs8094?4|V0801>7;:g08yv53i3:1>vP<4`9>7<2=:8;0qpl>e283>6<62:qC?:l4$271>4d>3`3:6=44i8094?=h;=k1<75m38694?5=83:p(>;=:2:g?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xu>93:1>vP61:?0=11j?5rs26b>5<5sW9?m63<958154=zuk;n>7>53;397~N4?k1/?8<51c;8m<7=831b5?4?::m00d<722h8594?:283>5}#;<81?5j4H2:f?M50j2cm>7>5;hd0>5<5<5sW3:70=64;d0?xu>:3:1>vP62:?0=11:~f4c629086<4<{I14f>"4=;0:n45f9083>>o>:3:17b=;a;29?g5><3:1?7>50z&017<40m1C?5k4H25a?l`52900ek=50;9l647=831v4?50;0xZ<7<5:3?6k=4}r;1>5<5sW3970=64;d1?xu49m;%166?7e12c2=7>5;h;1>5<j6=44b2;7>5<4290;w)=:2;1;`>N40l1C?:l4ig094?=nn:0;66a=1083>>{t180;6?uQ909>7<2=n:1v4<50;0xZ<4<5:3?6k<4}r17e?6=:rT88l5238696476}O;>h0(>;=:0`:?l?62900e4<50;9l71g=831i?4:50;194?6|,:?96>6k;I1;a>N4?k1bj?4?::ke7?6=3f8:=7>5;|q:5?6=:rT2=63<958e7>{t1;0;6?uQ939>7<2=n;1v>:n:181[53i278594=109~yg7cm3:1?7?53zJ03g=#;<81=o74i8394?=n1;0;66a<4`83>>d41=0;6>4?:1y'704=;1n0D>6j;I14f>oa:3:17dh<:188k7762900q~7>:181[?6349287h<;|q:6?6=:rT2>63<958e6>{t;=k1<7<38:=6srb8d94?4=83:p(>;=:032?M5?m2B8;o5ff083>>i5980;66sma183>6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th8?h4?:383>5}#;<81=5;n025?6=3th:i44?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb0ga>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6mm0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`2b4<72:0;6=u+34096d=O;1o0D>9m;hd1>5<f483>6<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th:j44?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb0da>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6nm0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>8k:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>8j:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`02<<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`02d<72:0;6=u+34096d=O;1o0D>9m;hd1>5<6=4=:183!52:3;:=6F<8d9K72d7<729q/?8<51038L6>b3A97<729q/?8<51038L6>b3A96<729q/?8<52`9K7=c<@:=i7dh=:188mc5=831d>5;n025?6=3th8;84?:283>5}#;<81>l5G39g8L61e3`l96=44ig194?=h:8;1<75rb02f>5<5290;w)=:2;325>N40l1C?:l4ig394?=h:8;1<75rb02e>5<4290;w)=:2;0b?M5?m2B8;o5ff383>>oa;3:17b<>1;29?xd6880;694?:1y'704=:k1C?5k4H25a?l`52900ek=50;9jb1<722e9=<4?::a70?=8391<7>t$271>7g<@:2n7E=8b:ke6?6=3`l86=44o332>5<m7>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<n7>52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<o7>53;294~"4=;09m6F<8d9K72d>i5980;66sm34f94?5=83:p(>;=:3c8L6>b3A9:188yg7d13:157>50z&017<5n2B84h5G36`8mc4=831bj>4?::ke0?6=3`l>6=44ig494?=nn>0;66gi8;29?l`>2900c??>:188yg7di3:197>50z&017<5k2B84h5G36`8mc4=831bj>4?::ke0?6=3`l>6=44o332>5<52;294~"4=;0:=<5G39g8L61e3`l:6=44o332>5<53;294~"4=;09m6F<8d9K72d>i5980;66sm1bf94?5=83:p(>;=:3c8L6>b3A9:188yg7703:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg7713:1>7>50z&017<6981C?5k4H25a?l`62900c??>:188yg77i3:1?7>50z&017<5i2B84h5G36`8mc4=831bj>4?::m154<722wi==l50;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<9m;hd1>5<:188yg77=3:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831vn>:?:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>:>:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>:=:181>5<7s-9>>7?>1:J0<`=O;>h0ek?50;9l647=831vn>:<:187>5<7s-9>>7N4?k1bj?4?::ke7?6=3`l?6=44o332>5<>{e>10;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn;750;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<5<4290;w)=:2;020>N40l1C?:l4ig094?=nn:0;66a=1083>>{e>k0;6>4?:1y'704=:h1C?5k4H25a?l`52900ek=50;9l647=831vn>m=:180>5<7s-9>>7N4?k1bj?4?::ke7?6=3f8:=7>5;|`0g6<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`0g1<72;0;6=u+3409547<@:2n7E=8b:ke5?6=3f8:=7>5;|`0g0<72:0;6=u+34096d=O;1o0D>9m;hd1>5<>i5980;66sm3b594?5=83:p(>;=:3c8L6>b3A9:188yg5d03:1?7>50z&017<59=1C?5k4H25a?l`52900ek=50;9l647=831v;:50;1xZ32<5?>1>>k4=7:9b7=z{??1<7k09=<5rs7494?4|5?<1>5rs7:94?4|5?21>5rs7c94?4|5?k1>301h85789>7g`=?0168<75789>21<01278i:489:?247<0127:<;489:p=a<72;qU5i52e78;g>{t1l0;64u23459<7=:;:=14?5234g9<7=:;?h14?523759<7=:;?914?52a18154=:;>k1j>521139b7=z{0l1<75rsd694?5|5l=14n52e7817`=:1o0m=6s|e483>7}:m>09?h52a18e6>{t99:1<7:t=022>77634;;57h>;<33e?`534;;87h=;|q247<72>q6==<522g8946a2o801<>7:g3894622o801;l5f39>7f4=n;16?n=5f09~w4642909w0??2;:`?877=38:=6s|11694?4|58:?6??>;<331?`43ty:<;4?:6y>550=::o01<>j:g38946e2o801<>;:g68930=n816:44i2:?0g2l:332?xu6810;6?u211:9647<58:j6k=4}r33=?6=:r7:<44=109>55d=n:1v<>n:181877i38:=63>0b8e6>{t99h1<777634;;o7h<;|q24a<72;q6==k52038946a2o90q~?>0;296~;39003o63>0g8154=z{8i<6=4={<3`3?44m27:<<4i4:p5f>=838p1c883>7}:9j31>c452z?2gd<59816=nm5f39~w4ee2909w0?lb;025>;6kj0m?6s|1ba94?4|58ih6??>;<3``?`43ty:nl4?:4y>5fc=::o012o901p1:31f?87ck33970?id;d1?87di3l87p}>be83>6}:9m81>>k4=0fg><4<58ij6k;4}r3ab?6=4=3d9>5a`=1;16=hl5f39>5f?=n:1ve18:6>;6mm0m>63>c88e0>{t9j;1<7:t=0f6>75b34;n=77=;<3fb?`534;h57h9;|q2g7<72=q6=i8522g894c520801:g0894e>2o?0q~?l3;290~;6l>09?h521d19=7=:9o91j?521b;9b2=z{8i?6=4;{<3gp1c783>1}:9mk1>>k4=0g5><4<58l26k<4=0ab>c453z?2`c<>927:i44=109>5`d=n:1v;6mm0m?6s|1da94?5|58o:64?4=0gg>77634;nj7h<;|q2a`<72:q6=h<5909>5``=:8;01:g18yv7a83:1?v3>e28:5>;6n809=<521g19b6=z{8l96=4<{<3f0??634;m?7<>1:?2b0f783>6}:9l<15<521g59647<58l26k=4}r3efe8e7>{t9oi1<7<7<58lo6??>;|q2b`<72;q6=nk58b9>5`1=:8;0q~?if;296~;6l903o63>dc800d=z{;:;6=4={<3g5?>d34;oo7=;a:p657=838p1j7p}=0383>7}:9jl14n521eg971g52z?2`665m4=0g2>62f3ty9<;4?:3y>5a0=0j16=h<535c8yv47?3:1>v3>d68;g>;6m:088l5rs32;>5<5s4;o476l;<3f0?53i2wx>=750;0x94b>21i0173d=0=16?;95859>735=0=16?8k5859>5fc=0=16=nh5859>5a5=0=16=i:5859>5a3=0=16=i85859>5a1=0=16=i65859>5a?=0=16=io5859>5a6=0=16=i?5859>5a4=0=16=n95859>701=0=16?>95859~w6502909wS=<7:?072<5;l1v>=k:181854?32h70={t;:l1<7c7<5:>86??>;|q005<72;q6?9>5203896242o90q~=;1;296~;4<809=<523519b7=z{:>96=4={<176?4692788>4i4:p701=839p1>;8:31f?850i3l970??1;d0?xu4=10;6?u2345970b=n;1v>;n:181852i38:=63<5b8e6>{t;776349>o7h<;|q01f<72;q6?8m52038963c2o90q~=:3;291~;4=l09?h5237d9=4=:;?;1j<523619b6=:;<31j?5rs27e>5<5s49>i76l;<156?4692wx?;>50;0x96072;;:70=92;d1?xu4>80;6?u23739647<5:<96k=4}r160?6==r78:>4=3d9>73`=1;16?:=5f39>70g=n816?9?5f09~w6032909w0=93;:`?851>38:=6s|37794?4|5:<>6??>;<152?`43ty8984?:4y>731=::o01>9?:80896122o801>;m:g3896272o;0q~=98;296~;4>>03o63<6`8154=z{:<26=4={<15=?469278:l4i3:p700=83?pR>:;;<15f?44m278;<462:?01<8m:9a8960b2;;:7p}<6e83>7}:;?n1>c57>53z?035<>9278;>4=109>723=n:1v>9;:181850933:70=85;025>{t;><1<762f349=:7h=;|q032<72;q6?:>535c8960f2o80q~=88;296~;4?8088l5237g9b7=z{:=26=4>3z?2g`73?=n816?;;5f09>736=n81v>li:186[5en278nk4=3d9>22m7:332?xu4k;0;6?u23b09647<5:i36k<4}r1`7?6=:r78o>4=109>7f3=n;1v>m;:18185d<38:=63{t;j?1<7776349h:7h<;|q0g3<72;q6?n85203896e02o90q~=l7;296~;4k>09=<523b:9b6=z{:o>6=4={_1f1>;4m>03o6s|3d594?5|5:o<6?=j;<330?`4349h87h>;|q75<<72;qU8<74=53:>75b3twi?l:50;094?6|,:?96;I1;a>N4?k1bj<4?::m154<722wi?o=50;094?6|,:?96;I1;a>N4?k1bj<4?::m154<722wi?l>50;094?6|,:?96;I1;a>N4?k1bj<4?::m154<722wi?l?50;094?6|,:?96;I1;a>N4?k1bj<4?::m154<722wi?l<50;194?6|,:?96?o4H2:f?M50j2cm>7>5;hd0>5<9m;hd2>5<9m;hd2>5<N40l1C?:l4ig394?=h:8;1<75rb501>5<5290;w)=:2;df?M5?m2B8;o5ff083>>i5980;66sm3cf94?4=83:p(>;=:gg8L6>b3A9h0ek?50;9l647=831vnhl50;094?6|,:?96kk4H2:f?M50j2cm=7>5;n025?6=3th8h>4?:383>5}#;<81jh5G39g8L61e3`l:6=44o332>5<52;294~"4=;0mi6F<8d9K72dm6=4=:183!52:3ln7E=7e:J03g=nn80;66a=1083>>{e080;6?4?:1y'704=nl1C?5k4H25a?l`62900c??>:188yg1e29096=4?{%166?`b3A93i6F<7c9jb4<722e9=<4?::a7`g=8381<7>t$271>cc<@:2n7E=8b:ke5?6=3f8:=7>5;|`717<72;0;6=u+3409b`=O;1o0D>9m;hd2>5<5<5290;w)=:2;df?M5?m2B8;o5ff083>>i5980;66sm5`83>7<729q/?8<5fd9K7=c<@:=i7dh>:188k7762900qo;6:181>5<7s-9>>7hj;I1;a>N4?k1bj<4?::m154<722wi954?:383>5}#;<81jh5G39g8L61e3`l:6=44o332>5<>{e=<0;6?4?:1y'704=nl1C?5k4H25a?l`62900c??>:188yg3329096=4?{%166?`b3A93i6F<7c9jb4<722e9=<4?::a16<72;0;6=u+3409b`=O;1o0D>9m;hd2>5<5<5290;w)=:2;df?M5?m2B8;o5ff083>>i5980;66sm5083>7<729q/?8<5fd9K7=c<@:=i7dh>:188k7762900qo;?:181>5<7s-9>>7hj;I1;a>N4?k1bj<4?::m154<722wi8k4?:383>5}#;<81jh5G39g8L61e3`l:6=44o332>5<n6=4=:183!52:3ln7E=7e:J03g=nn80;66a=1083>>{e:188yg0429096=4?{%166?`b3A93i6F<7c9jb4<722e9=<4?::a27<72;0;6=u+3409b`=O;1o0D>9m;hd2>5<5<5290;w)=:2;df?M5?m2B8;o5ff083>>i5980;66sm6183>7<729q/?8<5fd9K7=c<@:=i7dh>:188k7762900qo;i:181>5<7s-9>>7hj;I1;a>N4?k1bj<4?::m154<722wi9h4?:383>5}#;<81jh5G39g8L61e3`l:6=44o332>5<>{e=j0;6?4?:1y'704=nl1C?5k4H25a?l`62900c??>:188yg3029096=4?{%166?`b3A93i6F<7c9jb4<722e9=<4?::a040=83>1<7>t$271>45a3A93i6F<7c9jb4<722c2h7>5;n025?6=3f;:>7>5;|`4`?6=:3:19m;hd2>5<9m;hd2>5<9m;hd2>5<9m;hd2>5<5<5290;w)=:2;026>N40l1C?:l4ig394?=h:8;1<75rb537>5<5290;w)=:2;026>N40l1C?:l4ig394?=h:8;1<75rb533>5<5290;w)=:2;026>N40l1C?:l4ig394?=h:8;1<75rb2a`>5<5290;w)=:2;026>N40l1C?:l4ig394?=h:8;1<75rb2a3>5<5290;w)=:2;026>N40l1C?:l4ig394?=h:8;1<75rb2cb>5<4290;w)=:2;027>N40l1C?:l4ig394?=n1m0;66a=1083>>{e;k>1<7<50;2x 6352;;97E=7e:J03g=nn80;66a=1083>>{e<881<7<50;2x 6352;;97E=7e:J03g=nn80;66a=1083>>{e<;>1<7=50;2x 6352;;87E=7e:J03g=nn80;66g6d;29?j4693:17pl;0583>7<729q/?8<52008L6>b3A97<729q/?8<52008L6>b3A97<729q/?8<52008L6>b3A97<729q/?8<52008L6>b3A97<729q/?8<52008L6>b3A96<729q/?8<52018L6>b3A9:188yg24;3:1?7>50z&017<59:1C?5k4H25a?l`62900e4j50;9l647=831vn>ji:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn>k;:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn>l8:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?7l:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?7m:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?mi:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?m8:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?m>:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?lm:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?l::181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?oi:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?o6:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?o<:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?7k:181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831vn?7::181>5<7s-9>>7<>2:J0<`=O;>h0ek?50;9l647=831v?>50;0x96?>28l01>o?:g38yv462909w0=6c;3e?85f93l:7p}=7;296~;41j09;63{t;<0;6?uQ349>0a7}Y;>168k4i1:p7=<72;qU?552518e5>{t;00;6?uQ389>147}Y;k169>4i1:p7f<72;qU?n52558e5>{t;m0;6?uQ3e9>107}Y;o169:4i1:p05<72;qU8=52598e5>{t<80;6?uQ409>1<7}Y<=169o4i1:p00<72;qU88525b8e5>{t1a7}Y<1169k4i1:p0<<72;qU8452618e5>{t24;0m=6s|4b83>7}Y4i1:p0a<72:q6?4956:?716<134>o6??>;|q7a?6=;r785:48;<667?1<5=o1>5<4s492;764=570>==:;2838:=6s|5083>6}:;0=1m63;528b?8362;;:7p}:2;297~;41>0i70::3;`8904=:8;0q~;<:18085>?3i019;<:b9>16<5981v8:50;1x96?02m1688=5d:?60?4692wx984?:2y>7<1=m27?9>4j;<76>7763ty>:7>53z?0=2>?7h4=449647;|q61:?716<6927>47<>1:p1<<72:q6?495129>005=9:16944=109~w0g=838p1>78:06890g=:8;0q~;m:18185>?3;=70;m:332?xu2k3:1>v3;52823>;2k38:=6s|5e83>7}:<<91=5525e8154=z{4?<55<5s4>>?7?n;<7e>7763ty=<7>52z?716<6j27=<7<>1:p24<72;q688=51b9>24<5981v;<50;1x96?028n019;<:0f8934=:8;0q~8<:18085>?3;n70::3;3f?8042;;:7p}94;293~;4100=863<97850>;4j:0m=63;3:=02h63;3;:02h6s|6b83>1}:;0=1:n5244192f=:;0i1:n5238:92f=z{>k1<72>k01:l52038yv1d2909wS9l;<5g>7763ty52z\4a>;0n38:=6s|7g83>1}:;0:1>?<4=2;;>67034=o6k?4=6d9b4=z{1:1<721:015?52038yv?c2909wS7k;c77763tynm7>52z\fe>;bj3l:7p}jb;292~;41>03?63;528;7>;41j03?63<998fe>;41?03?63jb;025>{tnh0;6?u23859bd=:;021jl5rs030>5<5s492o7?>3:?0=<<69:1v<<7:18185>k3;9463<98826==z{89j6=4={<1:g?74i278554<139~w4272909w0=67;374>;41j0:8=5rs06g>5<5s492o7?;d:?0=<<6=>1v<;7:18185>?3;>463<9b821==z{8?i6=4={<1:g?72j278544>5c9~w40?2909w0=6c;35<>;41k0m=6s|1``94?4|5:3h6=j50;0x96>a2ll019?9:g38yv47m3:1?v3;178154=:;0=18<;4=2;:>1723ty9?k4?:3y]66`<5;3>6??>;|q105<72;qU>9>4=3;a>7763ty98?4?:3y]614<5;3h6??>;|q106<72;qU>9=4=3;g>7763ty9894?:3y]612<5;k86??>;|q100<72;qU>9;4=3c:>7763ty98;4?:3y]610<5;km6??>;|q102<72;qU>994=3`6>7763ty9854?:3y]61><5;hi6??>;|q10<<72;qU>974=3a2>7763ty98l4?:3y]61g<5;i<6??>;|q10g<72;qU>9l4=3ae>7763ty98i4?:3y>7<1=:=n019;<:36g?xu5?k0;6?u2441962d<5:336>>k;|q1=0<72;q688=522d897?22o;0q~<6b;296~;3=:098=5228`9b4=z{;3h6=4={<667?43:2795n4i1:p6l3l:7p}=a283>7}:<<91>9:4=3c0>c752z?716<5<<16>l75f09~w7ga2909w0::3;072>;5io0m=6s|2c794?4|5=?86?:8;<0a1?`63ty9no4?:3y>005=:=201?lm:g38yv4d93:1>v3;52810<=::j;1j<5rs3a4>5<5s4>>?7<;a:?1g2nh50;0x91342;>i707}:<<91?9;4=2;5>6233ty85l4?:3y>77m:332?xu41m0;6?uQ38f896?b2;;:7p}<9d83>7}:;h81>c752z?0e5<59816?l<5f39~w6g72909w0=n1;025>;4i;0m?6s|3`194?4|V:k870=n5;025>{t;h?1<7776349j97h>;|q0e2<72;qU?l94=2c;>c754z?716<4i?16?4m53`4896??2:k=70=n8;025>{t;h31<7ol;<1be?`63ty8n?4?:3y]7g4<5:h?6??>;|q0f1<72;q6?o=5203896d32o;0q~=m6;296~X4j?16?o952038yv5e?3:1>v3<9b80f3=:;k=1j<5rs2``>5<5sW9io63{t;kn1<76d2349ih7<>1:p7g`=838pR>li;<1`4?4692wx?n>50;0x96?12:hm70=l0;d2?xu4k00;6?uQ3b;896ed2;;:7p}7}:<<91?n74=2a`>c752z\0`5=:;m;1>7a7=n81v>j=:181[5c:278h>4i1:p7a5=838p1>76:2ae?85c;38:=6s|3e594?4|V:n<70=k8;025>{t;m21<76b0349o47h>;|q0`g<72;qU?il4=2fe>7763ty8hk4?:3y>7ji:g38yv5b83:1>vP7`2=:8;0q~=j4;296~;41008i=523d69b4=z{:o>6=4={_1f1>;4m?0m=6s|3d494?4|5:3=6>k:;<1f2?4692wx?h750;0xZ6c>349nm7h>;|q0ad<72:q688=53d:896?d2:o370=ja;025>{t;lh1<7k7;<1fg?`63ty?<<4?:3y]057<5=:?6??>;|q741<72;q688=5413891632o;0q~:?f;296~X38o168<>52038yv2683:1>v3;5280bd=:<8:1j<5rs532>5<5sW>:=63;138154=z{=;96=4={<667?25i27?=?4i1:p045=838pR9?<;<620?4692wx8<:50;0x91342=;870:>4;d2?xu39<0;6?uQ4078917128;97p};1683>7}:<821>:57>52z?0=3<390168<65f09~w17f2909wS:>a:?75f<5981v9?l:181822;3>:m63;1b8e5>{t<8n1<7<4?:3y]077<5=896k?4}r616?6=;r7?9>4;219>77}:;0318?>4=507>c78>7>52z\777=:<:91>065=n81v9:l:181[23k27?8i4=109~w12c2909w0=68;67=>;3n70:;f;d2?xu3m6??>;|q714<72;qU88?4=571>c7>>7>54z?0=2<3=91688=5442896?d2=?;70::2;025>{zfo8<6=4={I14f>{in;21<79m;|le6a<728qC?:l4}od1a?6=9rB8;o5rng0e>5<6sA94}O;>h0qch<2;295~N4?k1vbk=<:182M50j2wej>:50;3xL61e3tdm?84?:0yK72d51zJ03g=zfo9<6=4>{I14f>{in:21<7?tH25a?xha;00;69m;|le7a<728qC?:l4}od0a?6=9rB8;o5rng1e>5<6sA96}O;>h0qch;2;297~N4?k1vbk:<:180M50j2wej9:50;1xL61e3tdm884?:2yK72d53zJ03g=zfo><6=4<{I14f>{in=21<7=tH25a?xha<00;6>uG36`8yk`3i3:18vF<7c9~jc2e2909wE=8b:mb1e=839pD>9m;|le0a<72=qC?:l4}od7a?6=:rB8;o5rng6e>5<5sA97}O;>h0qch:2;296~N4?k1vbk;<:181M50j2wej8:50;0xL61e3tdm984?:3yK72d:7>53zJ03g=zfo?<6=4<{I14f>{in<21<7=tH25a?xha=00;68uG36`8yk`2i3:1=vF<7c9~jc3e2909wE=8b:mb0e=83;pD>9m;|le1a<728qC?:l4}od6a?6=9rB8;o5rng7e>5<6sA94}O;>h0qch92;297~N4?k1vbk8<:182M50j2wej;:50;4xL61e3tdm:84?:2yK72d53zJ03g=zfo<<6=4<{I14f>{in?21<7=tH25a?xha>00;6>uG36`8yk`1i3:1?vF<7c9~jc0e2908wE=8b:mb3e=839pD>9m;|le2a<72;qC?:l4}od5a?6=;rB8;o5rng4e>5<6sA94}O;>h0qch82;295~N4?k1vbk9<:182M50j2wej::50;3xL61e3tdm;84?:0yK72d51zJ03g=zfo=<6=4>{I14f>{in>21<7?tH25a?xha?00;69m;|le3a<72:qC?:l4}od4a?6=;rB8;o5rng5e>5<5sA97}O;>h0qch74;296~N4?k1vbk6::181M50j2wej5850;0xL61e3tdm4:4?:3yK72d52zJ03g=zfo226=4={I14f>{in1k1<7uG36`8yk`?k3:1?vF<7c9~jc>c290?wE=8b:mb=c=838pD>9m;|le5<6sA94}O;>h0qch64;295~N4?k1vbk7::182M50j2wej4850;6xL61e3tdm5:4?:0yK72d52zJ03g=zfo326=4>{I14f>{in0k1<7?tH25a?xha1k0;6k3:1>vF<7c9~jc?c290:wE=8b:mb9m;|le=c<728qC?:l4}odb4?6=:rB8;o5rngc2>5<6sA94}O;>h0qchn4;296~N4?k1vbko::182M50j2wejl850;0xL61e3tdmm:4?:0yK72d52zJ03g=zfok26=4>{I14f>{inhk1<7vF<7c9~jcgc290:wE=8b:mbdc=838pD>9m;|leec<728qC?:l4}oda4?6=;rB8;o5rng`2>5<6sA94}O;>h0qchm4;296~N4?k1vbkl::182M50j2wejo850;0xL61e3tdmn:4?:0yK72d52zJ03g=zfoh26=4>{I14f>{inkk1<79m;|lefc<72;qC?:l4}od`4?6=9rB8;o5rnga2>5<5sA96}O;>h0qchl4;295~N4?k1vbkm::181M50j2wejn850;3xL61e3tdmo:4?:3yK72d51zJ03g=zfoi26=4={I14f>{injk1<7?tH25a?xhakk0;6?uG36`8yk`dk3:1=vF<7c9~jcec290?wE=8b:m54g=83;pD>9m;|l25g<728qC?:l4}o32`?6=9rB8;o5rn03f>5<6sA92183>4}O;>h0qc?=1;295~N4?k1vb<<=:182M50j2we=?=50;3xL61e3td:>94?:0yK72d51zJ03g=zf88=6=4>{I14f>{i9;=1<7?tH25a?xh6:00;69m;|l26c<728qC?:l4}o304?6=9rB8;o5rn012>5<6sA93283>4}O;>h0qc?<4;295~N4?k1vb<=::182M50j2we=>850;3xL61e3td:?:4?:0yK72d51zJ03g=zf8926=4>{I14f>{i9:k1<7?tH25a?xh6;k0;69m;|l73c<728qC?:l4}o6;4?6=9rB8;o5rn5:2>5<6sA94}O;>h0qc:74;295~N4?k1vb96::182M50j2we85850;3xL61e3td?4:4?:0yK72d347>51zJ03g=zf=226=4>{I14f>{i<1k1<7?tH25a?xh30k0;6c290:wE=8b:m0=c=83;pD>9m;|l75<6sA94}O;>h0qc:64;295~N4?k1vb97::182M50j2we84850;3xL61e3td?5:4?:0yK72d247>51zJ03g=zf=326=4>{I14f>{i<0k1<7?tH25a?xh31k0;6k3:1=vF<7c9~j1?c290:wE=8b:m09m;|l7=c<728qC?:l4}o6b4?6=9rB8;o5rn5c2>5<6sA94}O;>h0qc:n4;295~N4?k1vb9o::182M50j2we8l950;3xL61e3td?m54?:0yK72dj57>51zJ03g=zf=kj6=4>{I14f>{i9m;|l7f5<728qC?:l4}o6a5?6=9rB8;o5rn5`1>5<6sA94}O;>h0qc:m5;295~N4?k1vb9l9:182M50j2we8o950;3xL61e3td?n54?:0yK72di57>51zJ03g=zf=hj6=4>{I14f>{i9m;|l7g5<728qC?:l4}o6`5?6=9rB8;o5rn5a1>5<6sA94}O;>h0qc:l5;295~N4?k1vb9m9:182M50j2we8n950;3xL61e3td?o54?:0yK72dh57>51zJ03g=zf=ij6=4>{I14f>{i9m;|l7`5<728qC?:l4}o6g5?6=9rB8;o5rn5f1>5<6sA94}O;>h0qc:k5;295~N4?k1vb9j9:182M50j2we8i950;3xL61e3td?h54?:0yK72do57>51zJ03g=zf=nj6=4>{I14f>{i9m;|l7a4<728qC?:l4}o6f6?6=9rB8;o5rn5g0>5<6sA94}O;>h0qc:j6;295~N4?k1vb9k8:182M50j2we8h650;3xL61e3td?i44?:0yK72dnm7>51zJ03g=zf=oi6=4>{I14f>{i9m;|l7b4<728qC?:l4}o6e6?6=9rB8;o5rn5d0>5<6sA94}O;>h0qc:i6;295~N4?k1vb9h8:182M50j2we8k650;3xL61e3td?j44?:0yK72dmm7>51zJ03g=zf=li6=4>{I14f>{i9m;|l644<728qC?:l4}o736?6=9rB8;o5rn420>5<6sA94}O;>h0qc;?6;295~N4?k1vb8>8:182M50j2we9=650;3xL61e3td><44?:0yK72d51zJ03g=zf<:i6=4>{I14f>{i=9i1<7?tH25a?xh28m0;69m;|l654<728qC?:l4}o726?6=9rB8;o5rn430>5<6sA94}O;>h0qc;>6;295~N4?k1vb8?8:182M50j2we9<650;3xL61e3td>=44?:0yK72d51zJ03g=zf<;i6=4>{I14f>{i=8i1<7?tH25a?xh29m0;69m;|l664<728qC?:l4}o716?6=9rB8;o5rn407>5<6sA94}O;>h0qc;=7;295~N4?k1vb8<6:182M50j2we9?o50;3xL61e3td>>o4?:0yK72d51zJ03g=zf<8m6=4>{I14f>{i=:81<7?tH25a?xh2;:0;69m;|l67a<728qC?:l4}o70a?6=9rB8;o5rn41e>5<6sA94}O;>h0qc8jd;295~N4?k1vb;kj:182M50j2we:k>50;3xL61e3td=j>4?:0yK72d51zJ03g=zf?l>6=4>{I14f>{i>o21<7?tH25a?xh1n00;69m;|l5ba<728qC?:l4}o4ea?6=9rB8;o5rn622>5<6sA94}O;>h0qc9?4;295~N4?k1vb:>::182M50j2we;=850;3xL61e3td<<:4?:0yK72d51zJ03g=zf>:26=4>{I14f>{i?9k1<7?tH25a?xh08j0;69m;|l455<728qC?:l4}o525?6=9rB8;o5rn631>5<6sA94}O;>h0qc9>5;295~N4?k1vb:?9:182M50j2we;<950;3xL61e3td<=54?:0yK72d51zJ03g=zf>;j6=4>{I14f>{i?8h1<7?tH25a?xh09j0;69m;|l465<728qC?:l4}o515?6=9rB8;o5rn601>5<6sA94}O;>h0qc9=5;295~N4?k1vb:<8:182M50j2we;?650;3xL61e3td<>44?:0yK72d51zJ03g=zf>8i6=4>{I14f>{i?;i1<7?tH25a?xh0:m0;69m;|l474<728qC?:l4}o506?6=9rB8;o5rn610>5<6sA94}O;>h0qc9<6;295~N4?k1vb:=8:182M50j2we;>650;3xL61e3td51zJ03g=zf>9i6=4>{I14f>{i?:i1<7?tH25a?xh0;m0;69m;|l404<728qC?:l4}o576?6=9rB8;o5rn660>5<6sA94}O;>h0qc9;6;295~N4?k1vb::8:182M50j2we;9650;3xL61e3td<844?:0yK72d51zJ03g=zf>>i6=4>{I14f>{i?=i1<7?tH25a?xh09m;|l411<728qC?:l4}o561?6=9rB8;o5rn6a2>5<6sA94}O;>h0qc9l5;295~N4?k1vb:m7:182M50j2we;no50;3xL61e3td51zJ03g=zf>in6=4>{I14f>{i?jl1<7?tH25a?xh0l90;69m;|l4`1<728qC?:l4}o5g1?6=9rB8;o5rn6f5>5<6sA94}O;>h0qc9kb;295~N4?k1vb:jk:182M50j2wemn850;3xL61e3tdjo:4?:0yK72d51zJ03g=zfhi26=4>{I14f>{iijh1<7?tH25a?xhfkj0;69m;|lb`7<728qC?:l4}ocg7?6=9rB8;o5rn`f7>5<6sA94}O;>h0qcok7;295~N4?k1vblj7:182M50j2wemi750;3xL61e3tdjhl4?:0yK72d51zJ03g=zfhnh6=4>{I14f>{iimn1<7?tH25a?xhflo0;6?uG36`8ykgb83:1=vF<7c9~jdc6290:wE=8b:me`4=83;pD>9m;|lba6<728qC?:l4}ocf0?6=9rB8;o5rn`g6>5<6sA94}O;>h0qcoj8;295~N4?k1vblk6:181M50j2wemho50;3xL61e3tdjio4?:0yK72d52zJ03g=zfhoo6=4>{I14f>{iilo1<7?tH25a?xhfmo0;6?uG36`8ykga83:1=vF<7c9~jd`6290:wE=8b:mec4=838pD>9m;|lbb6<72;qC?:l4}oce0?6=:rB8;o5rn`d6>5<6sA94}O;>h0qcoi8;295~N4?k1vblh6:182M50j2wemko50;3xL61e3tdjjo4?:0yK72d51zJ03g=zfhlo6=4>{I14f>{iioo1<7?tH25a?xhfno0;69m;|la46<72;qC?:l4}o`30?6=:rB8;o5rnc26>5<6sA94}O;>h0qcl?8;295~N4?k1vbo>6:182M50j2wen=o50;3xL61e3tdi51zJ03g=zfk:o6=4>{I14f>{ij9o1<7?tH25a?xhe990;69m;|la51<728qC?:l4}o`21?6=9rB8;o5rnc35>5<6sA94}O;>h0qcl>9;295~N4?k1vbo?n:182M50j2wen51zJ03g=zfk;n6=4>{I14f>{ij8l1<7?tH25a?xhe:90;69m;|la60<728qC?:l4}o`12?6=9rB8;o5rnc04>5<6sA94}O;>h0qcl=a;295~N4?k1vboi4?:0yK72d51zJ03g=zfk8m6=4>{I14f>{ij:81<7?tH25a?xhe;:0;69m;|la72<728qC?:l4}o`05<6sA94}O;>h0qclk50;3xL61e3tdi?k4?:0yK72d51zJ03g=zfk>:6=4>{I14f>{ij=81<7?tH25a?xhe<:0;69m;|la02<728qC?:l4}o`75<6sA94}O;>h0qcl;c;295~N4?k1vbo:k:182M50j2wen9k50;3xL61e3tdi8k4?:0yK72d<7>51zJ03g=zfk?:6=4>{I14f>{ij<>1<7?tH25a?xhe=<0;63:1=vF<7c9~jg30290:wE=8b:mf0>=83;pD>9m;|la1<<728qC?:l4}o`6e?6=9rB8;o5rnc7a>5<6sA94}O;>h0qcl:f;295~N4?k1vbo8?:182M50j2wen;:50;3xL61e3tdi:;4?:0yK72d51zJ03g=zfk<26=4>{I14f>{ij?k1<7?tH25a?xhe>k0;69m;|la2c<728qC?:l4}o`44?6=9rB8;o5rnc52>5<6sA94}O;>h0qcl87;295~N4?k1vbo97:182M50j2wen:750;3xL61e3tdi;l4?:0yK72d51zJ03g=zfk=h6=4>{I14f>{ij>n1<7?tH25a?xhe?l0;67290:wE=8b:mf=7=83;pD>9m;|la<7<728qC?:l4}o`;7?6=9rB8;o5rnc:7>5<6sA94}O;>h0qcl77;295~N4?k1vbim7:182M50j2wehn750;3xL61e3tdool4?:0yK72d51zJ03g=zfmih6=4>{I14f>{iljn1<7?tH25a?xhcl90;69m;|lg`1<728qC?:l4}ofg1?6=9rB8;o5rnef5>5<6sA94}O;>h0qcjk9;295~N4?k1vbijn:182M50j2wehil50;3xL61e3tdohn4?:0yK72d51zJ03g=zfmnn6=4>{I14f>{ilml1<7?tH25a?xhcm90;69m;|lga1<728qC?:l4}off1?6=9rB8;o5rneg5>5<5sA97}O;>h0qcjj9;296~N4?k1vbikn:181M50j2wehhl50;0xL61e3tdoin4?:3yK72d52zJ03g=zfmon6=4={I14f>{illl1<7vF<7c9~ja`52909wE=8b:m`c5=838pD>9m;|lgb1<72;qC?:l4}ofe1?6=:rB8;o5rned5>5<5sA97}O;>h0qcji9;296~N4?k1vbihn:181M50j2wehkl50;0xL61e3tdojn4?:3yK72d52zJ03g=zfmln6=4>{I14f>{ilol1<7vF<7c9~j`652909wE=8b:ma55=838pD>9m;|lf41<72;qC?:l4}og31?6=:rB8;o5rnd25>5<5sA97}O;>h0qck?9;296~N4?k1vbh>n:181M50j2wei=l50;3xL61e3tdn51zJ03g=zfl:m6=4>{I14f>{im8:1<7?tH25a?xhb980;69m;|lf50<728qC?:l4}og22?6=9rB8;o5rnd34>5<6sA94}O;>h0qck>a;295~N4?k1vbh?m:182M50j2wei51zJ03g=zfl;m6=4={I14f>{im;:1<7?tH25a?xhb:80;69m;|lf63<72;qC?:l4}og13?6=:rB8;o5rnd0;>5<5sA94}O;>h0qck=c;295~N4?k1vbhk4?:0yK72d51zJ03g=zfl9:6=4>{I14f>{im:81<7?tH25a?xhb;=0;6:ec65`57ktJKNv>r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngd b/cpld/XC95144XL/WarpSE.ngd index f06654a..e7352c4 100644 --- a/cpld/XC95144XL/WarpSE.ngd +++ b/cpld/XC95144XL/WarpSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5f55=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857>3HUM_O2>0?;8EZ@TJ5;:245N_GQA844912KTJ^L312<:?DYA[K6:8374A^DPF972601JSK]M<04==>GXNZH7=:06;@]EWG:60730MRH\B=3::==FWOYI0<06;@]EWG:58730MRH\B=02:<=FWOYI0?<1b:C\BVD;::0:245N_GQA875902KTJ^L32?:8EZ@TJ59546OPFR@?0;>720MRH\B=5=<>GXNZH74364A^DPF9?9l2KTJ^LP11]JFP@c3HUM_OQ>1^KAQCbGXNZHT=9QFBTDg?DYA[KU:9RGMUGf8EZ@TJV;=SDLZFe9B[CUEW8=TEO[Id:C\BVDX91UBNXHk;@]EWGY61VCIYKm4A^DPFZ7XAK_Mh6OPFR@\65YNJ\Lo7LQISC]15ZOE]On0MRH\B^01[LDRNm1JSK]M_31\MGSAk2KTJ^LP2^KAQCeGXNZHT8RGMUGa8EZ@TJV?TEO[Ic:C\BVDX>VCIYKm4A^DPFZ1XAK_Mo6OPFR@\DRAENTXL\HEUCQGM>E58DOEn6M=0LGM[JDRN?1H>8CJNc9@60KBFVEIYK=4C9O;?F>JW@H^J?5LE29@HN?BUKVY^ONK\SGWO3>Bf|h6;2:5Kauc?5;7C_XHDOIIQMCE]EMIC6<2OS\L@KEE]@KKUSZHCEX^?>;DZSEKBBLVCXIRHFLD48AZOE]O>0JNBD9:D@HNYNJ\L97KJ;;GF@A1=ALJZ97KH7;GPLIZSDN81L?6IAD09J6>O7:2C:>6G=2:K06>O3:2C>>6G92:K40>OFKZ>0EO[I2:KM<>OINLXYII74IOKWWQGSM:1BB[k4IO]MCAYFFMUIOI<4INc8MJDTW[ojht84IN@VB@0OH\PZN;6BFBSCQ]3=KGHNNH>5COB58HJANKHFj7A^B_YMQ6Z7d3DkacXjrrkljf=JageyZh||inl1?K643G::>6@>7:LFPRIUC?1ECNBFP09L0>IE]O?0CO[IE29LJ@4IR\81[o6^!21305574WE90\<><;Q327>V6::1[=>=4P060?U72;2Z::>5_1618T4>43Y;2>6^=3:R146=W:;90\?=<;Q077>V5=:1[>:=4P3:0?U4>:2Z8?6^<029S7759=;Q60?U27;2Z?=>5_4318T1543Y>=?6^:029S175V1;2Z=<>5_6018T3543YV0::1[;>=4P660?U11;2Z<;>5_7918T2?53Y287]6?3:R;56=W0;90\5;<;Q:57>V??:1[44<4P818T<643Y3:?6^6229S=6587]7:3:R:26=W1>90\LJ;;QCQP61/MIx#LLAO(<:%<=>?01234%#4<2ZN^DAK #AOOZOHJ+*<"5=<612345&J@s*CEJF/51,3456789:;,(<:;QGQMJB=KEA9TRG@B;36*300:3GCv7FFGI864 7b3YOYEBJ5CMI\BVD=:<0FDw4GIFJ915#5:2ZN^DAK:BNH[LIE2>$3?>85MIx9LLAO2<:.m6^FN^@VWLB_j2ZBBRLZSOCNA6=WZL;0]85]A=2=2>TF48:546\N<0394;02:0=UI58596\N<2<6?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;3TFEVXnmiw6;SC\4ZIE]Ok0^LQ>0^MAQCgQ@BTD:?WGXTFW>UDNXH6;SC\7^Y33?c8WR:46VCIYK?4T99WAWKNFHF37YGBERRFG2=SFDUEIY=4TSK;?QTN[]K_I95[RTG`?QUXKEA9TRG@B`9WWZEKCVLXNl5[S^AOOZOHJk1__RZFMDQSAF0<\ZU_B@:4TXRF7>SDN?1^BX]JD`9VW@TXIECJ_n5ZSDP\RLUNJEO87[ML9:TJARYSQYO=7Zo}pRG27>QfzyYNSYGBERRFGZSDN8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA:1SC_:4XNP12>^T\ECI;6V\T^EM@2=_[]U]ON;4X^MMA0=_W]XB:6VPZDPL2>^cjVCon6Vkh^RqmhOi~j1SheQ_rhoW}uca3QncS]|fmWgqwliin2RodRZ|dUknaVvbk8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`9;Ym?4;743Qy86TJRNc8gkr(IfCH?=;blw+Dir|FOTaxv?01227>ei|&KdyyAJ_lw{45679890ocz AnwwK@Yj}q:;<=<6;blw+LVK:{;:7n`{/HRO6wYig}:;<?003g?fhs'E^bah|30?g8gkr(D]cfi2>0?g8gkr(D]cfi2>1?g8gkr(D]cfi2>2?g8gkr(D]cfi2>3?f8gkr(D]cfi2>>e9`jq)K\`gn~1<1d:amp*JSadoy0>0k;blw+IRnelx783j4cov,HQojm{6>2i5lnu-OPlkbz5<5h6mat.NWmhcu4>4o7n`{/MVji`t;07n0ocz LUknaw:>6j1hby!CThofvZ66>2iex"B[ilgq[5Y7Wge<=>?169`jq)K\`gn~R>P0^llp56788;>7n`{/MVji`tX8VUjbi>?0135?fhs'E^bah|P0^]bja6789;::6mat.NWmhcuW9UTmcj?012153=df}%GXdcjr^2\[dhc89:;?n5lnu-OPlkbzV;o7n`{/MVji`tX99;=7n`{/MVji`tX99UTmcj?01223>ei|&F_e`k}_02\[dhc89:;=<94cov,HQojm{U:>7:amp*JSadoyS?010g?fhs'E^bah|P1335?fhs'E^bah|P13]\ekb789::;6mat.NWmhcuW88TSl`k0123541?9;blw+IRnelxT=>QPaof34566?2iex"B[ilgq[45XWhdo<=>?1058gkr(D]cfiQ>3^]bja67898:96mat.NWmhcuW8UTmcj?01222>ei|&F_e`k}_0]\ekb789::=;5lnu-OPlkbzV;TSl`k01236f=df}%GXdcjr^021>ei|&F_e`k}_3]\ekb789:::6mat.NWmhcuW;UTmcj?012253=df}%GXdcjr^0\[dhc89:;>n5lnu-OPlkbzV9:96mat.NWmhcuW:UTmcj?01222>ei|&F_e`k}_2]\ekb789::=;5lnu-OPlkbzV9TSl`k01236f=df}%GXdcjr^621>ei|&F_e`k}_5]\ekb789:::6mat.NWmhcuW=UTmcj?012253=df}%GXdcjr^6\[dhc89:;>n5lnu-OPlkbzV?:96mat.NWmhcuWei|&F_e`k}_4]\ekb789::=;5lnu-OPlkbzV?TSl`k01236f=df}%GXdcjr^421>ei|&F_e`k}_7]\ekb789:::6mat.NWmhcuW?UTmcj?012253=df}%GXdcjr^4\[dhc89:;>n5lnu-OPlkbzV=:96mat.NWmhcuW>UTmcj?01222>ei|&F_e`k}_6]\ekb789::=;5lnu-OPlkbzV=TSl`k01236f=df}%GXdcjr^:21>ei|&F_e`k}_9]\ekb789:::6mat.NWmhcuW1UTmcj?012253=df}%GXdcjr^:\[dhc89:;>n5lnu-OPlkbzV3:96mat.NWmhcuW0UTmcj?01222>ei|&F_e`k}_8]\ekb789::=;5lnu-OPlkbzV3TSl`k012367>?_LzlvZTb{|f0<>1299`jq)K\`gn~RCnde]\eab789:TAua}_Sgpqir;984946mat.NWmhcuWDkohRQnde2345YJpfxT^h}zlu>26;4?3jd#AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1?<>358gkr(D]cfiQBaef\[dbc89:;S@v`r^Pfwpjs4849;6mat.NWmhcuWDkohRQnde2345YJpfxT^h}zlu>1:71?_LzlvZTb{|f0>0=7:amp*JSadoyS@okd^]b`a6789UFtb|PRdqvhq:36;=0ocz LUknawYJimnTSljk0123[H~hzVXnxb{<4<13>ei|&F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6=2?94cov,HQojm{UFmijP_`fg4567WDrd~R\jstnw8285?2iex"B[ilgq[HgclVUjhi>?01]N|jtXZly~`y27>358gkr(D]cfiQBaef\[dbc89:;S@v`r^Pfwpjs4049=6mat.NWmhcuWDkohRQnde2345YXign;<=>=2:amp*JSadoyS@okd^]b`a6789UTmcj?012264=df}%GXdcjr^Ob`aYXimn;<=>P_`lg4566:;1hby!CThofvZKflmUTmij?012\[dhc89::=??4cov,HQojm{UFmijP_`fg4567WVkeh=>?2308gkr(D]cfiQBaef\[dbc89:;SRoad12364463jd#AZfmdp\IdbcWVkoh=>?0^]bja678:897n`{/MVji`tXEhnoSRokd1234ZYffm:;<>?=1:amp*JSadoyS@okd^]b`a6789UTmcj?01616>ei|&F_e`k}_Lcg`ZYflm:;<=QPaof34526:81hby!CThofvZKflmUTmij?012\[dhc89:>>?5lnu-OPlkbzVGjhiQPaef3456XWhdo<=>:1338gkr(D]cfiQBaef\[dbc89:;SRoad123274?_^cm`567>88:7n`{/MVji`tXEhnoSRokd1234ZYffm:;<:<=;blw+IRnelxTAljk_^cg`5678VUjbi>?06316>ei|&F_e`k}_Lcg`ZYflm:;<=QPaof34515:81hby!CThofvZKflmUTmij?012\[dhc89:3>?5lnu-OPlkbzVGjhiQPaef3456XWhdo<=>71338gkr(D]cfiQBaef\[dbc89:;SRoad123=74?_^cm`56718897n`{/MVji`tXEhnoSRokd1234ZYffm:;<4<=2:amp*JSadoyS@okd^]b`a6789UTmcj?01;064=df}%GXdcjr^Ob`aYXimn;<=>P_`lg4577:;1hby!CThofvZKflmUTmij?012\[dhc89;;=??4cov,HQojm{UFmijP_`fg4567WVkeh=>>1308gkr(D]cfiQBaef\[dbc89:;SRoad12254703jd#AZfmdp\[dbc89:;0<>1169`jq)K\`gn~RQnde2345:697;<7n`{/MVji`tXWhno<=>?<00=52=df}%GXdcjr^]b`a67896:?3?9;blw+IRnelxTSljk01238486>2iex"B[ilgq[Zgcl9:;<1<1179`jq)K\`gn~RQnde2345:468<0ocz LUknawYXimn;<=>34?35?fhs'E^bah|P_`fg45674<4::6mat.NWmhcuWVkoh=>?0=4=53=df}%GXdcjr^]b`a67896<2<84cov,HQojm{UTmij?012?<;713jd#AZfmdp\[dbc89:;040>2:amp*JSadoySl`k012356=df}%GXdcjr^cm`56788;:7n`{/QMQWZ@TEVLMh0ocz PNPP[CUJWOLo> Ga149`jq)WG[YTJ^CPFGf1)Lh69<1hby!_OSQ\BVKXNOn9!D`=149`jq)WG[YTJ^CPFGf1)Lh49<1hby!_OSQ\BVKXNOn9!D`;149`jq)WG[YTJ^CPFGf1)Lh2981hby!_OSQ\BVKXG|~8=?5lnu-SKWUXNZGTCxz<1008gkr(XFXXSK]B_Nww77743jd#]A]S^antZcv89:8m6mat.PfbWcv981hby!]egPfuZiu89:;=?5lnu-QacTbyVey<=>?1008gkr(ZllYi|Q`r12347753jd#_kiRds\kw67899:>6mat.PfbWcvWfx;<=>;139`jq)UmoXn}Ra}01231442:amp*Tbn[ozSb|?012;`>ei|&XnjX|heov20>ei|&XnjX|heov\kw6789;>7n`{/SgeQwabf}Ud~=>?0036?fhs'[omYijnu]lv5678;;>7n`{/SgeQwabf}Ud~=>?0236?fhs'[omYijnu]lv5678=;>7n`{/SgeQwabf}Ud~=>?0436?fhs'[omYijnu]lv5678?;>7n`{/SgeQwabf}Ud~=>?0636?fhs'[omYijnu]lv56781i0ocz Thofv969k2iex"Zfmdp?5;eei|&^bah|33?`8gkr(\`gn~YM>6:amp*Rnelx_ORmbp^gr45679>1hby![ilgqPFYdeyUn}=>?0034?fhs']cfiZL_bos[`w789:9=:5lnu-Wmhcu\JUha}Qjq12346703jd#YgbesV@[fkwWl{;<=>;b:amp*RnelxT<<:4cov,PlkbzV:TSl`k012350=df}%_e`k}_1]\ekb789::=85lnu-WmhcuW9UTmcj?012150=df}%_e`k}_1]\ekb789:8n6mat.Vji`tX98>0ocz ThofvZ7XWhdo<=>?149`jq)SadoyS=b:amp*RnelxT><:4cov,PlkbzV8TSl`k012350=df}%_e`k}_3]\ekb789::=85lnu-WmhcuW;UTmcj?0121f>ei|&^bah|P3068gkr(\`gn~R=P_`lg45679<1hby![ilgq[6YXign;<=>>149`jq)SadoyS>QPaof34565:?1hby![ilgq[HgclVUjhi>?01]N|jtXZly~`y2>>348gkr(\`gn~RCnde]\eab789:TAua}_Sgpqir;:78=7n`{/UknawYJimnTSljk0123[H~hzVXnxb{<2<14>ei|&^bah|PM`fg[Zgcl9:;?_^cm`567888;7n`{/UknawYJimnTSljk0123[Zgil9:;=??4cov,PlkbzVGjhiQPaef3456XWhdo<=>>1078gkr(\`gn~RQnde2345:668?0ocz ThofvZYflm:;<=2=>078gkr(\`gn~RQnde2345:46k1hby!aBS]JKG743jd#cL]_HMA[hs89:;=95lnu-mFWYNGKUfyu>?01320>ei|&dI^RG@B^ov|5678;;?7n`{/o@Q[LIEWds<=>?3068gkr(fKXTEBLPmtz345639=1hby!aBS]JKGYj}q:;<=;>4:amp*hEZVCDNRczx12343dei|&dYI^azt^ov|56788>0ocz nSGPkprXe|r;<=>>159`jq)iZLYdyyQbuy2345403jy$ELM\8:ap+LGD[820o~!FABQ1<>et'@KH_>94cr-JKFU?3jy$EBM\189`w*OHKZ;;56m|/HM@W47>3jy$EBM\13:8gv)NGJY946m|/HM@W6>et'@EH_:64cr-JKFU?02ix#DALS858gv)HLJY37n} OEAP5==d{&EOO^<7;bq,KAET;11h"AKCR6;?fu(ZHGH_45ls.PBIFU612ix#_OBCR0:?fu(ZHGH_>74cr-QEHET<01h"\NMBQ6=>et'[KFO^86;bq,VDKD[>30o~!]ALAP<==d{&XDAN]6;bq,VJKD[830o~!]OLAP6<=d{&XDAN]<9:ap+WIJKZ>27n} RNO@W0??0078gv)iGoy`lw?_`lg45679j1h"`@vdpoe|76?2ix#cAyesnb}4YDdbOeSD@Y1g9`w*hH~lxgmt?PN1:8bvd(IZxmn6h|b.CPvcYI8j1mo!NSsd\J57682lxn"O\rg]mkq6788;:7k}m/@QqbZhh|9:;=<64fr`,FDES12lxn"LNCU3:?cue'KKHX?l4fr`,V`gcq9x:?6h|b.Pfea7zVUd~=>?0068bvd(Zlkou=|P_np345669=1mo!]e`fz4wYXg{:;<=<>4:dpf*Tbims;~RQ`r12346d`tj&Xnmiw>r^]lv56788>0j~l Rdcg}4tXWfx;<=>>159ewg)Umhnr=QPos234546<2lxn"\jae{2vZYhz9:;<>94fr`,RUG682lxn"X_A^]bja6789;:7k}m/WRB[Zgil9:;<>0:dpf*PWIVUd~=>?0033?cue'_ZJSRa}0123646:<6h|b.TSEZYhz9:;<8??;gqa+SVFWVey<=>?6028bvd(^YKTSb|?0124e>`tj&dOXLMD139ewg)iL]KHGRQ`r123445?299ewg)i^YK27k}m/oTSE4??139jkgk(IEO;S`{w01235442:klfh)FDL:Taxv?0127=>ohjd%II_\n;hmai*DBZ[;i7damm.@FVWtam2cdn`!MESPqbZH7n2cdn`!MESPqbZH79k1bcoc BDPQvw743`eia"LJRSpq[kis89::=95foco,F@TUz{Uecy>?003b?liee&N_MNEm;hmai*BSIJA:o6g`bl-GPDELzoi0eblb/EVBGNtu9=1bcoc DUC@OwtXff~;<=?>5:klfh)C\HI@~Qaou23447e3`eia"J`uuMF56=ngkg$Hb{{OD]bja6789;?7damm.FlqqIBWhdo<=>?1068mjdj'Me~xBKPaof34565i2cdn`!JS=2=e>ohjd%N_1?1a:klfh)B[585m6g`bl-FW959i2cdn`!JS=6==>ohjd%N_R>>2:klfh)B[V:TSl`k012356=ngkg$I^Q?_^cm`56788;87damm.GP[5YXign;<=>=129jkgk(MZU;SRoad12346743`eia"K\_1]\ekb789:?56g`bl-FWZ76:2cdn`!JS^3\[dhc89:;=>5foco,AVY6WVkeh=>?00;8mjdj'LYT><<4in`n+@UX:VUjbi>?0130?liee&OXS?QPaof3456612cdn`!JS^126>ohjd%N_R=P_`lg45679:1bcoc ER]0[Zgil9:;<<74in`n+@UX<880eblb/DQ\0ZYffm:;<=?<;hmai*CTW=UTmcj?012261=ngkg$I^QBaef\[dbc89:;S@v`r^Pfwpjs484986g`bl-FWZKflmUTmij?012\I}iuW[oxyaz32?07?liee&OXS@okd^]b`a6789UFtb|PRdqvhq:46;>0eblb/DQ\IdbcWVkoh=>?0^O{kwYUmzgx1:11d9jkgk(MZUFmijP_`fg4567WVkeh=>?00d8mjdj'LYTAljk_^cg`5678VUjbi>?0132a>ohjd%N_RCnde]\eab789:TSl`k01225c=ngkg$I^QBaef\[dbc89:;SRoad123547b3`eia"K\_Lcg`ZYflm:;<=QPaof34546n2cdn`!JS^Ob`aYXimn;<=>P_`lg45659880eblb/DQ\UZ7Xg{:;<=?<;hmai*CTWXU:Sb|?012256=ngkg$I^Q^_0]lv5678;;87damm.GP[TY6Wfx;<=><129jkgk(MZUTmij?012?5;743`eia"K\_^cg`5678585=>5foco,AVYXimn;<=>33?30?liee&OXSRokd1234929n2cdn`!JS^cm`56798:0eblb/DQ\ekb789;:==5foco,AVYffm:;<<i;hmai*CTWfx;<=>>f:klfh)B[Vey<=>?2g9jkgk(MZUd~=>?02d8mjdj'LYTc>?016e?liee&OXSb|?0126b>ohjd%N_Ra}01232c=ngkg$I^Q`r12342`a3`eia"K\_np3456>i2cdn`!JT@AH57=ngkg$IYOLK^cm`5678890eblb/DVBGNYffm:;<=?>3:klfh)B\HI@Sl`k0123645?4018mjdj'L^JOFQnne234536;2cdn`!JT@AH[dhc89:;::5foco,Aw>?0018mjdj'@EJOYQnne234576;2cdn`!FO@AW[dhc89:;><=4in`n+LIFK]Ujbi>?01127>ohjd%BCLM[_`lg4567<890eblb/HMBGQYffm:;<=;>3:klfh)NGHI_Sl`k01232456g`bl-JKDESWds<=>?129jkgk(AFKHXRczx12344743`eia"G@ABV\ip~789:9=>5foco,MJGD\Vg~t=>?0230?liee&CDMNZPmtz345639:1bcoc INC@PZkrp9:;<8?<;hmai*OHIJ^Taxv?012556=ngkg$EBOLT^ov|5678>h0eblb/HMAAWT6;2cdn`!FOCGQVZkrp9:;<<:4in`n+LIEM[XTaxv?012250=ngkg$EBLJRS]nq}6789;;=85foco,MJDBZ[Ufyu>?013250=ngkg$EBLJRS]nq}6789;9=85foco,MJDBZ[Ufyu>?013050=ngkg$EBLJRS]nq}6789;?=95foco,MJDBZ[Ufyu>?01020>ohjd%BCOK]R^ov|5678:;?7damm.KLF@TUWds<=>?4068mjdj'@EII_\Pmtz345629=1bcoc IN@FVWYj}q:;<=8>4:klfh)NGKOY^Rczx12342733`eia"G@BDPQ[hs89:;4<:4in`n+LIEM[XTaxv?012:f>ohjd%BC_K^r038mjdj'@EXSK]B_GDg542-Hl250=ngkg$EB]PFRO\BCb6%@d9=85foco,MJUXNZGTJKj>-Hl050=ngkg$EB]PFRO\BCb6%@d?=<5foco,MJUXNZGTJKj=159jkgk(AFYTJ^CPFGf1)Lh6=2cdn`!FOR]EWHYANm8&Ec?>6:klfh)NGZUM_@QIFe0.Mk779?1bcoc INQ\BVKXNOn9!D`>1048mjdj'@EXSK]B_GDg6(Oi9;;>7damm.KLWZ@TEVLMh?#Fn336?liee&CD_RH\M^DE`7+Nf:;>7damm.KLWZ@TEVLMh?#Fn536?liee&CD_RH\M^DE`7+Nf<;>7damm.KLWZ@TEVLMh?#Fn736?liee&CD_RH\M^DE`7+Nf>;>7damm.KLWZ@TEVLMh?#Fn936?liee&CD_RH\M^DE`7+Nf0;:7damm.KLWZ@TEVLMh>?;;hmai*OH[VLXARHId2/Jj433`eia"\JS0c8mjdj'[OX~ko4in`n+WCTz{;97damm.PFWwtXff~;<=?>3:klfh)UMZxySca{01225d=ngkg$Z]O}f`9jkgk(^YKy~<<4in`n+SVFz{Uecy>?0030?liee&\[M|Pnnv34576j2cdn`!aARmvp45?1037?liee&dJ_b{{_omw4566:8>0eblb/oCPkprXff~;<=?<159jkgk(fHYdyyQaou23442e3`eia"`KioNF57=ngkg$bIgaLD]lv5678890eblb/oFjjICXg{:;<=?l;hmai*hCg|~DIi5foco,jAir|FO:h6g`bl-m@jssGL8o7damm.lGkprHM:30eblb/oNGW47?00026>ohjd%e@I]Pnnv34574991bcoc nMFP[jt789;:=6g`bl-mHAUXg{:;<1:klfh)iDMYTc>?00025>ohjd%e@I]Pos23445692cdn`!aLEQ\kw6788>:=6g`bl-mHAUXg{:;<<;>1:klfh)iDMYTc>?00425>ohjd%e@I]Pos23441>3`eia"`ZDR32?liee&d^H^Qaou2344753`eia"`ZDR]mkq6788;:>6g`bl-mQAUXff~;<=?=139jkgk(f\NXSca{01227<=ngkg$b[CN139jkgk(f_GJSRoad123445>2:klfh)i^DKTSb|?012257=ngkg$b[CN_^mq4567:880eblb/oTNEZYhz9:;<>?=;hmai*hQEHUTc>?01626>ohjd%eZ@OP_np345629;1bcoc nWOB[Ziu89:;:<<4in`n+kPJIVUd~=>?0632?liee&d]ALQnne2345753`eia"`YM@]bja6789;:>6g`bl-mRHGXign;<=>=139jkgk(f_GJSl`k01237442:klfh)i^DKTmcj?012557=ngkg$b[CN_`lg4567?01bco} AMG3=>ohjz%J@H?>1:klfv)FDL;TSb|?01326>ohjz%J@H?P_np34576j2cdn~!Lldcq542?013a?lie{&CDMNZ}f:klfv)NGKYT^hoky028mjdt'@EI_R\jae{255=ngky$EBL\_Sgb`|4682cdn~!FOCQ\V`gcq:;<7dams.KLFVYUmhnrSl`k01235==ngky$EBL\_Sgb`|Yffm:;<=?>8:klfv)NGKYT^hoky^cm`5678;;37dams.KLFVYUmhnrSl`k012374>;199jkgu(AFHXS_kndx]bja6789?27dams.KLH5763`ei"G@L1]nq}6789;97dams.KLH5Yj}q:;<=?>2:klfv)NGE:Taxv?012157=ngky$EBB?_lw{4567;880ebl|/HMO4Zkrp9:;<9?=;hmaw*OHD9Ufyu>?017:?lie{&CD@;hmaw*OHD8Uecy>?0031?lie{&CD@ohjz%BC_K^_lw{45679:1bco} INPFUZkrp9:;<?3018mjdt'@EYI\Qbuy234526;2cdn~!FOSGR[hs89:;9<=4in`p+LIUMXUfyu>?01427>ohjz%BC_K^_lw{4567?890ebl|/HMQATYj}q:;<=6>3:klfv)NG[OZS`{w0123=d=ngky$EB\X0008mjdt'@EY[=Qbuy2345743`ei"G@RV2\ip~789::=>5focq,MJTP8Vg~t=>?0330?lie{&CD^Z>Pmtz345649:1bco} INPT4Zkrp9:;<9?=;hmaw*OHZ^:Tbbz?01327>ohjz%BC_Y?_omw45669890ebl|/HMQS5Yig}:;<<<>3:klfv)NG[];Sca{0122745:?6g`br-JKWQ7Wge<=>>5018mjdt'@EY[=Qaou234406;2cdn~!FOSU3[kis89::;l5focq,MJTP9880ebl|/HMQS4Yffm:;<=?<;hmaw*OHZ^;Tmcj?012256=ngky$EB\X1^cm`5678;;87dams.KLVR7Xign;<=><129jkgu(AFX\=Road12341743`ei"G@RV3\ekb789:>=>5focq,MJTP9Vkeh=>?07a8mjdt'@EYiljv149jkgu(AFXnmiwP_`lg45679?1bco} INPfeaXWhdo<=>?1048mjdt'@EYiljv_^cm`5678;;?7dams.KLV`gcqVUd~=>?0078mjdt'@EYiljv_^mq456798?0ebl|/HMQadb~WVey<=>?2078mjdt'@EYiljv_^mq4567;8?0ebl|/HMQadb~WVey<=>?4078mjdt'@EYiljv_^mq4567=8?0ebl|/HMQadb~WVey<=>?689jkgu(AF_;=<5focq,MJS7Wds<=>?139jkgu(AF_;S`{w01235442:klfv)NG\:Taxv?012757=ngky$EB[?_lw{4567=01bco} INW254=ngky$EB[>_omw45669;1bco} INW2[kis89::=l5focq,Hjgc901bco} Ooaf54=ngky$Ccmj_^mq45679;1bco} Ooaf[Ziu89:;=<<4in`p+JhdmVUd~=>?0331?lie{&EeohQPos234556:2cdn~!@nbg\[jt789:?=?5focq,KkebWVey<=>?5008mjdt'FdhiRQ`r12343753`ei"Aacd]\kw6789=:<6g`br-SWZ@TEVLMh7dams.RP[CUJWOLo> Ga1036?lie{&ZXSK]B_GDg6(Oi9;;>7dams.RP[CUJWOLo> Ga1237?lie{&ZXSK]B_GDg6(Oi:8>0ebl|/QQ\BVKXNOn9!D`<159jkgu(XZUM_@QIFe0.Mk26<2cdn~!_S^DPIZ@Al;'Bb8?;;hmaw*VTWOYFSKHk2,Km242ohjz%[_RH\M^DE`7+Nf0;;7dams.RP[CUJWF<ohjz%eNH\]_GQA[Ziu89:;=<94in`p+kDBZ[UM_OQPos234546?2cdn~!aBDPQ[CUEWVey<=>?3c9jkgu(fMceCHm4in`p+kBnfFO:o6g`br-m@lhHM;i0ebl|/oFjjJC412dJHb{{LD2b?kGCg|~GI=?n;oCGkprKM98j7cOKotvOA55b3gKOcxzCE1]LFP@>3gKOcxzCE0c8jDBh}}FN=c:lBkprHMVEIYK74nCGQVZ@TJl1eNH\]_GQA[JDRN01eNH\]_HMAa>hEM[XTEBLPICWE3>hEOVCDNn5aBF]JKGYNJ\L<7cL]_HMAg>hEZVCDNRAMUG68jFGT12dHM^Q@BTDb?kBSIJATJ^Li;oFWEFMXNZHTCO[Ia:lGPDELW@EIj6`KT@AH[LIEW@H^J;5aDhlOAg=iL`dGIRAMUG48jAoiGLh0bIgaOD]LFP@03gNdyyAJc:lGkprHMVEIYK;4nHRO6d=iAYF9SDLZF99mHAUXNZHo7cBKS^DPFZOE]O20bAJ\_HMAa>hKLZUBCOQ@BTDF7>hHM11eCHQ@BTD4?kTFEE]No6`]ALNTAZIE]O=0b_OBUVG`?kTFE\]NSBLZF59mVDU>3gXJ_RAMUG68jWCTj2dYI^QFOCWEAf=iZLYTbbz?013g?kTB[Vddx=>?1048jWIJKZh0b_ABCR]LFP@13gXDAZKm;oPLIRCXGK_M46`ZDR]EWGbhPMVLXNRGMUG:8jbbe}`fo56|nm.@BGQt>3{kf#_OBEO32?wgj'[KFICQbuy2345753{kf#_OBEO]nq}6789;:?6|nm.PBI@HXe|r;<=>>0018vdk(ZHGNBRczx1234476;2xja"\NMDL\ip~789::><=4r`o,VDKBFVg~t=>?00127>tfe&XJAH@Pmtz34566<890~lc R@OFJZkrp9:;<<;>3:pbi*TFELDTaxv?01222456|nm.PBI@HXe|r;<=>=139qeh)UIDOES`{w01237442:pbi*TFELDTaxv?012557=uid%YM@KA_lw{4567?880~lc R@OFJZkrp9:;<5?=;scn+WGJMGUfyu>?01;`?wgj'[KF^hoky068vdk(ZHGYiljv_lw{45679<1ym`!]ALPfeaXe|r;<=>>179qeh)UIDXnmiwPmtz3456688<0~lc R@OQadb~Wds<=>?1035?wgj'[KF^hoky^ov|567888::6|nm.PBIWcflpUfyu>?013053=uid%YM@\jae{\ip~789::8<84r`o,VDKUmhnrS`{w012350723{kf#_OBRdcg}Zkrp9:;>3:pbi*TF[LFTaxv?0122545>3018vdk(ZHYN@Rczx1234426;2xja"\NSDN\ip~789::9<=4r`o,VDUBDVg~t=>?00427>tfe&XJ_HBPmtz34566?890~lc R@QFHZkrp9:;<<6>3:pbi*TF[LFTaxv?0122=446|nm.PBW@JXe|r;<=>;139qeh)UIZOGS`{w01231442:pbi*TF[LFTaxv?012;57=uid%YM^KC_lw{456718:0~lc R@]3[hs89:;=<5}al-QEZ6Xe|r;<=>>109qeh)UIV:Taxv?012154=uid%YMR>Pmtz34564981ym`!]A^2\ip~789:?==5}al-QEZ7Xe|r;<=>>1:pbi*TFW8Ufyu>?01325>tfe&XJS0:pbi*TFW;Ufyu>?0132?wgj'[KT>Rczx12344763{kf#_OP2^ov|5678;;:7ob/SC\6Zkrp9:;<>?>;scn+WGX:Vg~t=>?0533?wgj'[KT?Rczx123447?3038vdk(ZHU8S`{w0123046=109qeh)UIV>Taxv?012054=uid%YMR:Pmtz34563991ym`!]A^7\ip~789::=6|nm.PB[0Yj}q:;<=?>1:pbi*TFW?01025>tfe&XJS8Qbuy23455692xja"\N_4]nq}6789>:<6|nm.PB[3Yj}q:;<=?>;scn+WGX>Vg~t=>?0032?wgj'[KT:Rczx12347763{kf#_OP6^ov|5678:;:7ob/SC\2Zkrp9:;<9??;scn+WGX?Vg~t=>?0038vdk(ZHU?4028vdk(ZHU3S`{w012354=uid%YMR6Pmtz34566981ym`!]A^:\ip~789:9=<5}al-QEZ>Xe|r;<=><109qeh)UIV2Taxv?012754=uid%YMR6Pmtz34562981ym`!]A^:\ip~789:===5}al-QEZ?Xe|r;<=>>1:pbi*TFW0Ufyu>?01325>tfe&XJS4Qbuy23454692xja"\N_8]nq}67899:=6|nm.PB[139qeh)U[VLXARHId0/Jj450~lc RR]EWHYANm;&Ec?=159qeh)U[VLXARHId0/Jj456<2xja"\\_GQN[C@c9$Ce=9?<;scn+WUXNZGTJKj>-Hl156=uid%Y_RH\M^DE`4+Nf:;87ob/SQ\BVKXNOn:!D`;129qeh)U[VLXARHId0/Jj0743{kf#_]PFRO\BCb6%@d==>5}al-QWZ@TEVLMh<#Fn630?wgj'[YTJ^CPFGf2)Lh?9:1ym`!]S^DPIZ@Al8'Bb4h4r`o,VVYA[DUMJi<>2:pbi*TTWOYFSKHk2,Km56=uid%Y_RH\M^DE`7+Nf8;?7ob/SQ\BVKXNOn9!D`>0068vdk(ZZUM_@QIFe0.Mk769=1ym`!]S^DPIZ@Al;'Bb<<>4:pbi*TTWOYFSKHk2,Km56733{kf#_]PFRO\BCb5%@d:8<:4r`o,VVYA[DUMJi<"Io3656=uid%Y_RH\M^DE`7+Nf;;87ob/SQ\BVKXNOn9!D`<129qeh)U[VLXARHId3/Jj1743{kf#_]PFRO\BCb5%@d>=>5}al-QWZ@TEVLMh?#Fn730?wgj'[YTJ^CPFGf1)Lh09:1ym`!]S^DPIZ@Al;'Bb5?<;scn+WUXNZGTJKj=-Hl:b>tfe&XXSK]B_GDg744159qeh)U[VLXARHId2/Jj466<2xja"\\_GQN[C@c;$Ce=tfe&XXSK]B_GDg7(Oi?890~lc RR]EWHYANm9&Ec6>3:pbi*TTWOYFSKHk3,Km=c=uid%Y_RH\M^Mvp=773{kf#_]PFRO\Kpr?98:0~lc RR]EWHYH}}29n6|nm.PfbAiim890~lc RddGkkcXWfx;<=>>4:pbi*TbnMeeiRQ`r12344733{kf#_kiDnlf[Ziu89:;><:4r`o,V``CggoTSb|?012051=uid%YikJ`nd]\kw6789>j7ob/SgeVDUf3{kf#_kiRdsf?wgj'[om^h\yoa26>tfe&Xnj_k~_`lg45679:1ym`!]egPfuZgil9:;<tfe&XnjX|heov21>tfe&XnjX|heov\ekb789:::6|nm.PfbPt`mg~Tmcj?012253=uid%Yik[}gdlw[dhc89:;>7;scn+kEF[8:0~lc nBCP[kis89::=<5}al-mGDUXff~;<=?>9:pbi*hK_L;:<6|nm.lOS@Yffm:;<=?>;scn+kJPMVkeh=>?0032?wgj'gF\IRoad12347763{kf#cBXE^cm`5678:;:7ob/oNTAZgil9:;<994r`o,jJC?3{kf#cAJ199qeh)iGL837ob/oPBW<=uid%e^L]>9:pbi*hUIZ827ob/oPBW6?n6|nm.lQKHET9k1ym`!aRNOTA4?<109qeh)i]^OTmcj?0127<>~d1<:;ta?>;ya:1423pe~z==>"11:8|ljnfqe=55wloz\eprhW9Usho!lsu,{ffbzHIz:nnh4@Az263<2=9:>i3;0b<=8:79'567=9;20q^;<:01:><2=9:>i72=:;6>4>35`3f00_8=541297?74"3:3>o>6F:7:tW1g<7280:6>om{R77>45>20>1=>:m0c79f5?53-;9976=;W300?4|}1>1=6{75;28y!c62=n87);j:19'1f<3l<1/9i488:`263<72=h1?>:531dxL4443-;:j7?=6:X77?5|?32157s$3da94?=h<>0;66a>o4k:0;66a>o4n=0;66a>i313:17b=ja;29?l5dk3:17b=j6;29?j5c:3:17b=k0;29?l3f2900e;>50;9j7a0=831d?n;50;9l7f1=831b?ik50;9l7`>=831b?km50;9l7c0=831d?h:50;9j0g<722c?97>5;n1af?6=3f9h<7>5;h1f4?6=3`9hi7>5;n1e4?6=3f9o47>5;n1f6?6=3f9m47>5;n1ge?6=3f9oo7>5;h1ea?6=3f9hm7>5;nge>5<#m00ni6`j8;28?jcc290/i44je:lfhb03807bh8:18'a<5<#m00ni6`j8;68?j`2290/i44je:lfhb03<07bh<:18'a<5<#m00ni6`j8;:8?j`6290/i44je:lfhb03k07bkl:18'a<5<#m00:j6`j8;28?l7b290/i44>f:lfhb03807d?l:18'a<<6n2dn47=4;h3b>5<#m00:j6`j8;68?l7>290/i44>f:lfhb03<07d?8:18'a<<6n2dn4794;h35>5<#m00:j6`j8;:8?l72290/i44>f:lfhb03k07d?<:18'a<<6n2dn47l4;h31>5<#m00:j6`j8;a8?l76290/i44>f:lfhb03o07d<8:18'a<<6n2dn47h4;h05>5<#m00:j6`j8;33?>o5=3:1(h751g9ma=<6921b>94?:%g:>4`f:lf5$d;95c=im10:965f1c83>!c>28l0bh651798m476290/i44>119ma=<732c:4773go36<54i02`>5<#m00:==5ae981?>d6:j0;6<4?:1y'54`=>11C=?l4H000?!74;3;8m6*8f;6g0>i1?3:1(<=<:808?xd6:m0;6<4?:1y'54`=9;>0D<"6;:03i6*8f;6g0>i>;3:1(<=<:808?xd6=90;684=:7y'54`=>:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>5683>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<7k0;684=:7y'54`=>:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>6g83>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<7:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>7683>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<7:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>7g83>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<7:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>8683>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<7:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>5b83>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<790;684=:7y'54`=>:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>6583>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<74=:5y'54`=>;1C=?l4H000?!112?1/;k4;d59j01<722c>:7>5;nga>5<0(:h54e68k`d=831bil4?::k62?6=3`?96=44i5694?=e>=0;6;4?:1y'565=><1b;94?:%307?1332c?h7>5$010>1b<3`>n6=4+12190`=5$010>46>32e2>7>5$010><4<3th:>h4?:083>5}#9:914h5`9283>!74;33976s|5783>7}Y=?16:9484:p01<72;qU89526587a>{t=;0;6?uQ539>21<6801vho50;0xZ`g<5?>1==l4}rga>5<5sWoi708;:808yv75<3:1>v394;6g?875m3387psm1;295?6=8r.:>?4;;%32b?0?3-;8?7?5$010><4<3tynn7>52z\ff>;bj3oi7p}:6;296~X2>27nn7;9;|q70?6=:rT?863jb;67?xu52908w0km:dc89`d==;16=788;%316?31}#98l1:?5G13`8L4443-==6;5+7g87`1=n<=0;66g:6;29?jce2900nhl50;796?0|,8;m6;:4$6d90a2>o2>3:17d;=:188m12=831i:94?:783>5}#9:91:85f7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>2d83>4<729q/=>=58d9l=6<72-;8?77=;:p13<72;qU9;5265840>{t<=0;6?uQ459>21<3m2wx9?4?:3y]17=:>=0:<45rsdc94?4|Vlk01;:511`8yvce2909wSkm;<47><452z?50?2c34;9i77<;|a5?6=93:12387?!76n3<37)?<3;30e>"0n3>o86a97;29 45420807p}jb;296~Xbj27nn7km;|q62?6=:rT>:63jb;75?xu3<3:1>vP;4:?ff?233ty96=4<{`g<5lh19?521;44?!75:3?0qpl>f783>6<52=q/=0chl50;9jad<722c>:7>5;h71>5<1<75m6583>3<729q/=>=5649j31<72-;8?79;;:k7`?6=,89869j4;h6f>5<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`2b4<72:0969u+10d927=O9;h0D<<<;%55>3=#?o0?h95f4583>>o2>3:17bkm:188f`d=83?1>78t$03e>32<,>l18i:4od`94?=nmh0;66g:6;29?l352900e9:50;9a21<72?0;6=u+121920=n?=0;6)?<3;57?>o3l3:1(<=<:5f8?l2b290/=>=54d98m46e290/=>=511`8?l7713:1(<=<:02:?>i>:3:1(<=<:808?xd6:l0;6<4?:1y'565=0l1d5>4?:%307??532wx9;4?:3y]13=:>=0<86s|4583>7}Y<=16:94;e:p17<72;qU9?5265824<=z{lk1<751;294~"6:;0?7)?>f;4;?!74;3;8m6*8f;6g0>i1?3:1(<=<:808?xubj3:1>vPjb:?ff?ce3ty>:7>52z\62>;bj3?=7p};4;296~X3<27nn7:;;|q1>5<4s4oi6ho4=d`917=:93<<7)?=2;78yxd4:k0;684=:7y'54`=>:1C=?l4H000?!1a2=n?7W?>e;3x0c>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~2a2tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl=8783>6<52=q/=6?49{%32b?033-=m69j;;[32a?7|:7>5;h71>5<1<75m6583>3<729q/=>=5649Y54c=9r>m6pg84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo<92;291?4=>r.:=k493:J26g=O9;90(:h54e68^47b28q><7sf4e83>>o2:3:17d:;:188m00=831dio4?::`ff?6=>3:132851>\69l0:w8>5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb013>5<6290;w)?<3;30e>i>:3:1(<=<:808?xubj3:1>vPjb:?ff??53ty>:7>52z\62>;bj3=?7p}:2;296~X2:27nn7??9:p0a<72;qU8i52ec87`>{t<=0;6?uQ459>ag<3m2wx:54?:3y>ag<68k16=>>5939~yg5e:3:197<56z&25c<1;2B:>o5G1318 2`=0V56;294~"6;:0=96T>1d8206=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj89;6=4>:183!74;3;8m6a62;29 45420807p}jb;296~Xbj27nn77=;|q62?6=:rT>:63jb;57?xu2:3:1>vP:2:?ff?7712wx8i4?:3y]0a=:mk0?h6s|4583>7}Y<=16io4;e:p2=<72;q6io4>0c9>566=1;1vqo=62;291?4=>r.:=k493:J26g=O9;90(:856:&4b?2c<2P:=h4>{429yl2c2900e8<50;9j01<722c>:7>5;nga>5<o3l3:1(<=<:5f8?l2b290/=>=54d98m46e290/=>=511`8?l7713:1(<=<:02:?>i>:3:1(<=<:808?xd6;90;6<4?:1y'565=9:k0c4<50;&276<>:21vhl50;0xZ`d<5lh15?5rs4494?4|V<<01hl5759~w04=838pR8<4=d`955?o6=4={_6g?8ce2=n0q~:;:181[2334oi69k4}r4;>5<5s4oi6<>m;<304??53twi?>h50;796?0|,8;m6;=4H00a?M75;2.<7sf7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>3183>4<729q/=>=512c8k<4=83.:?>462:9~w`d=838pRhl4=d`9=7=z{<<1<75<5sW>o70km:5f8yv232909wS:;;1c46e34;8<77=;|a714=8391>7:t$03e>34<@88i7E?=3:&4b?2c<2P:=h4>{429yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l0:w8>5}nga>5<>o2:3:17d:;:188f32=83<1<7>t$010>335<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`11b33S;:i7?t4g8~m12=831b9;4?::mff?6=3koi6=4::385!76n35<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c31a?6=93:1328;a>i>;3:1(<=<:808?xu2>3:1>vP:6:?50?133ty?87>52z\70>;1<3>n7p}:2;296~X2:27=87??9:pad<72;qUil5265824g=z{lh1<745f3-=m69j;;n44>5<#9:915?54}rga>5<5sWoi70km:d`8yv312909wS;9;00?6=4={_67?8ce2=>0q~<50;1x9`d=mh16io4:2:?2>31<,889685r}c0;b?6=;3818v*>1g856>N6:k1C=?=4$6d90a2:7>5;nga>5<0(:h54e68^47b28q?j7s`ec83>>obi3:17d;9:188m04=831b894?::`50?6=>3:132851>\69l0:w9h5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb00f>5<6290;w)?<3;:f?j?4290/=>=59398yv312909wS;9;<47>22?6=4={_67?8032=o0q~;=:181[35346;|qfe?6=:rTnm6394;33f>{tmk0;6?uQec9>21<>:2wx=?:50;0x932=t$001>1=#98l1:55+121956g<,>l18i:4o7594?"6;:02>65rsd`94?4|Vlh01hl5ec9~w00=838pR884=d`913=z{=>1<7ag5<42;0?w)?>f;41?M75j2B:>>5+7g87`1=]98o1=v:i:|k70?6=3`?=6=44od`94?=emk0;684=:7y'54`=>=1/;k4;d59Y54c=9r>m6pajb;29?lcf2900e8850;9j17<722c?87>5;c47>5<1290;w)?<3;46?_76m3;p8k4ri6694?"6;:0<865f4e83>!74;3>o76g;e;29 4542=o07d??b;29 45428:i76g>0883>!74;3;;565`9383>!74;33976sm13g94?7=83:p(<=<:9g8k<5=83.:?>462:9~w00=838pR884=76931=z{=>1<71==74}rgb>5<5sWoj708;:02a?xubj3:1>vPjb:?50??53ty:>94?:3y>21<3l27:>h463:~f4<7280;6=u+13090>"69o0=46*>32827d=#?o0?h95`6683>!74;33976s|ec83>7}Ymk16io4jb:p13<72;qU9;52ec862>{t<=0;6?uQ459>ag<3<2wx>7>53z?ff?cf34oi68<4=0853>"6:;0>7psm28794?5=:3>p(\69l0:w9h5}h67>5<>dbj3:197<56z&25c<1<2.?6=44b7694?0=83:p(<=<:778^47b28q?j7sf7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>2d83>4<729q/=>=58d9l=6<72-;8?77=;:p13<72;qU9;5265840>{t<=0;6?uQ459>21<3m2wx9?4?:3y]17=:>=0:<45rsdc94?4|Vlk01;:511`8yvce2909wSkm;<47><452z?50?2c34;9i77<;|a5?6=93:12387?!76n3<37)?<3;30e>"0n3>o86a97;29 45420807p}jb;296~Xbj27nn7km;|q62?6=:rT>:63jb;75?xu3<3:1>vP;4:?ff?233ty96=4<{`g<5lh19?521;44?!75:3?0qpl<9083>6<52=q/=6?49{%32b?033-=m69j;;[32a?7|=90vchl50;9jad<722c>:7>5;h71>5<1<75m6583>3<729q/=>=5649Y54c=9r?;6pg84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo<;d;291?4=>r.:=k493:J26g=O9;90(:h54e68^47b28q><7sf4e83>>o2:3:17d:;:188m00=831dio4?::`ff?6=>3:132851>\69l0:w8>5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb013>5<6290;w)?<3;30e>i>:3:1(<=<:808?xubj3:1>vPjb:?ff??53ty>:7>52z\62>;bj3=?7p}:2;296~X2:27nn7??9:p0a<72;qU8i52ec87`>{t<=0;6?uQ459>ag<3m2wx:54?:3y>ag<68k16=>>5939~yg42:3:197<56z&25c<1;2B:>o5G1318 2`=0V56;294~"6;:0=96T>1d8206=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj89;6=4>:183!74;3;8m6a62;29 45420807p}jb;296~Xbj27nn77=;|q62?6=:rT>:63jb;57?xu2:3:1>vP:2:?ff?7712wx8i4?:3y]0a=:mk0?h6s|4583>7}Y<=16io4;e:p2=<72;q6io4>0c9>566=1;1vqo<:7;291?4=>r.:=k493:J26g=O9;90(:h54e68^47b28q><7sf4e83>>o2:3:17d:;:188m00=831dio4?::`ff?6=>3:132851>\69l0:w8>5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb013>5<6290;w)?<3;30e>i>:3:1(<=<:808?xubj3:1>vPjb:?ff??53ty>:7>52z\62>;bj3=?7p}:2;296~X2:27nn7??9:p0a<72;qU8i52ec87`>{t<=0;6?uQ459>ag<3m2wx:54?:3y>ag<68k16=>>5939~yg50k3:197<56z&25c<1;2B:>o5G1318 2`=0V56;294~"6;:0=96T>1d8206=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj89;6=4>:183!74;3;8m6a62;29 45420807p}jb;296~Xbj27nn77=;|q62?6=:rT>:63jb;57?xu2:3:1>vP:2:?ff?7712wx8i4?:3y]0a=:mk0?h6s|4583>7}Y<=16io4;e:p2=<72;q6io4>0c9>566=1;1vqo:n6;291?4=>r.:=k493:J26g=O9;90(:h54e68^47b28q><7sf4e83>>o2:3:17d:;:188m00=831dio4?::`ff?6=>3:132851>\69l0:w8>5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb013>5<6290;w)?<3;30e>i>:3:1(<=<:808?xubj3:1>vPjb:?ff??53ty>:7>52z\62>;bj3=?7p}:2;296~X2:27nn7??9:p0a<72;qU8i52ec87`>{t<=0;6?uQ459>ag<3m2wx:54?:3y>ag<68k16=>>5939~yg44n3:197<56z&25c<1;2B:>o5G1318 20=>2.<7sf7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>3183>4<729q/=>=512c8k<4=83.:?>462:9~w`d=838pRhl4=d`9=7=z{<<1<75<5sW>o70km:5f8yv232909wS:;;1c46e34;8<77=;|a614=83?1>78t$03e>35<@88i7E?=3:&42?0<,>l18i:4Z03f>4}283wb8i4?::k66?6=3`>?6=44i4494?=hmk0;66ljb;292?6=8r.:?>495:X25`<6s<:1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<=?:182>5<7s-;8?7?3:1>vP:6:?ff?133ty>>7>52z\66>;bj3;;56s|4e83>7}Y{t>10;6?u2ec824g=:9::15?5r}c3g`?6=;3818v*>1g856>N6:k1C=?=4$6d90a21<75f5783>>ibj3:17okm:186>7<1s-;:j78;;%5e>1b33foi6=44idc94?=n=?0;66g:2;29?l232900n;:50;494?6|,8986;;4i6694?"6;:0<865f4e83>!74;3>o76g;e;29 4542=o07d??b;29 45428:i76g>0883>!74;3;;565`9383>!74;33976sm13g94?7=83:p(<=<:9g8k<5=83.:?>462:9~w00=838pR884=76931=z{=>1<71==74}rgb>5<5sWoj708;:02a?xubj3:1>vPjb:?50??53ty:>94?:3y>21<3l27:>h463:~f4<7280;6=u+13090>"69o0=46*>32827d=#?o0?h95`6683>!74;33976s|ec83>7}Ymk16io4jb:p13<72;qU9;52ec862>{t<=0;6?uQ459>ag<3<2wx>7>53z?ff?cf34oi68<4=0853>"6:;0>7psm1e194?5=:3>p(o3<3:17d;9:188k`d=831iio4?:481>3}#98l1:95+7g87`1=hmk0;66gja;29?l312900e8<50;9j01<722h=87>56;294~"6;:0=96g84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo?jb;297?4=>7>5;h67>5<1<7850;2x 4542??0e::50;&276<0<21b8i4?:%307?2c32c?i7>5$010>1c<3`;;n7>5$010>46e32c:<44?:%307?77121d5?4?:%307??532wi=?k50;394?6|,89865k4o8194?"6;:02>65rs4494?4|V<<01;:5759~w12=838pR9:4=7690`=z{<81<7m;|qff?6=:rTnn6394;;1?xu6:=0;6?u26587`>;6:l02?6srb083>4<729q/=?<54:&25c<102.:?>4>3`9'3c<3l=1d::4?:%307??532wxio4?:3y]ag=:mk0nn6s|5783>7}Y=?16io4:6:p01<72;qU8952ec870>{t:3:1?v3jb;gb?8ce2<801<497:&267<23twi>i<50;196?2|,8;m6;<4H00a?M75;2.6?49{%32b?033-=m69j;;[32a?4|=7s`ec83>>obi3:17d;9:188m04=831b894?::`50?6=>3:132851>\69l09w9h5508~m22=83.:?>484:9j0a<72-;8?7:k;:k7a?6=,89869k4;h33f?6=,8986<>m;:k24<<72-;8?7??9:9l=7<72-;8?77=;:a57c=83;1<7>t$010>=c328:6>=z{<<1<718h5rs4094?4|V<801;:511;8yvcf2909wSkn;<47>46e3tynn7>52z\ff>;1<3397p}>2583>7}:>=0?h63>2d8:7>{zj80;6<4?:1y'574=<2.:=k498:&276<6;h1/;k4;d59l22<72-;8?77=;:pag<72;qUio52ec8ff>{t=?0;6?uQ579>ag<2>2wx894?:3y]01=:mk0?86s|2;297~;bj3oj70km:40894<1?2.:>?4:;|a63?=8391>7:t$03e>34<@88i7E?=3:&4b?2c<2P:=h4>{5d9yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l0:w9h5}nga>5<>o2:3:17d:;:188f32=83<1<7>t$010>335<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`1g4<72:0969u+10d927=O9;h0D<<<;%5e>1b33S;:i7xibj3:17dkn:188m00=831b9?4?::k70?6=3k7W?>e;0x0c<293wb;94?:%307?1332c?h7>5$010>1b<3`>n6=4+12190`=5$010>46>32e2>7>5$010><4<3th:>h4?:083>5}#9:914h5`9283>!74;33976s|5783>7}Y=?16:9484:p01<72;qU89526587a>{t=;0;6?uQ539>21<6801vho50;0xZ`g<5?>1==l4}rga>5<5sWoi708;:808yv75<3:1>v394;6g?875m3387psm1;295?6=8r.:>?4;;%32b?0?3-;8?7?5$010><4<3tynn7>52z\ff>;bj3oi7p}:6;296~X2>27nn7;9;|q70?6=:rT?863jb;67?xu52908w0km:dc89`d==;16=788;%316?31}#98l1:?5G13`8L4443-=m69j;;[32a?7|5;cga>5<22;0=w)?>f;47?!1a2=n?7W?>e;3x0c>o2>3:17d;=:188m12=831i:94?:783>5}#9:91:85U10g95~2a2tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:03i6a63;29 45420807p}:6;296~X2>27=879;;|q70?6=:rT?86394;6f?xu2:3:1>vP:2:?50?7712wxil4?:3y]ad=:>=0::182>5<7s-;9>7:4$03e>3><,8986<=n;%5e>1b33f<<6=4+1219=7=5<5sW>?70km:568yv4=839p1hl5e`9>ag<2:27:6;94$001>0=zuk9;97>53;090~"69o0=>6F>2c9K575<,>l18i:4Z03f>4}3n3wb894?::k62?6=3foi6=44bd`94?3=:30V56;294~"6;:0=96T>1d821`=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj88n6=4>:183!74;32n7b7<:18'565=1;10q~;9:181[31345<5sW>?708;:5g8yv352909wS;=;<47>46>3tynm7>52z\fe>;1<3;;n6s|ec83>7}Ymk16:9462:p572=838p1;:54e9>57c=1:1vqo?50;394?6|,889695+10d92==#9:91=>o4$6d90a2328:6>=z{lh1<701hl5459~w7<72:q6io4ja:?ff?3534;1::5+13091>{zj;=?6=4<:387!76n3<97E?=b:J266=#?o0?h95U10g95~2a2tc?87>5;h75>5<0<52?q/=xibj3:17dkn:188m00=831b9?4?::k70?6=3k7W?>e;3x0c>1<7*>32840>=no3m3:1(<=<:5g8?l77j3:1(<=<:02a?>o6800;6)?<3;33=>=h1;0;6)?<3;;1?>{e9;o1<7?50;2x 45421o0c4=50;&276<>:21v8850;0xZ00<5?>1;95rs5694?4|V=>01;:54d9~w04=838pR8<4=76955?;2wvn<4?:083>5}#9;8186*>1g85<>"6;:0:?l5+7g87`1=h>>0;6)?<3;;1?>{tmk0;6?uQec9>ag:6s|4583>7}Y<=16io4;4:p6?6=;r7nn7kn;04<580=;6*>2386?x{e;931<7=52;6x 47a2?80D<"0n3>o86T>1d821`=u`>?6=44i4494?=hmk0;66ljb;291?4=>r.:=k494:&4b?2c<2P:=h4>{5d9yjce2900eho50;9j13<722c>>7>5;h67>5<1<7850;2x 4542??0Vo3l3:1(<=<:5f8?l2b290/=>=54d98m46e290/=>=511`8?l7713:1(<=<:02:?>i>:3:1(<=<:808?xd6:l0;6<4?:1y'565=0l1d5>4?:%307??532wx9;4?:3y]13=:>=0<86s|4583>7}Y<=16:94;e:p17<72;qU9?5265824<=z{lk1<751;294~"6:;0?7)?>f;4;?!74;3;8m6*8f;6g0>i1?3:1(<=<:808?xubj3:1>vPjb:?ff?ce3ty>:7>52z\62>;bj3?=7p};4;296~X3<27nn7:;;|q1>5<4s4oi6ho4=d`917=:93<<7)?=2;78yxd5?h0;6>4=:5y'54`=>;1C=?l4H000?!1a2=n?7W?>e;3x0c1<75f5783>>ibj3:17okm:186>7<1s-;:j78;;%5e>1b33S;:i7?t4g8~k`d=831bil4?::k62?6=3`?96=44i5694?=e>=0;6;4?:1y'565=><1Q=xo0<3:1(<=<:668?l2c290/=>=54e98m1c=83.:?>4;e:9j55d=83.:?>4>0c98m46>290/=>=511;8?j?5290/=>=59398yg75m3:1=7>50z&2765$010><4<3ty>:7>52z\62>;1<3=?7p};4;296~X3<27=87:j;|q66?6=:rT>>6394;33=>{tmh0;6?uQe`9>21<68k1vhl50;0xZ`d<5?>15?5rs007>5<5s4<5:183!75:3>0(=59398yvce2909wSkm;`d;62?=0(<<=:49~yg54m3:1?7<54z&25c<1:2B:>o5G1318 2`=0V5;h75>5<>d1<3:1:7>50z&276<1=2P:=h4>{429yl13290/=>=57598m1b=83.:?>4;d:9j0`<72-;8?7:j;:k24g<72-;8?7??b:9j55?=83.:?>4>0898k<4=83.:?>462:9~f44b290:6=4?{%307?>b3f386=4+1219=7=>0q~:;:181[23345<5sW?9708;:02:?xubi3:1>vPja:?50?77j2wxio4?:3y]ag=:>=02>6s|13694?4|5?>18i5213g9=6=zuk;1<7?50;2x 4452=1/=462:9~w`d=838pRhl4=d`9ag=z{<<1<76}:mk0nm63jb;71?87=>>1/=?<55:~f63f29086?4;{%32b?053A;9n6F>229'3c<3l=1Q=xo3<3:17d;9:188k`d=831iio4?:481>3}#98l1:95+7g87`1=]98o1=v;?:|mff?6=3`oj6=44i4494?=n=;0;66g;4;29?g03290=6=4?{%307?023S;:i7?t518~m22=83.:?>484:9j0a<72-;8?7:k;:k7a?6=,89869k4;h33f?6=,8986<>m;:k24<<72-;8?7??9:9l=7<72-;8?77=;:a57c=83;1<7>t$010>=c328:6>=z{<<1<718h5rs4094?4|V<801;:511;8yvcf2909wSkn;<47>46e3tynn7>52z\ff>;1<3397p}>2583>7}:>=0?h63>2d8:7>{zj80;6<4?:1y'574=<2.:=k498:&276<6;h1/;k4;d59l22<72-;8?77=;:pag<72;qUio52ec8ff>{t=?0;6?uQ579>ag<2>2wx894?:3y]01=:mk0?86s|2;297~;bj3oj70km:40894<1?2.:>?4:;|a0g4=8391>7:t$03e>34<@88i7E?=3:&4b?2c<2P:=h4>{429yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l0:w8>5}nga>5<>o2:3:17d:;:188f32=83<1<7>t$010>335<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`7ea<72:0969u+10d927=O9;h0D<<<;%5e>1b33S;:i7?t518~m12=831b9;4?::mff?6=3koi6=4::385!76n35<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c31a?6=93:1328;a>i>;3:1(<=<:808?xu2>3:1>vP:6:?50?133ty?87>52z\70>;1<3>n7p}:2;296~X2:27=87??9:pad<72;qUil5265824g=z{lh1<745f3-=m69j;;n44>5<#9:915?54}rga>5<5sWoi70km:d`8yv312909wS;9;00?6=4={_67?8ce2=>0q~<50;1x9`d=mh16io4:2:?2>31<,889685r}c6a2?6=;3818v*>1g856>N6:k1C=?=4$6d90a2:7>5;nga>5<0(:h54e68^47b28q><7s`ec83>>obi3:17d;9:188m04=831b894?::`50?6=>3:132851>\69l0:w8>5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb00f>5<6290;w)?<3;:f?j?4290/=>=59398yv312909wS;9;<47>22?6=4={_67?8032=o0q~;=:181[35346;|qfe?6=:rTnm6394;33f>{tmk0;6?uQec9>21<>:2wx=?:50;0x932=t$001>1=#98l1:55+121956g<,>l18i:4o7594?"6;:02>65rsd`94?4|Vlh01hl5ec9~w00=838pR884=d`913=z{=>1<7ag5<42;0?w)?>f;41?M75j2B:>>5+7g87`1=]98o1=v;?:|k70?6=3`?=6=44od`94?=emk0;684=:7y'54`=>=1/;k4;d59Y54c=9r?;6pajb;29?lcf2900e8850;9j17<722c?87>5;c47>5<1290;w)?<3;46?_76m3;p9=4ri6694?"6;:0<865f4e83>!74;3>o76g;e;29 4542=o07d??b;29 45428:i76g>0883>!74;3;;565`9383>!74;33976sm13g94?7=83:p(<=<:9g8k<5=83.:?>462:9~w00=838pR884=76931=z{=>1<71==74}rgb>5<5sWoj708;:02a?xubj3:1>vPjb:?50??53ty:>94?:3y>21<3l27:>h463:~f4<7280;6=u+13090>"69o0=46*>32827d=#?o0?h95`6683>!74;33976s|ec83>7}Ymk16io4jb:p13<72;qU9;52ec862>{t<=0;6?uQ459>ag<3<2wx>7>53z?ff?cf34oi68<4=0853>"6:;0>7psm41394?5=:3>p(\69l0:w8>5}h67>5<>dbj3:197<56z&25c<1<2.?6=44b7694?0=83:p(<=<:778^47b28q><7sf7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>2d83>4<729q/=>=58d9l=6<72-;8?77=;:p13<72;qU9;5265840>{t<=0;6?uQ459>21<3m2wx9?4?:3y]17=:>=0:<45rsdc94?4|Vlk01;:511`8yvce2909wSkm;<47><452z?50?2c34;9i77<;|a5?6=93:12387?!76n3<37)?<3;30e>"0n3>o86a97;29 45420807p}jb;296~Xbj27nn7km;|q62?6=:rT>:63jb;75?xu3<3:1>vP;4:?ff?233ty96=4<{`g<5lh19?521;44?!75:3?0qpl;0383>6<52=q/=xo3<3:17d;9:188k`d=831iio4?:481>3}#98l1:95+7g87`1=]98o1=v;?:|mff?6=3`oj6=44i4494?=n=;0;66g;4;29?g03290=6=4?{%307?023S;:i7?t518~m22=83.:?>484:9j0a<72-;8?7:k;:k7a?6=,89869k4;h33f?6=,8986<>m;:k24<<72-;8?7??9:9l=7<72-;8?77=;:a57c=83;1<7>t$010>=c328:6>=z{<<1<718h5rs4094?4|V<801;:511;8yvcf2909wSkn;<47>46e3tynn7>52z\ff>;1<3397p}>2583>7}:>=0?h63>2d8:7>{zj80;6<4?:1y'574=<2.:=k498:&276<6;h1/;k4;d59l22<72-;8?77=;:pag<72;qUio52ec8ff>{t=?0;6?uQ579>ag<2>2wx894?:3y]01=:mk0?86s|2;297~;bj3oj70km:40894<1?2.:>?4:;|a043=8391>7:t$03e>34<@88i7E?=3:&42?0<,>l18i:4Z03f>4}283wb894?::k62?6=3foi6=44bd`94?3=:30V56;294~"6;:0=96T>1d8206=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj88n6=4>:183!74;32n7b7<:18'565=1;10q~;9:181[31345<5sW>?708;:5g8yv352909wS;=;<47>46>3tynm7>52z\fe>;1<3;;n6s|ec83>7}Ymk16:9462:p572=838p1;:54e9>57c=1:1vqo?50;394?6|,889695+10d92==#9:91=>o4$6d90a2328:6>=z{lh1<701hl5459~w7<72:q6io4ja:?ff?3534;1::5+13091>{zj=826=4<:387!76n3<97E?=b:J266=#??0=7)9i:5f7?_76m3;p9=4ri5694?=n=?0;66ajb;29?gce290>6?49{%32b?033-=m69j;;[32a?7|=90vchl50;9jad<722c>:7>5;h71>5<1<75m6583>3<729q/=>=5649Y54c=9r?;6pg84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo:nc;297?4={429yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l0:w8>5}nga>5<>o2:3:17d:;:188f32=83<1<7>t$010>335<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`14f<72:0969u+10d927=O9;h0D<<<;%5e>1b33S;:i7?t508~m12=831b9;4?::mff?6=3koi6=4::385!76n3:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c31a?6=93:1328;a>i>;3:1(<=<:808?xu2>3:1>vP:6:?50?133ty?87>52z\70>;1<3>n7p}:2;296~X2:27=87??9:pad<72;qUil5265824g=z{lh1<745f3-=m69j;;n44>5<#9:915?54}rga>5<5sWoi70km:d`8yv312909wS;9;00?6=4={_67?8ce2=>0q~<50;1x9`d=mh16io4:2:?2>31<,889685r}c020?6=;3818v*>1g856>N6:k1C=?=4$6d90a2:7>5;nga>5<0(:h54e68^47b28q>=7s`ec83>>obi3:17d;9:188m04=831b894?::`50?6=>3:132851>\69l0:w8?5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb00f>5<6290;w)?<3;:f?j?4290/=>=59398yv312909wS;9;<47>22?6=4={_67?8032=o0q~;=:181[35346;|qfe?6=:rTnm6394;33f>{tmk0;6?uQec9>21<>:2wx=?:50;0x932=t$001>1=#98l1:55+121956g<,>l18i:4o7594?"6;:02>65rsd`94?4|Vlh01hl5ec9~w00=838pR884=d`913=z{=>1<7ag5<42;0?w)?>f;41?M75j2B:>>5+7g87`1=]98o1=v;>:|k70?6=3`?=6=44od`94?=emk0;684=:7y'54`=>=1/;k4;d59Y54c=9r?:6pajb;29?lcf2900e8850;9j17<722c?87>5;c47>5<1290;w)?<3;46?_76m3;p9<4ri6694?"6;:0<865f4e83>!74;3>o76g;e;29 4542=o07d??b;29 45428:i76g>0883>!74;3;;565`9383>!74;33976sm13g94?7=83:p(<=<:9g8k<5=83.:?>462:9~w00=838pR884=76931=z{=>1<71==74}rgb>5<5sWoj708;:02a?xubj3:1>vPjb:?50??53ty:>94?:3y>21<3l27:>h463:~f4<7280;6=u+13090>"69o0=46*>32827d=#?o0?h95`6683>!74;33976s|ec83>7}Ymk16io4jb:p13<72;qU9;52ec862>{t<=0;6?uQ459>ag<3<2wx>7>53z?ff?cf34oi68<4=0853>"6:;0>7psm12d94?5=:3>p(\69l0:w8?5}h67>5<>dbj3:197<56z&25c<1<2.?6=44b7694?0=83:p(<=<:778^47b28q>=7sf7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>2d83>4<729q/=>=58d9l=6<72-;8?77=;:p13<72;qU9;5265840>{t<=0;6?uQ459>21<3m2wx9?4?:3y]17=:>=0:<45rsdc94?4|Vlk01;:511`8yvce2909wSkm;<47><452z?50?2c34;9i77<;|a5?6=93:12387?!76n3<37)?<3;30e>"0n3>o86a97;29 45420807p}jb;296~Xbj27nn7km;|q62?6=:rT>:63jb;75?xu3<3:1>vP;4:?ff?233ty96=4<{`g<5lh19?521;44?!75:3?0qpl<2783>6<52=q/=6?49{%32b?033-=m69j;;[32a?7|:7>5;h71>5<1<75m6583>3<729q/=>=5649Y54c=9r>m6pg84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo=>9;297?4=>o2>3:17bkm:188f`d=83?1>78t$03e>32<,>l18i:4Z03f>4}3n3wdio4?::kfe?6=3`?=6=44i4094?=n<=0;66l94;292?6=8r.:?>495:X25`<6s=l1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<5<7s-;8?76j;n;0>5<#9:915?54}r75>5<5sW?=708;:668yv232909wS:;;<47>1c{t9;>1<75r}c394?7=83:p(<<=:59'54`=>11/=>=512c8 2`=0c;950;&276<>:21vhl50;0xZ`d<5lh1io5rs4494?4|V<<01hl5579~w12=838pR9:4=d`901=z{;0;6>u2ec8fe>;bj3?970?5669'574==2wvn>>l:180>7<3s-;:j78=;I31f>N6::1/;k4;d59Y54c=9r>m6pg;4;29?l312900chl50;9aag<72<096;u+10d921=#?o0?h95U10g95~2a2tenn7>5;hgb>5<>o3<3:17o8;:185>5<7s-;8?78:;[32a?7|5$010>1c<3`;;n7>5$010>46e32c:<44?:%307?77121d5?4?:%307??532wi=?k50;394?6|,89865k4o8194?"6;:02>65rs4494?4|V<<01;:5759~w12=838pR9:4=7690`=z{<81<7m;|qff?6=:rTnn6394;;1?xu6:=0;6?u26587`>;6:l02?6srb083>4<729q/=?<54:&25c<102.:?>4>3`9'3c<3l=1d::4?:%307??532wxio4?:3y]ag=:mk0nn6s|5783>7}Y=?16io4:6:p01<72;qU8952ec870>{t:3:1?v3jb;gb?8ce2<801<497:&267<23twi?<<50;196?2|,8;m6;<4H00a?M75;2.1g850>"0n3>o86T>1d821`=ufoi6=44idc94?=n=?0;66g:2;29?l232900n;:50;494?6|,8986;;4Z03f>4}3n3wb;94?:%307?1332c?h7>5$010>1b<3`>n6=4+12190`=5$010>46>32e2>7>5$010><4<3th:>h4?:083>5}#9:914h5`9283>!74;33976s|5783>7}Y=?16:9484:p01<72;qU89526587a>{t=;0;6?uQ539>21<6801vho50;0xZ`g<5?>1==l4}rga>5<5sWoi708;:808yv75<3:1>v394;6g?875m3387psm1;295?6=8r.:>?4;;%32b?0?3-;8?7?5$010><4<3tynn7>52z\ff>;bj3oi7p}:6;296~X2>27nn7;9;|q70?6=:rT?863jb;67?xu52908w0km:dc89`d==;16=788;%316?31}#98l1:?5G13`8L4443-=m69j;;[32a?7|=80ve9:50;9j13<722enn7>5;cga>5<22;0=w)?>f;47?!1a2=n?7W?>e;3x14>o2>3:17d;=:188m12=831i:94?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:03i6a63;29 45420807p}:6;296~X2>27=879;;|q70?6=:rT?86394;6f?xu2:3:1>vP:2:?50?7712wxil4?:3y]ad=:>=0::182>5<7s-;9>7:4$03e>3><,8986<=n;%5e>1b33f<<6=4+1219=7=5<5sW>?70km:568yv4=839p1hl5e`9>ag<2:27:6;94$001>0=zuk9;87>53;090~"69o0=>6F>2c9K575<,>l18i:4Z03f>4}3n3wb894?::k62?6=3foi6=44bd`94?3=:30V56;294~"6;:0=96T>1d821`=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj88n6=4>:183!74;32n7b7<:18'565=1;10q~;9:181[31345<5sW>?708;:5g8yv352909wS;=;<47>46>3tynm7>52z\fe>;1<3;;n6s|ec83>7}Ymk16:9462:p572=838p1;:54e9>57c=1:1vqo?50;394?6|,889695+10d92==#9:91=>o4$6d90a2328:6>=z{lh1<701hl5459~w7<72:q6io4ja:?ff?3534;1::5+13091>{zj;=:6=4<:387!76n3<97E?=b:J266=#?o0?h95U10g95~2a2tc?87>5;h75>5<0<52?q/=xibj3:17dkn:188m00=831b9?4?::k70?6=3k7W?>e;3x0c>1<7*>32840>=no3m3:1(<=<:5g8?l77j3:1(<=<:02a?>o6800;6)?<3;33=>=h1;0;6)?<3;;1?>{e9;o1<7?50;2x 45421o0c4=50;&276<>:21v8850;0xZ00<5?>1;95rs5694?4|V=>01;:54d9~w04=838pR8<4=76955?;2wvn<4?:083>5}#9;8186*>1g85<>"6;:0:?l5+7g87`1=h>>0;6)?<3;;1?>{tmk0;6?uQec9>ag:6s|4583>7}Y<=16io4;4:p6?6=;r7nn7kn;04<580=;6*>2386?x{e;921<7=52;6x 47a2?80D<"0n3>o86T>1d821`=u`>?6=44i4494?=hmk0;66ljb;291?4=>r.:=k494:&4b?2c<2P:=h4>{5d9yjce2900eho50;9j13<722c>>7>5;h67>5<1<7850;2x 4542??0Vo3l3:1(<=<:5f8?l2b290/=>=54d98m46e290/=>=511`8?l7713:1(<=<:02:?>i>:3:1(<=<:808?xd6:l0;6<4?:1y'565=0l1d5>4?:%307??532wx9;4?:3y]13=:>=0<86s|4583>7}Y<=16:94;e:p17<72;qU9?5265824<=z{lk1<751;294~"6:;0?7)?>f;4;?!74;3;8m6*8f;6g0>i1?3:1(<=<:808?xubj3:1>vPjb:?ff?ce3ty>:7>52z\62>;bj3?=7p};4;296~X3<27nn7:;;|q1>5<4s4oi6ho4=d`917=:93<<7)?=2;78yxd5?00;6>4=:5y'54`=>;1C=?l4H000?!1a2=n?7W?>e;3x0c1<75f5783>>ibj3:17okm:186>7<1s-;:j78;;%5e>1b33S;:i7?t4g8~k`d=831bil4?::k62?6=3`?96=44i5694?=e>=0;6;4?:1y'565=><1Q=xo0<3:1(<=<:668?l2c290/=>=54e98m1c=83.:?>4;e:9j55d=83.:?>4>0c98m46>290/=>=511;8?j?5290/=>=59398yg75m3:1=7>50z&2765$010><4<3ty>:7>52z\62>;1<3=?7p};4;296~X3<27=87:j;|q66?6=:rT>>6394;33=>{tmh0;6?uQe`9>21<68k1vhl50;0xZ`d<5?>15?5rs007>5<5s4<5:183!75:3>0(=59398yvce2909wSkm;`d;62?=0(<<=:49~yg2e03:1?7<54z&25c<1:2B:>o5G1318 2`=0V5;h75>5<>d1<3:1:7>50z&276<1=2P:=h4>{429yl13290/=>=57598m1b=83.:?>4;d:9j0`<72-;8?7:j;:k24g<72-;8?7??b:9j55?=83.:?>4>0898k<4=83.:?>462:9~f44b290:6=4?{%307?>b3f386=4+1219=7=>0q~:;:181[23345<5sW?9708;:02:?xubi3:1>vPja:?50?77j2wxio4?:3y]ag=:>=02>6s|13694?4|5?>18i5213g9=6=zuk;1<7?50;2x 4452=1/=462:9~w`d=838pRhl4=d`9ag=z{<<1<76}:mk0nm63jb;71?87=>>1/=?<55:~f62229086?4;{%32b?053A;9n6F>229'33<13-=m69j;;[32a?7|=90ve9:50;9j13<722enn7>5;cga>5<22;0=w)?>f;47?!1a2=n?7W?>e;3x15>o2>3:17d;=:188m12=831i:94?:783>5}#9:91:85U10g95~372tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:03i6a63;29 45420807p}:6;296~X2>27=879;;|q70?6=:rT?86394;6f?xu2:3:1>vP:2:?50?7712wxil4?:3y]ad=:>=0::182>5<7s-;9>7:4$03e>3><,8986<=n;%5e>1b33f<<6=4+1219=7=5<5sW>?70km:568yv4=839p1hl5e`9>ag<2:27:6;94$001>0=zuk93;7>55;092~"69o0=?6F>2c9K575<,>l18i:4Z03f>4}283wb8i4?::k66?6=3`>?6=44i4494?=hmk0;66ljb;292?6=8r.:?>495:X25`<6s<:1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<=?:182>5<7s-;8?7?3:1>vP:6:?ff?133ty>>7>52z\66>;bj3;;56s|4e83>7}Y{t>10;6?u2ec824g=:9::15?5r}c164?6==381:v*>1g857>N6:k1C=?=4$6d90a2>7>5;h67>5<>dbj3:1:7>50z&276<1=2P:=h4>{429yl13290/=>=57598m1b=83.:?>4;d:9j0`<72-;8?7:j;:k24g<72-;8?7??b:9j55?=83.:?>4>0898k<4=83.:?>462:9~f457290:6=4?{%307?74i2e2>7>5$010><4<3tynn7>52z\ff>;bj3397p}:6;296~X2>27nn79;;|q66?6=:rT>>63jb;33=>{tag<3l2wx894?:3y]01=:mk0?i6s|6983>7}:mk0:55;092~"69o0=?6F>2c9K575<,>l18i:4Z03f>4}283wb8i4?::k66?6=3`>?6=44i4494?=hmk0;66ljb;292?6=8r.:?>495:X25`<6s<:1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<=?:182>5<7s-;8?7?3:1>vP:6:?ff?133ty>>7>52z\66>;bj3;;56s|4e83>7}Y{t>10;6?u2ec824g=:9::15?5r}c1;a?6==381:v*>1g857>N6:k1C=?=4$6d90a2>7>5;h67>5<>dbj3:1:7>50z&276<1=2P:=h4>{429yl13290/=>=57598m1b=83.:?>4;d:9j0`<72-;8?7:j;:k24g<72-;8?7??b:9j55?=83.:?>4>0898k<4=83.:?>462:9~f457290:6=4?{%307?74i2e2>7>5$010><4<3tynn7>52z\ff>;bj3397p}:6;296~X2>27nn79;;|q66?6=:rT>>63jb;33=>{tag<3l2wx894?:3y]01=:mk0?i6s|6983>7}:mk0:;7>55;092~"69o0=?6F>2c9K575<,>l18i:4Z03f>4}283wb8i4?::k66?6=3`>?6=44i4494?=hmk0;66ljb;292?6=8r.:?>495:X25`<6s<:1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<=?:182>5<7s-;8?7?3:1>vP:6:?ff?133ty>>7>52z\66>;bj3;;56s|4e83>7}Y{t>10;6?u2ec824g=:9::15?5r}c140?6==381:v*>1g857>N6:k1C=?=4$6d90a2>7>5;h67>5<>dbj3:1:7>50z&276<1=2P:=h4>{429yl13290/=>=57598m1b=83.:?>4;d:9j0`<72-;8?7:j;:k24g<72-;8?7??b:9j55?=83.:?>4>0898k<4=83.:?>462:9~f457290:6=4?{%307?74i2e2>7>5$010><4<3tynn7>52z\ff>;bj3397p}:6;296~X2>27nn79;;|q66?6=:rT>>63jb;33=>{tag<3l2wx894?:3y]01=:mk0?i6s|6983>7}:mk0:53;090~"69o0=>6F>2c9K575<,><1:6*8f;6g0>\69l0:w8>5}h67>5<>dbj3:197<56z&25c<1<2.?6=44b7694?0=83:p(<=<:778^47b28q><7sf7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>2d83>4<729q/=>=58d9l=6<72-;8?77=;:p13<72;qU9;5265840>{t<=0;6?uQ459>21<3m2wx9?4?:3y]17=:>=0:<45rsdc94?4|Vlk01;:511`8yvce2909wSkm;<47><452z?50?2c34;9i77<;|a5?6=93:12387?!76n3<37)?<3;30e>"0n3>o86a97;29 45420807p}jb;296~Xbj27nn7km;|q62?6=:rT>:63jb;75?xu3<3:1>vP;4:?ff?233ty96=4<{`g<5lh19?521;44?!75:3?0qpl=e383>6<52=q/=xo3<3:17d;9:188k`d=831iio4?:481>3}#98l1:95+7g87`1=]98o1=v:i:|mff?6=3`oj6=44i4494?=n=;0;66g;4;29?g03290=6=4?{%307?023S;:i7?t4g8~m22=83.:?>484:9j0a<72-;8?7:k;:k7a?6=,89869k4;h33f?6=,8986<>m;:k24<<72-;8?7??9:9l=7<72-;8?77=;:a57c=83;1<7>t$010>=c328:6>=z{<<1<718h5rs4094?4|V<801;:511;8yvcf2909wSkn;<47>46e3tynn7>52z\ff>;1<3397p}>2583>7}:>=0?h63>2d8:7>{zj80;6<4?:1y'574=<2.:=k498:&276<6;h1/;k4;d59l22<72-;8?77=;:pag<72;qUio52ec8ff>{t=?0;6?uQ579>ag<2>2wx894?:3y]01=:mk0?86s|2;297~;bj3oj70km:40894<1?2.:>?4:;|a6g1=8391>7:t$03e>34<@88i7E?=3:&42?0<,>l18i:4Z03f>4}3n3wb894?::k62?6=3foi6=44bd`94?3=:30V56;294~"6;:0=96T>1d821`=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj88n6=4>:183!74;32n7b7<:18'565=1;10q~;9:181[31345<5sW>?708;:5g8yv352909wS;=;<47>46>3tynm7>52z\fe>;1<3;;n6s|ec83>7}Ymk16:9462:p572=838p1;:54e9>57c=1:1vqo?50;394?6|,889695+10d92==#9:91=>o4$6d90a2328:6>=z{lh1<701hl5459~w7<72:q6io4ja:?ff?3534;1::5+13091>{zj;=o6=4<:387!76n3<97E?=b:J266=#??0=7)9i:5f7?_76m3;p8k4ri5694?=n=?0;66ajb;29?gce290>6?49{%32b?033-=m69j;;[32a?7|:7>5;h71>5<1<75m6583>3<729q/=>=5649Y54c=9r>m6pg84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo?l2;297?4={439yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l0:w8?5}nga>5<>o2:3:17d:;:188f32=83<1<7>t$010>335<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`2g=<72:0969u+10d927=O9;h0D<<<;%55>3=#?o0?h95U10g95~362tc?87>5;h75>5<0<52?q/=xibj3:17dkn:188m00=831b9?4?::k70?6=3k7W?>e;3x14>1<7*>32840>=no3m3:1(<=<:5g8?l77j3:1(<=<:02a?>o6800;6)?<3;33=>=h1;0;6)?<3;;1?>{e9;o1<7?50;2x 45421o0c4=50;&276<>:21v8850;0xZ00<5?>1;95rs5694?4|V=>01;:54d9~w04=838pR8<4=76955?;2wvn<4?:083>5}#9;8186*>1g85<>"6;:0:?l5+7g87`1=h>>0;6)?<3;;1?>{tmk0;6?uQec9>ag:6s|4583>7}Y<=16io4;4:p6?6=;r7nn7kn;04<580=;6*>2386?x{e:l91<7=52;6x 47a2?80D<"0>3<0(:h54e68^47b28q?j7sf4583>>o2>3:17bkm:188f`d=83?1>78t$03e>32<,>l18i:4Z03f>4}3n3wdio4?::kfe?6=3`?=6=44i4094?=n<=0;66l94;292?6=8r.:?>495:X25`<6s=l1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<5<7s-;8?76j;n;0>5<#9:915?54}r75>5<5sW?=708;:668yv232909wS:;;<47>1c{t9;>1<75r}c394?7=83:p(<<=:59'54`=>11/=>=512c8 2`=0c;950;&276<>:21vhl50;0xZ`d<5lh1io5rs4494?4|V<<01hl5579~w12=838pR9:4=d`901=z{;0;6>u2ec8fe>;bj3?970?5669'574==2wvn?k6:180>7<3s-;:j78=;I31f>N6::1/;;49;%5e>1b33S;:i7xibj3:17dkn:188m00=831b9?4?::k70?6=3k7W?>e;0x0c<293wb;94?:%307?1332c?h7>5$010>1b<3`>n6=4+12190`=5$010>46>32e2>7>5$010><4<3th:>h4?:083>5}#9:914h5`9283>!74;33976s|5783>7}Y=?16:9484:p01<72;qU89526587a>{t=;0;6?uQ539>21<6801vho50;0xZ`g<5?>1==l4}rga>5<5sWoi708;:808yv75<3:1>v394;6g?875m3387psm1;295?6=8r.:>?4;;%32b?0?3-;8?7?5$010><4<3tynn7>52z\ff>;bj3oi7p}:6;296~X2>27nn7;9;|q70?6=:rT?863jb;67?xu52908w0km:dc89`d==;16=788;%316?31}#98l1:?5G13`8L4443-==6;5+7g87`1=]98o1>v:i:439yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l09w9h5508~k`d=831bil4?::k62?6=3`?96=44i5694?=e>=0;6;4?:1y'565=><1Q=07=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj88n6=4>:183!74;32n7b7<:18'565=1;10q~;9:181[31345<5sW>?708;:5g8yv352909wS;=;<47>46>3tynm7>52z\fe>;1<3;;n6s|ec83>7}Ymk16:9462:p572=838p1;:54e9>57c=1:1vqo?50;394?6|,889695+10d92==#9:91=>o4$6d90a2328:6>=z{lh1<701hl5459~w7<72:q6io4ja:?ff?3534;1::5+13091>{zj:3i6=4<:387!76n3<97E?=b:J266=#??0=7)9i:5f7?_76m3;p9=4ri5694?=n=?0;66ajb;29?gce290>6?49{%32b?033-=m69j;;[32a?7|=90vchl50;9jad<722c>:7>5;h71>5<1<75m6583>3<729q/=>=5649Y54c=9r?;6pg84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo=6f;297?4={429yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l0:w8>5}nga>5<>o2:3:17d:;:188f32=83<1<7>t$010>335<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`731<72:0969u+10d927=O9;h0D<<<;%55>3=#?o0?h95U10g95~372tc?87>5;h75>5<0<52?q/=xibj3:17dkn:188m00=831b9?4?::k70?6=3k7W?>e;3x15>1<7*>32840>=no3m3:1(<=<:5g8?l77j3:1(<=<:02a?>o6800;6)?<3;33=>=h1;0;6)?<3;;1?>{e9;o1<7?50;2x 45421o0c4=50;&276<>:21v8850;0xZ00<5?>1;95rs5694?4|V=>01;:54d9~w04=838pR8<4=76955?;2wvn<4?:083>5}#9;8186*>1g85<>"6;:0:?l5+7g87`1=h>>0;6)?<3;;1?>{tmk0;6?uQec9>ag:6s|4583>7}Y<=16io4;4:p6?6=;r7nn7kn;04<580=;6*>2386?x{e<1>1<7=52;6x 47a2?80D<"0>3<0(:h54e68^47b28q><7sf4583>>o2>3:17bkm:188f`d=83?1>78t$03e>32<,>l18i:4Z03f>4}283wdio4?::kfe?6=3`?=6=44i4094?=n<=0;66l94;292?6=8r.:?>495:X25`<6s<:1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<5<7s-;8?76j;n;0>5<#9:915?54}r75>5<5sW?=708;:668yv232909wS:;;<47>1c{t9;>1<75r}c394?7=83:p(<<=:59'54`=>11/=>=512c8 2`=0c;950;&276<>:21vhl50;0xZ`d<5lh1io5rs4494?4|V<<01hl5579~w12=838pR9:4=d`901=z{;0;6>u2ec8fe>;bj3?970?5669'574==2wvn97::180>7<3s-;:j78=;I31f>N6::1/;;49;%5e>1b33S;:i7?t518~m12=831b9;4?::mff?6=3koi6=4::385!76n35<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c31a?6=93:1328;a>i>;3:1(<=<:808?xu2>3:1>vP:6:?50?133ty?87>52z\70>;1<3>n7p}:2;296~X2:27=87??9:pad<72;qUil5265824g=z{lh1<745f3-=m69j;;n44>5<#9:915?54}rga>5<5sWoi70km:d`8yv312909wS;9;00?6=4={_67?8ce2=>0q~<50;1x9`d=mh16io4:2:?2>31<,889685r}c030?6=;3;1?vF>229'54`=0:1b954?::k6=?6=3f;;m7>5;c301g826d=O9;h0D<<<;%307?7512.5<#9:91;954o9`94?"6;:02>65rs4:94?4|V<201<=7:7`8yv3>2909wS;6;<30uG1318 47a2190e8650;9j1<<722e:5<#9:915?54}r7;>5<5sW?370?<8;4a?xu213:1>vP:9:?27=<1i2wx==o50;0xZ46f34;8476m;|a654=8391=7=tH000?!76n3287d;7:188m0?=831d==o50;9a56>=8391<7>t$03e>44f3A;9n6F>229'565=9;30(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|q6463>3985f>{t=00;6?uQ589>56>=>h1v<>n:181[77i27:?547b:~f4>b29086<4<{I317>"69o03?6g:8;29?l3>2900c<>n:188f45?29086=4?{%32b?75i2B:>o5G1318 45428827)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9l658c9~yg7?l3:1?7?53zJ266=#98l14>5f5983>>o213:17b??a;29?g7403:1?7>50z&25c<6:h1C=?l4H000?!74;3;956*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~w0>=838pR864=01;>3d0`83>7}Y99k01<=7:9`8yxd60j0;6>4>:2yK575<,8;m65=4i4:94?=n=00;66a>0`83>>d6;10;6>4?:1y'54`=9;k0D<"6;:0:>45+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yv3?2909wS;7;<3057>52z\6=>;6;10=m6s|11c94?4|V8:j70?<8;:a?x{e91h1<7=51;1xL4443-;:j76<;h7;>5<32840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xu203:1>vP:8:?27=<1j2wx944?:3y]1<=:9:21:l5rs02b>5<5sW;;m63>398;f>{zj83<6=4<:080M75;2.:=k473:k65<2c9K575<,8986<<6;%5e>1b33`32840>=h0k0;6)?<3;;1?>{t=10;6?uQ599>56>=>k1v8750;0xZ0?<58936;o4}r33e?6=:rT:53;397~N6::1/=57>5;n33e?6=3k;847>53;294~"69o0:>l5G13`8L4443-;8?7?=9:&4b?2c<2c=m7>5$010>22<3`328:6>=z{<21<734;8478n;|q24d<72;qU==o4=01;>=d6}O9;90(=831b944?::m24d<722h:?54?:283>5}#98l1=?o4H00a?M75;2.:?>4>289'3c<3l=1b:l4?:%307?1332c=n7>5$010>22<3f2i6=4+1219=7=n;<30e3twi=4:50;195?5|@8887)?>f;:0?l3?2900e8750;9l55g=831i=>650;194?6|,8;m6<N6::1/=>=513;8 2`=0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3ty>47>52z\6<>;6;10=n6s|5883>7}Y=016=>656`9~w46f2909wS??a:?27=4<4sA;9?6*>1g8;7>o203:17d;6:188k46f2900n<=7:180>5<7s-;:j7?=a:J26g=O9;90(<=<:00:?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wx954?:3y]1==:9:21:o5rs4;94?4|V<301<=7:7c8yv77i3:1>vP>0`9>56>=0k1vqo?62;297?7=;rB:>>5+10d9<6=n=10;66g:9;29?j77i3:17o?<8;297?6=8r.:=k4>2`9K57d<@8887)?<3;31=>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21v8650;0xZ0><58936;l4}r7:>5<5sW?270?<8;4b?xu68h0;6?uQ11c8945?21h0qpl>9083>6<62:qC=?=4$03e>=5>i68h0;66l>3983>6<729q/=32826<=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10q~;7:181[3?34;8478m;|q6=?6=:rT>563>3985e>{t99k1<743`?36=44i4;94?=h99k1<75m12:94?5=83:p(>5+121957?<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807p}:8;296~X2027:?549b:p1<<72;qU945212:92d=z{8:j6=4={_33e>;6;103n6srb0:e>5<42808wE?=3:&25c47>5;h7:>5<5<4290;w)?>f;31e>N6:k1C=?=4$010>44>3-=m69j;;h4b>5<#9:91;954i7`94?"6;:0<865`8c83>!74;33976s|5983>7}Y=116=>656c9~w0?=838pR874=01;>3g52z\24d=:9:214o5r}c0:f?6=;3;1?vF>229'54`=0:1b954?::k6=?6=3f;;m7>5;c301g826d=O9;h0D<<<;%307?7512.5<#9:91;954o9`94?"6;:02>65rs4:94?4|V<201<=7:7`8yv3>2909wS;6;<30uG1318 47a2190e8650;9j1<<722e:5<#9:915?54}r7;>5<5sW?370?<8;4a?xu213:1>vP:9:?27=<1i2wx==o50;0xZ46f34;8476m;|a6=8391<7>t$03e>44f3A;9n6F>229'565=9;30(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|q6463>3985f>{t=00;6?uQ589>56>=>h1v<>n:181[77i27:?547b:~f7??29086<4<{I317>"69o03?6g:8;29?l3>2900c<>n:188f45?29086=4?{%32b?75i2B:>o5G1318 45428827)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9l658c9~yg5d93:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj:i36=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi?hh50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl=4083>6<729q/=5$010>22<3f2i6=4+1219=7=im7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn9l;:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm4c794?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th?n=4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo:m1;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=ij6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi8ol50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl;cb83>7<729q/=:2B:??54}c613?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f14?29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm36g94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`03c<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo=;1;297?6=8r.:=k460:J26g=O9;90(<=<:9d8 2`=0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th8n94?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo=m5;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb2`5>5<4290;w)?>f;;3?M75j2B:>>5+1219!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo=60;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb27:>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a712=8391<7>t$03e>g=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=4g83>7<729q/=:2B:??54}c064?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f73629086=4?{%32b??73A;9n6F>229'565=0o1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c060?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f73229096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:<<1<7=50;2x 47a20:0D<"6;:03j6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f73>29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm27694?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`120<72:0;6=u+10d9=5=O9;h0D<<<;%307?>a3-=m69j;;h4b>5<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm25;94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`10g<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg43k3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`1e4<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo<6f;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj;3o6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>g=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=9083>6<729q/=5$010>22<3f2i6=4+1219=7=53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f7?029086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm33f94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`133<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg57j3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj;=h6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>ll50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl=ab83>6<729q/=5$010>22<3f2i6=4+1219=7=53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f71729086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm31194?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th9<54?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg47>3:1?7>50z&25c229'565=i2.5<#9:91;954o9`94?"6;:02>65rb0d0>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a5c2=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd6n<0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c3e1g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f4`>29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm1ga94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`2ba<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo0e;750;&276<0<21d4o4?:%307??53A;8>65rb322>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi=lo50;194?6|,8;m6o5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd6i<0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c3b5?6=;3:11g8a?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn<7k:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e9031<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`211<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg72=3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj8?=6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd6>h0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c35`?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f40b29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm16394?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`237<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo?85;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb055>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi=:750;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl>7`83>6<729q/=5$010>22<3f2i6=4+1219=7=52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn<9j:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e91;1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th:4?4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg7?=3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj82=6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd60h0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c36e?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f43e29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm14g94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`21c<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo?92;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb040>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi=;850;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl>6683>6<729q/=5$010>22<3f2i6=4+1219=7=52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn<=k:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm12g94?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th:o<4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg7c83:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj8n:6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd4j90;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c6b0?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f1g229086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm31594?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`106<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg72:3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj;=86=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>9950;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl<1583>6<729q/=5$010>22<3f2i6=4+1219=7=52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn?o;:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm2`794?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th8nn4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo=md;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb2`f>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi8n<50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl;c283>6<729q/=4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj;<<6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>;650;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj:;=6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi?<950;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl<1983>6<729q/=4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl<2883>7<729q/=:2B:??54}c11e?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl<1c83>7<729q/=:2B:??54}c12g?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f67c29086=4?{%32b??73A;9n6F>229'565=0o1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c02=?6=13:11g824>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07d8l:18'565=?=10e;j50;&276<0<21b:h4?:%307?1332c=j7>5$010>22<3`=;6=4+121931=;1<7*>32840>=h0k0;6)?<3;;1?>{e:8k1<7750;2x 47a28:0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9j2f<72-;8?79;;:k5`?6=,8986::4;h4f>5<#9:91;954i7d94?"6;:0<865f7183>!74;3=?76g81;29 4542>>07b6m:18'565=1;10qo<>b;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj;8j6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>?l50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl=2b83>7<729q/=:2B:??54}c01`?6==3:11g8g?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10e;m50;&276<0<21b:i4?:%307?1332e3n7>5$010><4<3th?;=4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg2093:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj==96=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb547>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi8;;50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl;6783>6<729q/=5$010>22<3f2i6=4+1219=7==;7>53;294~"69o02<6F>2c9K575<,89865h4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd3=o0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c654?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f10629086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm47094?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn9;n:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e<=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th?9n4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg22l3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`710<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo::6;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb574>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi88650;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=?;6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd3=;0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c667?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl;4c83>6<729q/=5$010>22<3f2i6=4+1219=7=?o7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn9:k:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e<=o1<7=50;2x 47a20:0D<"6;:03j6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f12129086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm45594?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`70=<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo:;9;297?6=8r.:=k460:J26g=O9;90(<=<:9d8 2`=0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th?8<4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg23:3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj=>86=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb5`g>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a0gc=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd3jo0;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=h<7>55;294~"69o0o7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598m3e=83.:?>484:9j2a<72-;8?79;;:m;f?6=,89864<4;|`7g`<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg2dn3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj=n;6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi8i?50;794?6|,8;m6i5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>o1k3:1(<=<:668?l0c290/=>=57598k=d=83.:?>462:9~f6>>29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm39c94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`05<#9:91;954o9`94?"6;:02>6F>3398yg5?k3:1?7>50z&25c229'565=i2.5<#9:91;954o9`94?"6;:02>65rb2:g>5<4290;w)?>f;;3?M75j2B:>>5+1219!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo=:2;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj:?86=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi?8:50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl<5483>6<729q/=5$010>22<3f2i6=4+1219=7=:7>53;294~"69o02<6F>2c9K575<,89865h4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd4>10;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c15=?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f60f29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm37`94?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn>l7:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e;k31<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th8nl4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg53?3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj:>36=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi?9750;694?6|,8;m6n5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>o1k3:1(<=<:668?j>e290/=>=59398yg46>3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`152<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo?jd;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb0gf>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a5``=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd6n90;684?:1y'54`=l2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;h4`>5<#9:91;954i7f94?"6;:0<865`8c83>!74;33976sm1bc94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`2gg<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg7dk3:1?7>50z&25c229'565=i2.5<#9:91;954o9`94?"6;:02>65rb0ag>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi=nk50;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj8i?6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd6k?0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c3`3?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=f883>6<729q/=5$010>22<3f2i6=4+1219=7=53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f7`e29096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:oi1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th9ji4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qoN6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj;lm6=4<:183!76n33;7E?=b:J266=#9:914k5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg5783:187>50z&25c229'565=i2.5<#9:91;954i7a94?"6;:0<865`8c83>!74;33976sm31394?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn?km:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm2dd94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`1b5<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg4a93:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj;l96=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>k=50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl=f583>7<729q/=:2B:??54}c0e1?6==3:11g8g?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10e;m50;&276<0<21b:i4?:%307?1332e3n7>5$010><4<3th9j;4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg4bk3:187>50z&25c<>92B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:k5g?6=,8986::4;n:a>5<#9:915?54}c0f`?6=;3:11g8a?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn?kj:180>5<7s-;:j77?;I31f>N6::1/=>=58g9'3c<3l=1b:l4?:%307?1332c=n7>5$010>22<3f2i6=4+1219=7=53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f7c129096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:l=1<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`1a=<72:0;6=u+10d9=5=O9;h0D<<<;%307?>a3-=m69j;;h4b>5<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm3`394?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`0e3<72:0;6=u+10d9=5=O9;h0D<<<;%307?>a3-=m69j;;h4b>5<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm3`594?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th8m54?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo=n9;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb2cb>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi?ll50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl6<729q/=5$010>22<3f2i6=4+1219=7=53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f6g529086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm3`194?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn>o;:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e;h?1<7:50;2x 47a20;0D<"6;:03j6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598m3e=83.:?>484:9lt$03e>g=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl<9d83>6<729q/=4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl;9c83>6<729q/=5$010>22<3f2i6=4+1219=7=2o7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn97k:180>5<7s-;:j77?;I31f>N6::1/=>=58g9'3c<3l=1b:l4?:%307?1332c=n7>5$010>22<3f2i6=4+1219=7=2i7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn97i:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm4`294?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th?m<4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg2f:3:187>50z&25c229'565=i2.5<#9:91;954i7a94?"6;:0<865`8c83>!74;33976sm48:94?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn976:187>5<7s-;:j7m4H00a?M75;2.:?>4n;%5e>1b33`32840>=n>j0;6)?<3;57?>i?j3:1(<=<:808?xd31h0;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd30m0;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=3i7>53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f1>a29096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e<0:1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th?5<4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo:62;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=386=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb5:4>5<4290;w)?>f;;3?M75j2B:>>5+1219!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo:78;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=226=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb5:a>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi85m50;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj===6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd3?m0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c64a?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f11a29096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e<1:1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th?4<4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo:72;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=286=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>a=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76g9c;29 4542>>07d8k:18'565=?=10c5l50;&276<>:21vn997:180>5<7s-;:j77?;I31f>N6::1/=>=58g9'3c<3l=1b:l4?:%307?1332c=n7>5$010>22<3f2i6=4+1219=7=<57>53;294~"69o02<6F>2c9K575<,89865h4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd3?h0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c64f?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=ad83>1<729q/=5$010>22<3`328:6>=zj;km6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>o>50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl=b083>7<729q/=:2B:??54}c0a6?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f7d429096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:k>1<7:50;2x 47a2j1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:k5g?6=,8986::4;n:a>5<#9:915?54}c0a1?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=b783>1<729q/=5$010>22<3`328:6>=zj;h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg41k3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj;"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb0fe>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi=h>50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl>e083>7<729q/=:2B:??54}c3f6?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f4c429096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e9l>1<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`2a0<72<0;6=u+10d9`>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07d8l:18'565=?=10e;j50;&276<0<21d4o4?:%307??532wi=h850;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj8n>6=4<:183!76n33;7E?=b:J266=#9:914k5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg7c>3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj8n<6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi=i650;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl>d883>7<729q/=:2B:??54}c3ge?6=;3:11g8a?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn5<7s-;:j7j4H00a?M75;2.:?>4n;%5e>1b33`32840>=n>j0;6)?<3;57?>o1l3:1(<=<:668?j>e290/=>=59398yg7ck3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`14`<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo0e;750;&276<0<21d4o4?:%307??53A;8>65rb333>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj;;96=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb3:;>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a6=?=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd50h0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c0;f?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=d583>7<729q/=:2B:??54}c0ge?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f7be29096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:mi1<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`1`a<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg4cm3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj;nm6=4<:183!76n33;7E?=b:J266=#9:914k5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg4b83:1?7>50z&25c229'565=i2.5<#9:91;954o9`94?"6;:02>65rb3g2>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi>i;50;194?6|,8;m6o5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd5l?0;694?:1y'54`=k2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;h4`>5<#9:91;954o9`94?"6;:02>65rb3f4>5<3290;w)?>f;;2?M75j2B:>>5+1219!74;3=?76g9b;29 4542>>07d8l:18'565=?=10c5l50;&276<>:21vn?j7:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e:m31<7=50;2x 47a20:0D<"6;:03j6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f7ee29096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:ji1<7=50;2x 47a20:0D<"6;:03j6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f7ec29096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:jo1<7=50;2x 47a20:0D<"6;:03j6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f7ea29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm2e294?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th9h<4?:283>5}#98l15=5G13`8L4443-;8?76i;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e:;l1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th9?=4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg4493:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj;996=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>>=50;194?6|,8;m6o5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd5;=0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c001?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=3783>6<729q/=5$010>22<3f2i6=4+1219=7=9n7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn9=9:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e<:31<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th??l4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo:0e;750;&276<0<21d4o4?:%307??53A;8>65rb51`>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a06b=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd3;l0;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=8j7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn95<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e<;n1<7:50;2x 47a2j1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:k5g?6=,8986::4;n:a>5<#9:915?54}c61a?6=;3:11g8a?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn95<7s-;:j7m4H00a?M75;2.:?>4n;%5e>1b33`32840>=n>j0;6)?<3;57?>i?j3:1(<=<:808?xd3;90;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb511>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi8>=50;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=9?6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb514>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi8>650;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=:?6=4<:183!76n33;7E?=b:J266=#9:914k5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg27l3:1?7>50z&25c229'565=i2.5<#9:91;954o9`94?"6;:02>65rb52f>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a05`=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd3990;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=:=7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn9?=:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm40194?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`751<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg27=3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`743<72<0;6=u+10d9`>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07d8l:18'565=?=10e;j50;&276<0<21d4o4?:%307??532wi8=950;194?6|,8;m6o5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd3810;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>g=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl;0`83>6<729q/=32840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd38j0;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd39l0;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=:j7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn95<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm43394?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`767<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg25;3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj=8?6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb53;>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi8<750;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=;j6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb53`>5<4290;w)?>f;;3?M75j2B:>>5+1219!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo:>d;290?6=8r.:=k4l;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`32840>=h0k0;6)?<3;;1?>{e:k31<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th9nl4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo0e;750;&276<0<21d4o4?:%307??53A;8>65rb3``>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a6gb=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd5jl0;6:4?:1y'54`=n2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;h4`>5<#9:91;954i7f94?"6;:0<865f6d83>!74;3=?76g9f;29 4542>>07b6m:18'565=1;10qo==f;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb213>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a767=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd4;;0;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn>=;:185>5<7s-;:j7k4H00a?M75;2.:?>4n;%5e>1b33`32840>=n>j0;6)?<3;57?>o1l3:1(<=<:668?l0b290/=>=57598k=d=83.:?>462:9~f65229086=4?{%32b??73A;9n6F>229'565=0o1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c103?6==3:11g8g?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10e;m50;&276<0<21b:i4?:%307?1332e3n7>5$010><4<3th8?54?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg5413:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj:9j6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi?>l50;194?6|,8;m6o5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd4;j0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c10`?6=;3:11g8a?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn9o7:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm4`;94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`7ed<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo:nb;297?6=8r.:=k460:J26g=O9;90(<=<:9d8 2`=0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th8;;4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg50?3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`03=<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg5013:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj:=j6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9l1<7>t$03e>f=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76g9c;29 4542>>07b6m:18'565=1;10qo=?e;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb22e>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a746=8391<7>t$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb232>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi>:h50;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj;2;6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9l=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd5;00;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn?=m:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm22a94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`17a<72?0;6=u+10d9a>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07d8l:18'565=?=10e;j50;&276<0<21b:h4?:%307?1332e3n7>5$010><4<3th9?h4?:283>5}#98l15=5G13`8L4443-;8?76i;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e;=h1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th88n4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo=;d;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb26f>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a71`=83?1<7>t$03e>a=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76g9c;29 4542>>07d8k:18'565=?=10c5l50;&276<>:21vn986:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm47c94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`72g<72=0;6=u+10d9g>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07d8l:18'565=?=10c5l50;&276<>:21vn98l:180>5<7s-;:j77?;I31f>N6::1/=>=58g9'3c<3l=1b:l4?:%307?1332c=n7>5$010>22<3f2i6=4+1219=7==h7>53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f10b29086=4?{%32b??73A;9n6F>229'565=0o1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c1;5?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f6>529096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e;191<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th8494?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo=75;291?6=8r.:=k4k;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`32840>=n>m0;6)?<3;57?>i?j3:1(<=<:808?xd40?0;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd4:90;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn><=:180>5<7s-;:j77?;I31f>N6::1/=>=58g9'3c<3l=1b:l4?:%307?1332c=n7>5$010>22<3f2i6=4+1219=7=54;294~"69o0h7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598m3e=83.:?>484:9lt$03e>g=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl<2483>6<729q/=n=50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl=c583>7<729q/=:2B:??54}c0`1?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f7e129096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:j=1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th9o54?:483>5}#98l1h6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?l0d290/=>=57598m3b=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb33g>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi>??50;794?6|,8;m6i5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>o1k3:1(<=<:668?l0c290/=>=57598k=d=83.:?>462:9~f74529086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm23194?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn?<;:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm23794?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn?<9:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e:;=1<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`16=<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo<>e;297?6=8r.:=k460:J26g=O9;90(<=<:9d8 2`=0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th9=k4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg4583:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`7g0<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg2d>3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj=i<6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi8n650;694?6|,8;m64?4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`32840>=h0k0;6)?<3;;1?>{e484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`01f<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg52m3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`01c<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo=90;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb242>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a734=8391<7>t$03e>g=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl<6283>6<729q/=5$010>22<3f2i6=4+1219=7=53;294~"69o02<6F>2c9K575<,89865h4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd4><0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c16`?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl<9583>7<729q/=:2B:??54}c1:1?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f6?129096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e;0=1<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`0==<72:0;6=u+10d9=5=O9;h0D<<<;%307?>a3-=m69j;;h4b>5<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm38;94?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th85l4?:283>5}#98l15=5G13`8L4443-;8?76i;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e;?n1<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`02`<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg51n3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj:=;6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi?:?50;194?6|,8;m6o5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd4?;0;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9l1<7>t$03e>f=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76g9c;29 4542>>07b6m:18'565=1;10qo<:b;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb37`>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a60b=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd5=l0;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb343>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi>;?50;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj8h96=4;:183!76n3i0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9j2f<72-;8?79;;:m;f?6=,89864<4;|`2=g<72=0;6=u+10d9g>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07d8l:18'565=?=10c5l50;&276<>:21vn5<7s-;:j7m4H00a?M75;2.:?>4n;%5e>1b33`32840>=n>j0;6)?<3;57?>i?j3:1(<=<:808?xd6j90;684?:1y'54`=l2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;h4`>5<#9:91;954i7f94?"6;:0<865`8c83>!74;33976sm18d94?0=83:p(0e;o50;&276<0<21b:o4?:%307?1332c=o7>5$010>22<3`32840>=h0k0;6)?<3;;1?>{e9h=1<7750;2x 47a28:0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9j2f<72-;8?79;;:k5`?6=,8986::4;h4f>5<#9:91;954i7d94?"6;:0<865f7183>!74;3=?76g81;29 4542>>07b6m:18'565=1;10qo?n8;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj8kh6=46:183!76n3;;7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598m3e=83.:?>484:9j2a<72-;8?79;;:k5a?6=,8986::4;h4e>5<#9:91;954i6294?"6;:0<865f7083>!74;3=?76a7b;29 45420807pl>ae83>1<729q/=5$010>22<3`328:6>=zj8kn6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>25<@88i7E?=3:&276<392B:21vn>h::181>5<7s-;:j79<;I31f>N6::1/=>=5409K3f=#?l0<86*8f;6g0>o113:1(<=<:668?j>e290/=>=59398yg5dl3:1>7>50z&25c<0;2B:>o5G1318 4542=;0D:m4$6g931=#?o0?h95f6883>!74;3=?76a7b;29 45420807pl:f;296?6=8r.:=k483:J26g=O9;90(<=<:538L2e<,>o1;95+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808?xd193:1>7>50z&25c<0;2B:>o5G1318 4542=;0D:m4$6g931=#?o0?h95f6883>!74;3=?76a7b;29 45420807pl7<729q/=32840>=h0k0;6)?<3;;1?>{e;ml1<7<50;2x 47a2>90D<"6;:0?=6F8c:&4a?133-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>65rb2dg>5<5290;w)?>f;50?M75j2B:>>5+121904=O?j1/;h484:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=h6=4=:183!76n3=87E?=b:J266=#9:918<5G7b9'3`<0<2.5<#9:915?54}c65>5<5290;w)?>f;50?M75j2B:>>5+121904=O?j1/;h484:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=52;294~"69o02c9K575<,89869?4H6a8 2c=?=1/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4;|`0bc<72;0;6=u+10d936=O9;h0D<<<;%307?263A=h7)9j:668 2`=0e;750;&276<0<21d4o4?:%307??532wi?:4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?84?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi??4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?=4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi>h4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi>n4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi>l4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?k4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?i4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?o4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?44?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?>4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l1>7:t$03e>2d<@88i7E?=3:&4b?2c<2c=57>5;h7b>5<>d0;3:1>7>50z&25c<0;2.:?>4;1:J4g>"0m3=?7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:9~f=b=8391=7=t$03e>=b<@>i0(:k5939'3c<3l=1b:44?::k6e?6=3f2i6=44b00e>5<4290;w)?<3;323>o113:1(<=<:668?l76;3:1(<=<:478?j>e290/=>=5939K54><3ty=57>52z\5=>;6:o0=56s|5`83>7}Y=h16=?h51018yv>e2909wS6m;<31b?>e3twx4o4?:3y]6}Y?016;>499:?;`?>e3ty=57>52z\5=>;?l3<27p}:a;296~X2i273h7;n;|a0=<72;0;6=u+10d90e;750;&276<0<21d4o4?:%307??532wi?i;50;094?6|,8;m65m4H00a?M75;2.:?>4;1:J4g>"0m3397)9i:5f7?l0>290/=>=57598k=d=83.:?>462:9~f6`e29096=4?{%32b?>d3A;9n6F>229'565=<81C;n5+7d8:6>"0n3>o86g99;29 4542>>07b6m:18'565=1;10qo=i3;296?6=8r.:=k47c:J26g=O9;90(<=<:538L2e<,>o15?5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808?xd3i3:1>7>50z&25co5G1318 4542=;0D:m4$6g9=7=#?o0?h95f6883>!74;3=?76a7b;29 45420807pl7<729q/=32840>=h0k0;6)?<3;;1?>{e;l=1<7<50;2x 47a21i0D<"6;:0?=6F8c:&4a??53-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>65rb2f0>5<5290;w)?>f;:`?M75j2B:>>5+121904=O?j1/;h462:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=52;294~"69o03o6F>2c9K575<,89869?4H6a8 2c=1;1/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4;|`0g3<72:0:6>u+10d953;294~"6;:0:=:5f6883>!74;3=?76g>1283>!74;3?>76a7b;29 4542080Dk47b:~f6e>29096=4?{%32b?>d3A;9n6F>229'565=<81C;n5+7d8:6>"0n3>o86g99;29 4542>>07b6m:18'565=1;10qo=j9;296?6=8r.:=k47c:J26g=O9;90(<=<:538L2e<,>o15?5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808?xd4n>0;6>4>:2y'54`=0m1C=?l4H000?M1d3-=n64<4$6d90a2>i?j3:17o?=f;297?6=8r.:?>4>169j2<<72-;8?79;;:k256<72-;8?7;:;:m;f?6=,89864<4H03;?>{t>00;6?uQ689>57`=>01v8o50;0xZ0g<588m62g8;f>{zj:o>6=4=:183!76n32h7E?=b:J266=#9:918<5G7b9'3`<>:2.5<#9:915?54}c1ab?6=:3:11g8;g>N6:k1C=?=4$010>17<@>i0(:k5939'3c<3l=1b:44?:%307?1332e3n7>5$010><4<3th8o?4?:383>5}#98l14n5G13`8L4443-;8?7:>;I5`?!1b2080(:h54e68m3?=83.:?>484:9lt$03e>=e<@88i7E?=3:&276<392B:21vn>j6:181>5<7s-;:j76l;I31f>N6::1/=>=5409K3f=#?l02>6*8f;6g0>o113:1(<=<:668?j>e290/=>=59398yg5b;3:1?7?53z&25co5G1318L2e<,>o15?5+7g87`1=n>00;66g:a;29?j>e2900n<5<7s-;8?7?>7:k5=?6=,8986::4;h327?6=,89868;4;n:a>5<#9:915?5G10:8?xu113:1>vP99:?26c<112wx9l4?:3y]1d=:9;l1=<=4}r:a>5<5sW2i70?=f;:a?x{e;o31<7=51;1x 47a21n0D<N0k2.1b33`<26=44i4c94?=h0k0;66l>2g83>6<729q/=>=51058m3?=83.:?>484:9j545=83.:?>4:5:9l=z{?31<73:p52;294~"69o03o6F>2c9K575<,89869?4H6a8 2c=1;1/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4;|`0`a<72;0;6=u+10d90e;750;&276<0<21d4o4?:%307??532wi?nl50;094?6|,8;m65m4H00a?M75;2.:?>4;1:J4g>"0m3397)9i:5f7?l0>290/=>=57598k=d=83.:?>462:9~fcb=8381<7>t$03e>=e<@88i7E?=3:&276<392B:21vnkm50;094?6|,8;m65m4H00a?M75;2.:?>4;1:J4g>"0m3397)9i:5f7?l0>290/=>=57598k=d=83.:?>462:9~f46129096=4?{%32b?>d3A;9n6F>229'565=<81C;n5+7d8:6>"0n3>o86g99;29 4542>>07b6m:18'565=1;10qo??5;296?6=8r.:=k47c:J26g=O9;90(<=<:538L2e<,>o15?5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808?xd68=0;6?4?:1y'54`=0j1C=?l4H000?!74;3>:7E9l;%5f><4<,>l18i:4i7;94?"6;:0<865`8c83>!74;33976sm11194?4=83:p(32875>N0k2.1b33`<26=4+121931=328:6>=zj8:96=4=:183!76n32h7E?=b:J266=#9:918<5G7b9'3`<>:2.5<#9:915?54}c335?6=:3:11g8;g>N6:k1C=?=4$010>17<@>i0(:k5939'3c<3l=1b:44?:%307?1332e3n7>5$010><4<3th:<=4?:383>5}#98l14n5G13`8L4443-;8?7:>;I5`?!1b2080(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wijh4?:383>5}#98l14n5G13`8L4443-;8?7:>;I5`?!1b2080(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi=<4?:083>5}#9:91i=5G1318L23<,>o1;95+808`b>ob83:1(<=<:d28?xd6:3:1=7>50z&276>5G749'3`<0<2.3=7j8;hg3>5<#9:91i=54}c30>5<6290;w)?<3;g3?M75;2B<96*8e;57?!>620?0eh>50;&2764H000?M123-=n6::4$939=3=nm90;6)?<3;g3?>{e9<0;6<4?:1y'565=m91C=?=4H678 2c=?=1/4<467:kf4?6=,8986h>4;|`22?6=93:1328f4>N6::1C;85+7d840>"?93337dk?:18'565=m910qo?8:182>5<7s-;8?7k?;I317>N0=2.328f4>=zj821<7?50;2x 4542l:0D<<<;I56?!1b2>>0(5?59`9ja5<72-;8?7k?;:a5<<7280;6=u+1219a5=O9;90D:;4$6g931=#0802n6gj0;29 4542l:07pl>a;295?6=8r.:?>4j0:J266=O?<1/;h484:&;5??d3`o;6=4+1219a5=:183!74;3o;7E?=3:J41>"0m3=?7)6>:ea8m`6=83.:?>4j0:9~f4e=83;1<7>t$010>`6<@8887E9:;%5f>22<,1;15i5fe183>!74;3o;76sm1e83>4<729q/=>=5e19K575<@>?0(:k5759'<4<>m2cn<7>5$010>`6<3th:i7>51;294~"6;:0n<6F>229K30=#?l0<86*71;c3?lc7290/=>=5e198yg47290:6=4?{%307?c73A;9?6F85:&4a?133-2:6l=4id294?"6;:0n<65rb3394?7=83:p(<=<:d28L4443A=>7)9j:668 =7=lm1bi=4?:%307?c732wi>?4?:083>5}#9:91i=5G1318L23<,>o1;95+808ga>ob83:1(<=<:d28?xd5;3:1=7>50z&276>5G749'3`<0<2.3=7ji;hg3>5<#9:91i=54}c07>5<6290;w)?<3;g3?M75;2B<96*8e;57?!>620l0eh>50;&2764H000?M123-=n6::4$939e==nm90;6)?<3;g3?>{e:?0;6<4?:1y'565=m91C=?=4H678 2c=?=1/4<4m0:kf4?6=,8986h>4;|`13?6=93:1328f4>N6::1C;85+7d840>"?93hn7dk?:18'565=m910qo<7:182>5<7s-;8?7k?;I317>N0=2.f0328f4>=zj=?1<7?50;2x 4542l:0D<<<;I56?!1b2>>0(5?5a09ja5<72-;8?7k?;:a02<7280;6=u+1219a5=O9;90D:;4$6g9=7=#080ih6aj0;29 4542l:07pl;9;295?6=8r.:?>4j0:J266=O?<1/;h462:&;5?dd3fo;6=4+1219a5=i6=4>:183!74;3o;7E?=3:J41>"0m3=?7)6>:`08m`6=83.:?>4j0:9~f0g=83;1<7>t$010>`6<@8887E9:;%5f>22<,1;1m95fe183>!74;3o;76sm6183>4<729q/=>=5e19K575<@>?0(:k5759'<45$010>`6<3thno7>51;294~"6;:0n<6F>229K30=#?l02>6*71;`;?jc7290/=>=5e198ygcc290:6=4?{%307?c73A;9?6F85:&4a??53-2:6oo4od294?"6;:0n<65rbdd94?7=83:p(<=<:d28L4443A=>7)9j:808 =7=k81di=4?:%307?c732wij=4?:083>5}#9:91i=5G1318L23<,>o15?5+808a2>ib83:1(<=<:d28?xda93:1=7>50z&276>5G749'3`<>:2.3=7l;;ng3>5<#9:91i=54}cd1>5<6290;w)?<3;g3?M75;2B<96*8e;;1?!>62k80ch>50;&2764H000?M123-=n64<4$939f4=hm90;6)?<3;g3?>{en=0;6<4?:1y'565=m91C=?=4H678 2c=1;1/4<4m3:mf4?6=,8986h>4;|`e1?6=93:1328f4>N6::1C;85+7d8:6>"?93h>7bk?:18'565=m910qoh9:182>5<7s-;8?7k?;I317>N0=2.g1328f4>=zjo=1<7?50;2x 4542l:0D<<<;I56?!1b2080(5?5b89la5<72-;8?7k?;:ab=<7280;6=u+1219a5=O9;90D:;4$6g9=7=#080in6aj0;29 4542l:07pl4<729q/=>=5e19K575<@>?0(:k5939'<45$010>`6<3th8o=4?:083>5}#9:91i=5G1318L23<,>o15?5+808g6>ib83:1(<=<:d28?xd4k:0;6<4?:1y'565=m91C=?=4H678 2c=?=1/4<4na:kf4?6=,8986h>4;|`0g0<7280;6=u+1219a5=O9;90D:;4$6g9=7=#080o=6aj0;29 4542l:0Dt$010>`6<@8887E9:;%5f><4<,1;1h85`e183>!74;3o;76sm3bc94?7=83:p(<=<:d28L4443A=>7)9j:808 =7=k>1di=4?:%307?c732wi?nm50;394?6|,8986h>4H000?M123-=n6::4$939gg=nm90;6)?<3;g3?>{e;m:1<7?50;2x 4542l:0D<<<;I56?!1b2080(5?5c99la5<72-;8?7k?;:a7a4=83;1<7>t$010>`6<@8887E9:;%5f><4<,1;1mh5`e183>!74;3o;76sm3e694?7=83:p(<=<:d28L4443A=>7)9j:808 =7=i?1di=4?:%307?c732wi?i850;394?6|,8986h>4H000?M123-=n6::4$939ga=nm90;6)?<3;g3?>{e;m21<7?50;2x 4542l:0D<<<;I56?!1b2080(5?5d59la5<72-;8?7k?;:a7ag=83;1<7>t$010>`6<@8887E9:;%5f><4<,1;1h55`e183>!74;3o;76sm3ea94?7=83:p(<=<:d28L4443A=>7)9j:808 =7=l?1di=4?:%307?c732wi?ik50;394?6|,8986h>4H000?M123-=n6::4$939`d=nm90;6)?<3;g3?>{e;l:1<7?50;2x 4542l:0D<<<;I56?!1b2>>0(5?5a89ja5<72-;8?7k?;:a7`4=83;1<7>t$010>`6<@8887E9:;%5f><4<,1;1oh5`e183>!74;3o;7E?>8:9~f6c3290:6=4?{%307?c73A;9?6F85:&4a??53-2:6lh4od294?"6;:0n<65rb2g5>5<6290;w)?<3;g3?M75;2B<96*8e;;1?!>62j90ch>50;&276k7:182>5<7s-;8?7k?;I317>N0=2.f2328f4>=zj:oj6=4>:183!74;3o;7E?=3:J41>"0m3397)6>:b08k`6=83.:?>4j0:9~f6cd290:6=4?{%307?c73A;9?6F85:&;5?b>3"o;6=4+1219a5=51;294~"6;:0n<6F>229K30=#?l02>6*71;cg?jc7290/=>=5e198yg5a:3:1=7>50z&276>5G749'3`<>:2.3=7ol;ng3>5<#9:91i=54}c1e0?6=93:1328f4>N6::1C;85+7d840>"?93ki7dk?:18'565=m910qo=i6;295?6=8r.:?>4j0:J266=O?<1/;h462:&;5?b73fo;6=4+1219a5=O98207pl4<729q/=>=5e19K575<@>?0(:k5939'<45$010>`6<@8;376sm3gc94?7=83:p(<=<:d28L4443A=>7)9j:808 =7=lk1di=4?:%307?c732wi?km50;394?6|,8986h>4H000?M123-=n6::4$939gf=nm90;6)?<3;g3?>{e;oo1<7?50;2x 4542l:0D<<<;I56?!1b2>>0(5?5a69ja5<72-;8?7k?;:a7fc=83;1<7>t$010>`6<@8887E9:;%315?7612.f?328f4>=zj:im6=4=:183!74;3>:7)?>f;50?!1b2>>0(<<>:03:?!77?3;:56F>229K3f=#?o0?h95f6883>!74;3=?76a7b;29 45420807pl>1083>4<729q/=>=5e19K575<@>?0(<<>:03:?!1b2>>0(5?5c49ja5<72-;8?7k?;:a544=8381<7>t$010>17<,8;m6:=4$6g931=#9;;1=<74$024>47>3A;9?6F8c:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=51;294~"6;:0n<6F>229K30=#9;;1=<74$6g931=#080ij6gj0;29 4542l:07pl>0g83>7<729q/=>=5409'54`=?:1/;h484:&264<6901/==9510;8L4443A=h7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:9~f46d290:6=4?{%307?c73A;9?6F85:&264<6901/;h484:&;5?e73`o;6=4+1219a5=52;294~"6;:0?=6*>1g847>"0m3=?7)?=1;32=>"68>0:=45G1318L2e<,>l18i:4i7;94?"6;:0<865`8c83>!74;33976sm13594?6=83:p(1`8f6>"69j0n86srb03g>5<7290;w)?>f;32`>"0n3>o86F>159O563=;r.:=o4j6:&25d6}Y9;16>l499:?26?c73ty:?7>53z\27>;5j3<270?<:d28yv732908wS?;;<0`>3?<58>1i=5rs0794?5|V8?01?j5689>506;g3?xu6?3:1?vP>7:?1b?0>34;<6h>4}r3;>5<4sW;370=?:7;894>=m91v<750;1xZ4?<5:;1:452188f4>{t9h0;6>uQ1`9>77<1127:m7k?;|q2f?6=;rT:n63<3;4:?87e2l:0q~?l:180[7d349?6;74=0a9a5=z{8n1<7=t^0f8963=>016=i4j0:p5`<72:qU=h523785=>;6m3o;7p}=0;297~X58278;786;<03>`66}Y::16?l499:?17?c73ty987>53z\10>;4j3<270<;:d28yv422908wS<:;<1`>3?<5;?1i=5rs3494?5|V;<01>j5689>63:4?:2y]62=:;l0=563=7;g3?xu503:1?vP=8:?0b?0>34836h>4}r0:>5<4s48:578l;<677?0f348265l4}r0b>5<4s48:578k;<675<4s48:578j;<67`?0f348i65l4}r0`>5<3s48:578i;<666?0f3489?78n;<0`>=d>;78n;<017?0e348o65l4}r0f>5<4s48:579>;<66g?0f348n65l4}r0e>5<3s48:m78n;<655?0f3489=78n;<0e>=d=:78n;<015?0e349;65l4}r12>5<3s48:m78l;<65`?0f3489=78l;<12>=d<>78n;<015?0c349965l4=gf92<=z{:91<73d<5:914o5rs2694?0|5;;j6;k4=30b>3?<5==;6;l4=31;>3?<5;;m6;o4=2693`<5;8i6;74=313>3d<5;9j6;74=33g>3g<5;896;o4=279<}::8k1;=5223f92d=::;l1:45222292d=:::h1:45235f92<=::8n1:o5220g92d=:;?03n6s|3683>2}::8k1;<5223a92<=:::81:45222a92<=:;=o1:45223792d=:;>03n6s|3983>7}:<=<1:o52398;f>{t;00;6?u245`92g=:;003n6s|3`83>7}:<<:1:o523`8;f>{t;k0;6?u244792g=:;k03n6s|3b83>7}:<{t;m0;6?u244d92g=:;m03n6s|3d83>6}::831:l5247692g=:;l03n6s|3g83>6}::831:o5247`92d=:;o03n6s|4183>4b|5=::6884=2;1>00<5;>o6884=371>00<5;>:6;o4=25e>3g<5:h>6;74=373>3?<5;?>6;74=37:>3g<5;=d<5;>86;74=2c4>3d<5=326;l4=5:5>3?<5=2n6;l4=55g>3d<5=8i6;74=511>3g<5=:o6;o4=532>3?<5=8;6;74=255>3g<5:2?6;74=27e>3d<5:3=6;74=24g>3d<5;?o6;74}r66>5<4sW>>70:9:7;8913=m91v9850;36855j3>?70<76;67?84?k3>?70<7f;67?84>:3>?70<65;67?84c:3>?70<99;67?84d93>?70?70<84;67?85713>?70<8a;67?84e?3>?70<8d;67?84b;3>?70?70<83;4:?82121h0q~:8:180[2034>365l4=559a5=z{=31<7=t^5;891g=0k16844j0:p0g<72:qU8o524b85=>;3j3o;7p};c;291~;6=;0=563=fc85=>;5n:0=563=c685=>;3k32i7p}:a;297~X2i27>j786;<7b>`6=d5e19~w37=838=w0<92;67?85e:3>?70=62;67?854n3>?70=;2;67?85>93>?70<;d;67?842:3>?70<:7;67?850k3>?70:n6;67?844n3>?70<;2;67?854m3>?70=:a;67?82e:3>?70:nd;67?82e>3>?70:ne;67?82793>?70:?2;67?826=3>?70:=9;67?82fk3>?70=;5;67?85??3>?70=:0;67?851>3>?70=7e;67?852?3>?70=84;67?85>j3>?70=6f;67?820<3>?70:74;67?82>=3>?70<;7;4:?80621h0q~9=:18084213;5=k0=56s|7e83>=}:;k21:l5235;92g=:;h=1:l5236492g=::;:14o5234d92d=:;031:l5237f92d=z{1<1<7<>{<31g?0034;><7;=;<363?3534;=n7;=;<35b?3534;47;=;<36g?3534;=<7;=;<350?353499n7;=;<056?35349i>7;=;<1:6?353498j7;=;<07`?35348>>7;=;<063?353497;=;<1;3?35349><7;=;<152?353493i7;=;<163?35349<87;=;<1f`?0>3ty3;7>52z?0aa66`==?1685499:?7e?0>3tyno7>53z\fg>;aj32i70kl:d28yvcc2908wSkk;=d<5ln1i=5rsdd94?5|Vll01kj58c9>ace34l:6h>4}rd1>5<4sWl970??0;:a?8`52l:0q~h<:180[`434;;=76m;`6e34l>6h>4}rd5>5<4sWl=70??4;:a?8`12l:0q~h8:180[`034;;976m;`632i70h7:d28yv`>290nw0:=8;4b?82f:333<27p}ia;297~;3:103n63=4g85=>;5=j0=56s|fc83>7}:<=>14o52fc85=>{tnl0;6?u245;97}:<=o14o52fg85=>{t99:1<7=d<58:;6;74}r335?6=:r7?9547b:?244<112wx==<50;0x913c21h01<>=:7;8yv77;3:1>v3;638;f>;68:0=56s|11694?4|5=<<65l4=027>3?52z?72`{t9:h1<76t=01a>`d<5:i36;74=234>3?<5;lj6;l4=2a5>0g<5:l<68o4=2g0>0g<5:l268o4}r30g?6=:r7:?o4:6:?27`j50;0x945c21h01<=j:7`8yv74n3:1?v3>3g8ff>;6k<0=563=1185e>{t9=:1<700<58>:65l4}r376?6=0r7:9=4jb:?2?70?:8;67?872k3>?70?90;67?871<3>?70?:7;67?871j3>?70?9f;67?870;3>?70?87;67?870j3>?70?8f;67?87?;3>?70?77;67?874j3>?70?70?70?l2;67?874n3>?70?:2;:a?xu6=:0;6?u2142913=:9<<14o5rs077>5<5s4;>876m;<362?0f3ty:984?:3y>503=0k16=8856c9~w420290j35<5s4;>47;9;<36f?>e3ty:9l4?:3y>50g=0k16=8l56c9~w423290?w0?:c;ga?87?k3?270?m2;4a?87e835e83>7}:9e34;>j78m;|q200<72:q6=;>5ec9>5=b==016=o<56`9~w4062909w0?90;75?871;32i7p}>6383>7}:9?814o5217192g=z{8>=6=48{<350?ce34;3i7;6;<3`5?0f348::78n;<3`f?0>34;ho78n;<3`0?0e3ty::84?:3y>532==?16=;958c9~w4012909w0?96;:a?871?36983>7}:9<=19;5217c9e34;=m78m;|q20=<72?q6=;l5ec9>5<6==016=4l56`9>5<`=>j16=l95719>5db=>k1v<8l:181871j3?=70?9e;:a?xu6>m0;6?u217f95<1s4;=j7km;<3:5?3>34;2h78n;<3:b?0e34;j;78i;<3bg?173ty:;=4?:3y>53`==?16=:<58c9~w4162909w0?81;:a?870:34`83>0}:9>91io5218091<=:90l1:l521`592`=:9hi1;<5rs057>5<5s4;e3ty:;84?:3y>523=0k16=:856c9~w42e290=w0?87;ga?87>;3?270?n1;4b?87f;37983>7}:9>=19;5216c9e34;5<2==016=l=56`9>5d1=>j16=lm56e9~w41d2909w0?8b;75?870m32i7p}>7e83>7}:9>n14o5216g92g=z{8>o6=4:{<34b?ce34;297;6;<3b1?0f34;j;78n;<3bg?0b3ty:4=4?:3y>52`==?16=5<58c9~w4>62909w0?71;:a?87?:34d83>1}:9191io5218491<=:9h=1:o521`a92f=z{82?6=4={<3;7?3134;3:76m;|q2<0<72;q6=5;58c9>5=0=>k1v<:i:18687??3oi70?67;7:?87fi38983>7}:91=19;5219c9e34;3m78m;|q2==<72;q6=4>5599>593?370?6d;4a?87>j32i7p}>9b83>7}:9081955218f95d7=0k1v=3?370?n5;4a?87f;32i7p}>a583>7}:90<195521`795d>=>h1vu219a91==:9k81:n521`g9e34;ji78n;|q2ea<72;q6=lj58c9>5dc=>k1v5<5s4;3n7??a:?21g<1i2wx=o:50;0x94>d28:j70?:f;4b?xu6j<0;6?u219f955g<58<86;o4}r3a2?6=:r7:4h4>0`9>531=>h1v6`85e>{t9k21<746f34;=i78n;|q2f<<72;q6=4?511c894152?k0q~?ma;296~;61;0:be83>7}:90?1==o4=0:1>3g52z?2=3<68h16=5856`9~w4da2909w0?67;33e>;60h0=m6s|1b294?`|58??6;74=04:>3?<583?<58=>6;74=05:>3?<58=o6;74=0:2>3?<582>6;74=0::>3?<58?j6;74=07f>3?<58<96;74=045>3?<58i:65l4}r3`6?6=>r7:o?4jb:?2`4<1i27:ol499:?2g2<1i279v3>c58;f>;6k?0=m6s|1b794?4|58i>65l4=0a5>3d52z?2g3=83?p1v3>c9862>;6kl03n6s|1bc94?4|58ij65l4=0a`>3d52z?2ggcd85f>{t9jl1<7=d<58n:6;l4}r3g6?6=;r7:?h49a:?2`4:63>db8;f>{t9m?1<7=d<58nj6;o4}r3g2?6=:r7:h;47b:?2`d<1j2wx=i950;0x94b021h01v3>d98;f>;6lk0=o6s|1e;94?4|58n265l4=0fa>3b52z?2`d{t9mo1<700<58o=65l4}r3gb?6=:r7:hk47b:?2a1<1i2wx=h>50;0x94c721h01v3>e08;f>;6m<0=n6s|1d094?4|58o965l4=0g6>3e52z?2a6e785f>{t9lh1<7?;{<364?2c34;3;7:k;<3;7?2c34;;7:k;<350?2c34;=<7:k;<36g?2c34;>47:k;<3ff?ce34;m?786;<3e34;mo786;<034?0>34;h=78m;|q2af<72;q6=hl5579>5c6=0k1v5<5s4;nj76m;<3e4?0c3ty:i:4?:6y>5c7=mk16>=<5599>650=>k16=k:5689>5`b=>016=h?5689>5a1=>01v5<5s4;m876m;<3e1?0e3ty:i54?:9y>5c0=mk16>=<5589>650=>h16=hk5689>5a`=>k16=h<5689>5a3=>h16=i65689~w4`02909w0?i6;75?87a132i7p}>f983>7}:9o214o521g;92g=z{8o26=47{<3ee?ce348;?7;6;<035cb=0k1v194521g292d=:9l:1:4521d792d=:9m<1:4521e`92d=z{8lm6=4={<3ea?31348;=76m;|q145<72;q6>=>58c9>657=>k1v?>::180847;3?37032i7p}=0683>7}::9>1955221:9<:02b?87al37}::9>1==o4=322>3g55z?14f=j50;0x976d2<<01??<:9`8yv47m3:1>v3=0d8;f>;59:0=m6s|21d94?4|5;:m65l4=333>3d52z?155:9`897752?h0q~<>2;296~;59;03n63=1285f>{t:8>1<7=t=337>`d<5:om6;74=335>3d52z?151<2>279=:47b:p640=838p1??9:9`897702?h0q~<>8;296~;59003n63=1c85e>{t:831<7=d<5;;i6;l4}r02g?6=:r79=i47b:?160<1j2wx>v3=1g8;f>;5:90=n6s|23394?4|5;8965l4=304>3g7>52z?166;49a:p675=838p1?<;:9`897412?h0q~<=4;296~;5:<03n63=2185e>{t:;?1<7=d<5;8<6;l4}r012?6=:r79>:47b:?16=<1j2wx>?950;0x974?21h01??j:7`8yv4503:1>v3=1d8;f>;59o0=n6s|23;94?5|5;>:6;l4=30g>=d<5;9n6;o4}r01e?6=:r79>l47b:?16a<1j2wx>?l50;0x974e21h01?v3=2b8;f>;5:m0=h6s|23g94?4|5;8m65l4=310>3d52z?175:9`897532?h0q~<<1;296~;5;;03n63=3785f>{t::81<7=d<5;9>6;o4}r007?6=:r79?947b:?170<1j2wx>>:50;0x975221h01?=9:7c8yv44?3:1>v3=398;f>;5;m0=n6s|22:94?4|5;9265l4=31g>3g52z?17d{t::i1<7=d<5;9n6;l4}r00b?6=:r79?k4jb:?107<2>2wx>9>50;0x975a2=n01?:>:9`8yv43:3:19v3=438ff>;5;80=563=3285e>;5;00=563=2385f>{t:=>1<71b<5;>865l4}r071?6=:r79884jb:?10f<1i2wx>9850;1x97222=>019l7:568972021h0q~<;8;296~;5<<0>:63=488;f>{t:=k1<7=d<5;>h6;l4}r07`?6=:r798i4jb:?11c<1i2wx>9k50;0x972c2=n01?;>:9`8yv43n3:1>v3=4g8;f>;5=80=m6s|24294?4|5;?;65l4=372>3d>7>52z?117{t:=d<5;?=6;l4}r063?6=:r799:4jb:?122<112wx>8650;0x97302<<01?;6:9`8yv42i3:1?v3=5687`>;5>;0?h63=608;f>{t:=d<5;?n6;l4}r06g?6=:r799n47b:?11c<1j2wx>8j50;0x973c21h01?8>:7`8yv42m3:1>v3=5d8;f>;5>90=m6s|24d94?4|5;?m65l4=343>3d52z?125;0>:63=648;f>{t:?>1<7=d<5;<>6;l4}r052?6=:r79::47b:?12=<1j2wx>;750;0x970>2lh01>ll:7;8yv41i3:1>v3=68862>;5>l03n6s|27`94?4|5;3g52z?12f;5k:0=56s|26394?4|5;=:6hl4=353>3d7>5az?134<3<278>;4;4:?05<<3<278=?4;4:?04f<3<278<54;4:?041<3<279i?4;4:?13<<3<279;>47b:p622=838p1?9;:d`897172?k0q~<85;297~;5?=0>:63=70862>;5??03n6s|26594?5|5;=365l4=3ge>3?<5;i>6;74}r04=?6=:r79;44jb:?13=<1j2wx>:o50;0x971f2lh01?97:7c8yv40j3:1?v3=7`862>;5?00>:63=7b8;f>{t:>n1<7`d<5:;=6;74}r04a?6=:r79;i4:6:?1<5:h50;0x971a21h01?6?:7`8yv4?93:15v3=878ff>;5110>463=9e85f>;5il0=m63=b185=>;5000=563=b885=>;4;90=563<3685f>{t:1=1<700<5;2i65l4}r0;5750;0x97>>21h01?6n:7`8yv4?i3:1>v3=8`8;f>;50k0=n6s|29094?>|5;2h6hl4=3;;>0?<5;3o6;o4=3cf>3d<5;km6;74=3`a>3?<5:8m6;74=214>3e52z?12794h47b:p6=5=83=p1?6i:d`897?>2<301?7i:7c897d42?301?lk:7;896562?301>=8:7f8yv4>83:1>v3=8g862>;51803n6s|29694?1|5;396hl4=3;b>0?<5;k:6;o4=3`1>3?<5;hh6;74=211>3?<5:926;74}r0:7?6=:r795?4:6:?1=15;50;5x97?22lh01?7m:4;897gb2?i01?l>:7;897db2?h01>=<:7;8965f2?30q~<66;296~;51<0>:63=968;f>{t:0i1<7=t=3;:>0><5;3m6;l4=3;g>=d53z?1=d<20279m<49b:?1=cl>50;0x97?e2<201?o>:9`8yv4f:3:19v3=8d85e>;5180=m63=9585e>;51>0=m63=a48;f>{t:h91<7=d<5;k>6;o4}r0b0?6=:r79m947b:?1e0<1j2wx>l850;0x97??28:j70<7e;4a?xu5i>0;6?u228;955g<5;3:6;l4}r0b0`9>6<2=>k1v?o6:18184>j3;;m63=9685f>{t:hk1<7=t=3c`>=d<5;k?6;74=3:a>3g52z?1egv3=ad8;f>;5j<0=m6s|2`d94?4|5;km65l4=3`7>3d52z?1f5:9`897d32?i0q~{t:k91<7=d<5;h=6;m4}r0a0?6=:r79n947b:?1f0<1j2wx>o;50;0x97d221h01?l9:7`8yv4e?3:1?v3=b68ff>;5n90=563=c785=>{t:k21<700<5;hn65l4}r0a=?6=:r79n447b:?1f`<1i2wx>oo50;0x97df21h01?lj:7a8yv4ej3:1>v3=bc8;f>;5jl0=i6s|2ca94?4|5;hh65l4=3`f>3b52z?1fav3=c18ff>;5ij0=m6s|2b394?5|5;i:6hl4=266>00<5:9<6;o4}r0`6?6=n=50;0x97e421h01?m7:7c8yv4d<3:1>v3=c58;f>;5k10=n6s|2b794?4|5;i>65l4=3a;>3e52z?1g32?h0q~{t:jk1<700<5;n:65l4}r0`f?6=:r79oo47b:?1g`<1j2wx>nm50;0x97ed21h01?mi:7c8yv4dl3:1>v3=ce8;f>;5ko0=n6s|2bg94?4|5;in65l4=3f3>3d52z?1gc;4j=0=563=d985e>{t:m91<700<5;n265l4}r0g0?6=:r79h947b:?1`c<1j2wx>i;50;0x97b221h01?j8:7`8yv4c>3:1>v3=d78;f>;5l00=n6s|2e594?4|5;n<65l4=3f;>3d52z?1`={t:mi1<7=d<5;n=6;l4}r0g`?6=:r79hi47b:?1a4<1j2wx>ik50;0x97bb21h01?j9:7a8yv4cn3:1>v3=dg8;f>;5l<0=n6s|2d294?4|5;o;65l4=3f4>3g52z?1a4p1?k=:d`897`f2?k01?8m:7c897ed2?k0q~;5n00=m63=fe85=>;5n=0=563=e485f>;5>j0=563=d`85=>;5lj0=m63=d485e>;5km0=563<1185e>;4:90=563<2385e>{t:l>1<700<5;o365l4}r0f1?6=:r79i847b:?1a=<1i2wx>h850;0x97c121h01?k8:7`8yv4b?3:1>v3=e68;f>;5m10=n6s|2d;94?c|5;o26hl4=237>3g<5;l26;l4=3d`>3?<5;oo6;o4=3g4>3g<5;3?<5;nh6;l4=3g3>3g<5;n;6;o4=22e>3?<5;=m6;o4=207>3g52z?1a<<2>279ih47b:p6`d=838p1?km:9`897`22?k0q~{t:ln1<7=d<5;on6;o4}r0fb?6=:r79ik47b:?1b0<1j2wx>k>50;0x97`721h01?h::7a8yv4a93:1>v3=f08;f>;5n<0=h6s|2g094?4|5;l965l4=3g`>3g52z?1b6{t:o<1<7=d<5;on6;l4}r0e3?6=nr79j:4jb:?1bc<1i279j?499:?1b3<1i279i849a:?1a3<11279:o49b:?1`g<11279i<49a:?1gg<11279on49b:?04`<11279;k49b:?05c<11278>>49b:p6c>=838p1?h8:448966621h0q~{t:ok1<7=d<5;ln6;o4}r0ef?6=:r79jo47b:?1b`<1j2wx>km50;0x97`d21h01>>?:7c8yv4al3:1>v3=fe8;f>;4890=o6s|2gg94?4|5;ln65l4=3de>3d52z?1bc>?:9`896662?h0q~=?2;297~;48:03n63=f085=>;5k=0=56s|31694?4|5::?6hl4=220>3d52z?04049a:p750=83>p1>>::44896632<<01?=i:408966021h0q~=?8;296~;4810nn63<3985f>{t;931<7`d<5:936;o4}r13e?6=;r78<44:6:?04=<2>278>l:d`896e12?30q~=?d;296~;48j0>:63<108;f>{t;9o1<7=d<5:;;6;l4}r13b?6=:r7850;0x967721h01>?>:7c8yv56:3:1>v3<138ff>;4l00=56s|30194?4|5:;96884=237>=d52z?053?8:9`8967?2?h0q~=>9;296~;4900nn63{t;8k1<700<5:;o65l4}r12f?6=:r78=o47b:?05a<1i2wx??k:7`8yv56m3:1?v3<2985=>;49k0=563<248;f>{t;8l1<7=d<5:896;l4}r114?6=:r78>=47b:?066<1i2wx???50;0x964621h01><<:7a8yv55:3:1>v3<238;f>;4:=0=n6s|33194?4|5:8865l4=206>3d52z?061849a:p770=838p1><9:d`896`02?30q~==7;296~;4:?0>:63<2`8;f>{t;;21<7=d<5:8j6;o4}r11=?6=:r78>447b:?06d<1j2wx??l50;1x964e2lh01?ln:7;896`>2?30q~==c;296~;4:k0>:63<2e8;f>{t;;o1<71b<5:9>65l4}r11b?6=:r78>k47b:?071<1j2wx?>>50;0x965721h01>=;:7c8yv5493:1>v3<308;f>;4;=0=o6s|32094?4|5:9965l4=217>3b52z?076=;:9`896522?h0q~=<6;297~;4:m0=563<3485e>;4;m03n6s|32594?4|5:9<65l4=21g>3g52z?07==6:9`8965e2?k0q~={t;:h1<7=d<5:9h6;l4}r10g?6=:r78?n47b:?07a<1j2wx?>k50;0x965b2lh01>lk:7;8yv54n3:1jv3<3g8ff>;4k80=563<4585f>;4000=m63<8`85=>;4=;0=m63<5285=>;4>10=m63<6885=>;4i?0=n63<7985=>;4;4=l0=n63<7385e>{t;=:1<71b<5:>:65l4}r176?6=:r788?4jb:?004<1i2wx?9=50;0x96252<<01>:;:9`8yv53=3:1:v3<448ff>;4i80=563;41m0=n63<8385=>;4=j0=56s|35494?4|5:><65l4=26:>3g52z?00=:8:7;8962a21h01>77:7c8yv53j3:1>v3<4c8;f>;4h65l4=26e>3d52z?00a:j:9`8962a2?n0q~=:0;296~;4=90nn63<1b85=>{t;<;1<700<5:?=65l4}r166?6=:r789?47b:?013<1i2wx?8=50;0x963421h01>;::7c8yv52<3:1>v3<558;f>;4=<0=n6s|34794?4|5:?>65l4=275>3d;7>52z?012=838p1>;8:448963>21h0q~=:a;296~;4=h0nn63=e3862>{t;00<5:?o65l4}r16g?6=:r789n47b:?027<1j2wx?8k50;0x963b21h01>8;:7c8yv52n3:1>v3<5g8;f>;4>:0=m6s|37294?4|5:<;65l4=240>3d52z?0248=:9`8963c2?k0q~=93;296~;4>:03n63<6585f>{t;?>1<7=d<5:<>6;o4}r151?6=:r78:847b:?01a<1j2wx?;850;1x96012lh01?6?:7c896462?30q~=97;296~;4>?0>:63<6c8;f>{t;?21<7=d<5:8m:7`8yv51k3:1>v3<6787`>;4?:03n6s|37f94?4|5:3g52z?02`8i:9`896142?k0q~=80;296~;4?903n63<7285g>{t;>;1<7=d<5:=96;l4}r146?6=:r78;?47b:?036<1j2wx?::50;0x96132lh01>87:7`8yv50=3:1?v3<7587`>;4180>:63<7c8;f>{t;><1<7=d<5:=i6;l4}r143?6=:r78;:47b:?03d<1i2wx?:650;0x961?21h01>9n:7`8yv5013:1>v3<788;f>;4?k0=o6s|36c94?4|5:=j65l4=25a>3g52z?03f9l:448961a21h0q~=8e;296~;4?l03n63<7g85f>{t;1:1<7=t=25`>1b<5:h969j4=2:5>=d52z?0<46=:9`896>22?i0q~=73;296~;40:03n63<8485`>{t;1>1<7=d<5:2=6;l4}r1;1?6=:r784847b:?0<3<1i2wx?5950;0x96>02lh01><6:7;8yv5?03:1>v3<86862>;40m03n6s|39;94?4|5:2265l4=2:g>3g52z?06m:9`896>d2?h0q~=7c;296~;40j03n63<8e85f>{t;1o1<7`d<5:226;l4}r1;b?6=:r784h4:6:?0=57>:d`896>b2=n01>;8:5f896262?h0q~=62;292~;41;0nn63;4?00=563<8485e>;4>90=563<6d85=>{t;091<71b<5:3j65l4}r1:0?6=:r785947b:?0=2<1i2wx?4;50;0x96?221h01>78:7`8yv5>>3:1>v3<978;f>;41h0=n6s|38594?4|5:3<65l4=2;;>3d52z?0==76:9`896?f2?k0q~=6b;29=~;41k0nn63;4i00=563;41m0=m63<7685e>;4>80=563<9585=>;4?90=56s|38a94?4|5:3i6884=2;f>=d52z?0=a7i:d`896d72?k01>o9:7c896ge2?301>ol:7c896?b2?k01>98:7`896>62?301>;j:7c896052?k01>7::7;8960a2?30q~=n0;296~;41o0>:63{t;h;1<7=d<5:kh6;l4}r1b6?6=:r78m?47b:?0e0<1k2wx?l=50;0x96g421h01>o;:7c8yv5f<3:1>v3;4i<0=m6s|3`494?4|5:k=65l4=2c0>3g52z?0e2=838p1>o7:9`896gc2?h0q~=n9;296~;4i003n63{t;hk1<7=d<5:k96;o4}r1bf?6=:r78mo47b:?0e7<1j2wx?lm50;0x96gd21h01>o::7`8yv5fl3:1>v3;4i:0=n6s|3`g94?4|5:km65l4=2`3>3d55z?07`<2>2784:4;d:?015<3l2788949a:?0f5mm:7;8yv5e;3:1>v3;4j?03n6s|3c694?4|5:h?65l4=2`5>3g52z?0f0l7:9`896df2?k0q~=m8;296~;4j003n63{t;kh1<7=t^2`a?85en32i70=mb;g3?xu4jj0;6?u23ca95<5s49ih76m;<1aa?0e3ty8nk4?:3y>7gc=0k16?oh5689~w6e72908wS=l0:?0g7m>:9`896e52?30q~=l3;297~X4k:16?n:5689>7f5=m91v>m;:18b84313<270<;b;4:?82d;3uQ3b7896e121h01>m::d28yv5d?3:1?vP7f?=0k16?n95e19~w6e>2909w0=l8;:a?85d13<27p}6}Y;jk01>mm:9`896ef2l:0q~=lc;297~X4kj16?nj5689>7fe=m91v>mk:180840>3<2706}Y;m:01>j>:9`896b72l:0q~=k2;297~X4l;16?i=58c9>7a4=m91v>j;:180[5c<278h847b:?0`162e=>016?i958c9~w6b?2908wS=k8:?0`<jn;<1gf?>e349om7k?;|q0`g<72;q6?oo58c9>7ad=>01v>jl:180[5ck278hi47b:?0`fjk:7;8yv5cm3:1?vP7a`=>016?ik5e19~w6ba2909w0?;1;4:?85cn32i7p}6}Y;l:01>k>:7;896c72l:0q~=j1;290~;4=00=563;bg85=>;4==0=563{t;l81<7=t^2g1?85b;32i70=j2;g3?xu4m=0;6>uQ3d6896c221h01>k;:d28yv5b=3:1>v3;c28;f>;4m<0=56s|3d494?5|V:o=70=j7;:a?85b>3o;7p}7}:;4m003n63{t;l31<7=d<5:o26;74}r1fe?6=;rT8il523d`95<5s4>h576m;<1ff?0>3ty8in4?:2y]7`e<5:oo6:74=2g`>`652z?0ach?;<1e5?>e349m<7k?;|q0b4<72;q68no58c9>7c7=>01v>h=:180[5a:278j>47b:?0b7h<:7;8yv5a<3:1?vP7c3=>016?k:5e19~w6`2290?w0=60;4:?82c83<270=7b;4:?85a=32i7p}6}Y;o<01>h8:9`896`12l:0q~=i8;297~X4n116?k758c9>7c>=m91v>hn:180[5ai278jo47b:?0bdhm:7;8yv5ak3:1?vP7cb=>016?km5e19~w6`c2909w0=?b;4:?85al32i7p}6}Y;oo01>hi:7;896`b2l:0q~=if;29e~;4?=0>:63;c385=>;3kk0=m63;bd85=>;3ko0=563<6`85e>;4j10=n63<4b85=>;5:=0=563{t<9;1<7;t=522>`d<5=2m6;74=51:>3?<5=:>6;o4=506>3g;>7>59z?747l:9`8yv27<3:1>v3;058;f>;38h0=m6s|41794?4|5=:>65l4=524>3g;:7>52z?7438:9`8916?2?h0q~:?8;296~;38103n63;0885e>{t<931<7=d<5=:j6;l4}r63e?6=:r7?l:7c8yv27l3:1>v3;0e8;f>;3810=m6s|41g94?4|5=:n65l4=526>3d;j7>52z?74c2?h0q~:>1;296~;39803n63;0785e>{t<881<7=d<5=:=6;l4}r627?6=:r7?=>47b:?743<1k2wx8<:50;0x917321h019>9:7f8yv26=3:1>v3;148ff>;3:>0=56s|40494?4|5=;>6884=53g>=d:;7>52z?752949b:p04>=838p19?7:9`8917c2?i0q~:>9;296~;39003n63;1b85e>{t<8k1<7=d<5=;i6;o4}r62f?6=:r7?=o47b:?75f<1j2wx8v3;1d8;f>;3:<0=n6s|40d94?4|5=;m65l4=53b>3d9<7>52z?765:9`8917c2?k0q~:=2;296~;3:;03n63;1985e>{t<;91<7=d<5=;36;l4}r610?6=:r7?>947b:?75<<1j2wx8?;50;0x914221h019?n:7c8yv25>3:1>v3;268;f>;3:10=n6s|43;94?71s4>957km;<6ae?0>34><<78n;<645?0>34>=878n;<651?0>34>>j78n;<654?0>34>>m78n;<66f?0>34>>978n;<662?0>34>><78n;<665?0>34>?n78n;<67g?0>34>?:78n;<673?0>34>?=78n;<676?0>34>=5786;<65f?0e3ty?>l4?:3y>07?==?168>658c9~w14e2909w0:=b;:a?825k37}:<;i14o5242292g=z{=8o6=4={<61`?>e34>8=78m;|q76`<72;q68?k58c9>065=>k1v95<5s4>8=76m;<606?0e3ty???4?:3y>064=0k168>=56`9~w1542909w0:<3;:a?824<37}:<:>14o5242792g=z{=9>6=4={<601?>e34>8;78n;|q773<72;q68>858c9>067=>h1v9=8:181824?32i70:<8;4b?xu3;00;6?u242;95<5s4>8m76m;<61`?0d3ty??o4?:3y>06d=0k168?k56c9~w15d2909w0:7}:<:n14o5242592g=z{=9n6=4={<60a?>e34>9j78n;|q77c<72;q68>h58c9>07`=>j1v9:?:181823932i70:;4;4b?xu3<80;6?u245095<5s4>??76m;<670?0e3ty?884?:3y>010=0k1689756`9~w1212909w0:;7;:a?823037}:<=214o5245;92g=z{=>j6=4={<67f?>e34>?i78n;|q70g<72;q689m58c9>01b=>k1v9:l:181823l32i70:;e;4a?xu35<5s4>>=76m;<666?0e3ty?9<4?:3y>004=0k1688=56c9~w1332909w0::5;:a?822037}:<<<14o5244592g=z{=?=6=4={<663?>e34>>478m;|q71<<72;q688o58c9>00b=>h1v9;n:181822j32i70::c;4a?xu3=k0;6?u244a95<5s4>>j76m;<656?0f3ty?9k4?:3y>036=0k168;?56c9~w1072909w0:91;:a?821:37}:14o5247592d=z{=e34>=:78m;|q720<72;q68;858c9>031=>k1v987:181821132i70:9c;4b?xu3>00;6?u247c95<5s4>=n76m;<65a?0e3ty?:o4?:3y>03e=0k168;j56c9~w10d2909w0:9d;:a?821m37}:<>:14o5246192d=z{==;6=4={<645?>e34><>78m;|q734<72;q68:<58c9>025=>k1v99;:18e820<3oi70:n4;4:?82>?3<270:6b;4b?82>m3<270:61;4:?820>39;4b?82f03<27p};7483>7}:<>>19;5246`9e34><478n;|q732<72;q68:958c9>02?=>k1v997:181820032i70:8b;4b?xu3?00;6?u246;9k1:o5rs55b>5<5s4>02e=0k1685<56c9~w11c2909w0:8d;:a?820i37}:<>o14o5249192g=z{==m6=4={<64b?>e34><;78m;|q7<5<72;q685>58c9>021=>j1v96>:18182?932i70:87;4g?xu30;0;6?u2490921:o5rs5:0>5<5s4>3?76m;<64=?0f3ty?494?:dy>0=2=mk168l;56`9>00168l>56`9>0=b=>01685o56`9>020=>k1685?5689>06b=>0168?h56c9>052=>k168<=5689>074=>0168lo56`9~w1>22909w0:74;75?82?k32i7p};8783>7}:<1<14o5248092g=z{=2<6=4={<6;3?>e34>3578n;|q7<=<72;q685658c9>0=e=>h1v966:18182?132i70:7a;4a?xu30h0;6?u249c95<5s4>3n76m;<6;g?0e3ty?4i4?:3y>0=b=0k1685656c9~w1>b2909w0:7e;:a?82>;37}:<1l14o5248192g=z{=3;6=4={<6:4?>e34>3578m;|q7=4<72;q684?58c9>0=d=>k1v97=:18182>:32i70:64;4a?xu31:0;6?u248195<5s4>2876m;<6;0<3=mk1684h5689>0<2=>h168:k5689>0=4=>h168>h5689>066=>h168=:56`9>042=>0168?=5689~w1?12909w0:65;75?82>i32i7p};9683>7}:<0=14o524`292g=z{=336=4={<6:e34>2578n;|q7=<<72;q684758c9>0k1v97m:18182>j32i70:n1;4b?xu31j0;6?u248a95<5s4>2h76m;<6b6?0f3ty?5h4?:3y>0137}:e34>2478n;|q7e7<72;q68l<58c9>0<>=>k1v9o<:18182f<32i70:n5;4a?xu3i?0;6>u24`49ag=:1:4524c292<=z{=k<6=4={<6b2?2c34>jn76m;|q7e=<72;q68l658c9>0dg=>k1v9o6:18182f132i70:nb;4a?xu3ih0;6?u24`c95<5s4>jo7km;<6`3?0>3ty?mi4?:4y>0db=mk1685k56`9>07b=>k168=k5689>04c=>01v9oj:18782f>3?=70:ne;ga?82e935<5s4>i<76m;<6a5?0e3ty?n?4?:cy>0g4=mk1684l56c9>0k1684<56`9>0=1=>h168:j56`9>07e=>h168?k56`9>05`=>0168<<5689>077=>01v9l<:18182e:3?=70:m5;:a?xu3j=0;6?u24c695<5s4>i:7km;<6a1?0f3ty?n:4?:3y>0de==?168l;58c9~w1d?2909w0:m8;ga?85c;3<27p};b883>7}:34>h<76m;|q7fa<72;q68oj58c9>0f6=>k1v9lj:18182em32i70:l0;4`?xu3jo0;6?u24cd95<5s4>h>76m;<6`7?0e3ty?o94?:3y>0f3=0k168n656`9~w1e22909w0:l6;:a?82d037}:e34>h578n;|q7ga<72;q68nm5689>0a7=0k1v9mj:18182dm32i70:k1;4a?xu3ko0;6?u24bd95<5s4>o<76m;<6g5?0c3ty8oh4?:2y]7fc<5:in6h>4=2ae>3?53z\254=:98;1i=5210092<=z{8:n6=4<{_33a>;68l0n<63>0g85=>{t99i1<7=t^02`?877k3o;70??d;4:?xu4ko0;6{<326?>e3ty:55`=0k1v<>k:182877l32i7psa1436>5<6sA;9?6Gj0;3x5?{]>?0:w5?5}|l2140=83;pD<<<;Hg3>4}62tP=:7?t808~yk729>0;651zJ266=Nm90:w<4rZ7495~>62twe=8?6:182M75;2Cn<7?t1;Y23<6s1;1qp`>50c94?7|@8887Dk?:0y2>x\1>3;p4<4r}o365g<728qC=?=4Id295~7=uS<=6229Ja5<6s80vV;851z:2>x{i9<;o6=4>{I317>Ob83;p=7sU6782=7=utd:9:|X52?7|080vqc?:1g83>4}O9;90Eh>51z39y_0128q3=7srn0714?6=9rB:>>5Fe1824:|m5046290:wE?=3:Kf4?7|93wQ:;4>{939yxh6=;81<7?tH000?Lc728q:6pT96;3x<4>>4?:0yK5754}?93wvb<;=4;295~N6::1Bi=4>{08~^30=9r2:6psa1406>5<6sA;9?6Gj0;3x5?{]>?0:w5?5}|l2170=83;pD<<<;Hg3>4}62tP=:7?t808~yk72:>0;651zJ266=Nm90:w<4rZ7495~>62twe=8<6:182M75;2Cn<7?t1;Y23<6s1;1qp`>53c94?7|@8887Dk?:0y2>x\1>3;p4<4r}o366g<728qC=?=4Id295~7=uS<=6229~j435l3:1=vF>229~j435m3:1=vF>229~j435n3:1=vF>229~j43483:1=vF>229~j43493:1=vF>229~j434:3:1=vF>229~j434;3:1=vF>229~j434<3:1=vF>229~j434=3:1=vF>229~j434>3:1=vF>229~j434?3:1=vF>229~j43403:1=vF>229~j43413:1=vF>229~j434i3:1=vF>229~j434j3:1=vF>229~j434k3:1=vF>229~j434l3:1=vF>229~j434m3:1=vF>229~j434n3:1=vF>229~j43383:1=vF>229~j43393:1=vF>229~j433:3:1=vF>229~j433;3:1:vF>229~j433<3:1=vF>229Ja5<6s80vV?09w{i9<>=6=4>{I317>Ob83;p>7sU6782=7=utd:99950;3xL4443@o;64}O9;90Eh>51z39y_76>3;p9<4rZ7496~76>32:6psa146:>5<6sA;9?6sa146b>5<6sA;9?6Gj0;3x5?{]>?0:w5?5}|l211d=83;:wE?=3:m502d290:wE?=3:Kf4?7|93wQ=<851z73>x\1>38p=<85808~yk726tH000?xh6==o1<7?tH000?xh6==l1<7?tH000?xh6=<:1<7?tH000?xh6=<;1<7<={I317>{i9{i9{i9{I317>Ob83;p>7sU6782=7=utd:98;50;3xL4443@o;64}O9;90Eh>51z09y_0128q3=7srn0763?6=9rB:>>5Fe1827:|m503?290:wE?=3:Kf4?7|:3wQ:;4>{939yxh6=<31<7?tH000?Lc728q96pT96;3x<49l4?:0yK5754}?93wvb<;:b;295~N6::1Bi=4>{38~^30=9r2:6psa147`>5<6sA;9?6Gj0;3x6?{]>?0:w5?5}|l210b=83;pD<<<;Hg3>4}52tP=:7?t808~yk72=l0;6j7>51zJ266=Nm90:w?4rZ7495~>62twe=88?:182M75;2we=88>:182M75;2we=88=:182M75;2we=88<:182M75;2we=88;:182M75;2we=88::182M75;2we=889:182M75;2we=888:182M75;2we=887:182M75;2we=886:182M75;2we=88n:182M75;2we=88m:182M75;2we=88l:182M75;2we=88k:184M75;2we=88j:180M75;2we=88i:182M75;2we=89?:180M75;2we=89>:180M75;2we=89=:180M75;2we=89<:182`~N6::1vb<;84;296~N6::1vb<;85;295~N6::1vb<;86;295~N6::1vb<;87;297~N6::1vb<;88;297~N6::1vb<;89;296~N6::1vb<;8a;295~N6::1vb<;8b;297~N6::1vb<;8c;296~N6::1vb<;8d;295~N6::1vb<;8e;297~N6::1vb<;8f;296~N6::1vb<;70;295~N6::1vb<;71;297~N6::1vb<;72;296~N6::1vb<;73;295~N6::1vb<;74;296~N6::1vb<;75;295~N6::1vb<;76;297~N6::1vb<;77;296~N6::1vb<;78;295~N6::1vb<;79;297~N6::1vb<;7a;296~N6::1vb<;7b;295~N6::1vb<;7c;297~N6::1vb<;7d;296~N6::1vb<;7e;295~N6::1vb<;7f;297~N6::1vb<;60;296~N6::1vb<;61;295~N6::1vb<;62;297~N6::1vb<;63;296~N6::1vb<;64;295~N6::1vb<;65;297~N6::1vb<;66;296~N6::1vb<;67;295~N6::1vb<;68;297~N6::1vb<;69;296~N6::1vb<;6a;295~N6::1vb<;6b;297~N6::1vb<;6c;296~N6::1vb<;6d;295~N6::1vb<;6e;296~N6::1vb<;6f;296~N6::1vb<;n0;296~N6::1vb<;n1;296~N6::1vb<;n2;296~N6::1vb<;n3;296~N6::1vb<;n4;296~N6::1vb<;n5;296~N6::1vb<;n6;295~N6::1vb<;n7;296~N6::1vb<;n8;296~N6::1vb<;n9;295~N6::1vb<;na;295~N6::1vb<;nb;296~N6::1vb<;nc;296~N6::1vb<;nd;296~N6::1vb<;ne;296~N6::1vb<;nf;296~N6::1vb<;m0;296~N6::1vb<;m1;296~N6::1vb<;m2;296~N6::1vb<;m3;296~N6::1vb<;m4;296~N6::1vb<;m5;296~N6::1vb<;m6;296~N6::1vb<;m7;296~N6::1vb<;m8;296~N6::1vb<;m9;296~N6::1vb<;ma;295~N6::1vb<;mb;297~N6::1vb<;mc;297~N6::1vb<;md;295~N6::1vb<;me;295~N6::1vb<;mf;295~N6::1vb<;l0;297~N6::1vb<;l1;297~N6::1vb<;l2;295~N6::1vb<;l3;295~N6::1vb<;l4;295~N6::1vb<;l5;295~N6::1vb<;l6;295~N6::1vb<;l7;295~N6::1vb<;l8;291~N6::1vb<;l9;297~N6::1vb<;la;295~N6::1vb<;lb;295~N6::1vb<;lc;295~N6::1vb<;ld;295~N6::1vb<;le;295~N6::1vb<;lf;295~N6::1vb<;k0;295~N6::1vb<;k1;291~N6::1vb<;k2;297~N6::1vb<;k3;295~N6::1vb<;k4;295~N6::1vb<;k5;295~N6::1vb<;k6;295~N6::1vb<;k7;295~N6::1vb<;k8;295~N6::1vb<;k9;295~N6::1vb<;ka;2954}O9;90qc?:dc83>6}O9;90qc?:db83>4}O9;90qc?:de83>4}O9;90qc?:dd83>4}O9;90qc?:dg83>1}O9;90qc?:e183>6}O9;90qc?:e083>4}O9;90qc?:e383>4}O9;90qc?:e283>1}O9;90qc?:e583>6}O9;90qc?:e483>4}O9;90qc?:e783>1}O9;90qc?:e683>6}O9;90qc?:e983>4}O9;90qc?:e883>1}O9;90qc?:e`83>6}O9;90qc?:ec83>4}O9;90qc?:eb83>7}O9;90qc?:ee83>7}O9;90qc?:ed83>7}O9;90qc?:eg83>7}O9;90qc?:f183>7}O9;90qc?:f083>6}O9;90qc?:f383>6}O9;90qc?:f283>4}O9;90qc?:f583>4}O9;90qc?:f483>4}O9;90qc?:f783>4}O9;90qc?:f683>4}O9;90qc?:f983>6}O9;90qc?:f883>6}O9;90qc?:f`83>4}O9;90qc?:fc83>4}O9;90qc?:fb83>4}O9;90qc?:fe83>4}O9;90qc?:fd83>4}O9;90qc?:fg83>4}O9;90qc?90183>4}O9;90qc?90083>4}O9;90qc?90383>4}O9;90qc?90283>4}O9;90qc?90583>4}O9;90qc?90483>4}O9;90qc?90783>4}O9;90qc?90683>4}O9;90qc?90983>4}O9;90qc?90883>4}O9;90qc?90`83>4}O9;90qc?90c83>4}O9;90qc?90b83>4}O9;90qc?90e83>4}O9;90qc?90d83>4}O9;90qc?90g83>4}O9;90qc?91183>4}O9;90qc?91083>4}O9;90qc?91383>4}O9;90qc?91283>4}O9;90qc?91583>4}O9;90qc?91483>4}O9;90qc?91783>4}O9;90qc?91683>4}O9;90qc?91983>4}O9;90qc?91883>6}O9;90qc?91`83>7}O9;90qc?91c83>7}O9;90qc?91b83>7}O9;90qc?91e83>6}O9;90qc?91d83>0}O9;90qc?91g83>6}O9;90qc?92183>4}O9;90qc?92083>7}O9;90qc?92383>7}O9;90qc?92283>4}O9;90qc?92583>4}O9;90qc?92483>7}O9;90qc?92783>7}O9;90qc?92683>4}O9;90qc?92983>4}O9;90qc?92883>7}O9;90qc?92`83>7}O9;90qc?92c83>6}O9;90qc?92b83>4}O9;90qc?92e83>4}O9;90qc?92d83>4}O9;90qc?92g83>4}O9;90qc?93183>4}O9;90qc?93083>4}O9;90qc?93383>7}O9;90qc?93283>7}O9;90qc?93583>4}O9;90qc?93483>4}O9;90qc?93783>6}O9;90qc?93683>6}O9;90qc?93983>4}O9;90qc?93883>4}O9;90qc?93`83>4}O9;90qc?93c83>4}O9;90qc?93b83>6}O9;90qc?93e83>45|@8887p`>62g94?5|@8887p`>62d94?3|@8887p`>65294?7|@8887p`>65394?5|@8887p`>65094?5|@8887p`>65194?3|@8887p`>65694?5|@8887p`>65794?5|@8887p`>65494?7|@8887p`>65594?2|@8887p`>65:94?5|@8887p`>65;94?7|@8887p`>65c94?7|@8887p`>65`94?7|@8887p`>65a94?2|@8887p`>65f94?5|@8887p`>65g94?2|@8887p`>65d94?5|@8887p`>64294?2|@8887p`>64394?5|@8887p`>64094?2|@8887p`>64194?5|@8887p`>64694?4|@8887p`>64794?4|@8887p`>64494?4|@8887p`>64594?7|@8887p`>64:94?7|@8887p`>64;94?7|@8887p`>64c94?4|@8887p`>64`94?4|@8887p`>64a94?4|@8887p`>64f94?4|@8887p`>64g94?7|@8887p`>64d94?7|@8887p`>67294?7|@8887p`>67394?7|@8887p`>67094?7|@8887p`>67194?7|@8887p`>67694?7|@8887p`>67794?7|@8887p`>67494?7|@8887p`>67594?7|@8887p`>67:94?7|@8887p`>67;94?5|@8887p`>67c94?5|@8887p`>67`94?7|@8887p`>67a94?7|@8887p`>67f94?7|@8887p`>67g94?7|@8887p`>67d94?7|@8887p`>66294?3|@8887p`>66394?5|@8887p`>66094?3|@8887p`>66194?7|@8887p`>66694?7|@8887p`>66794?7|@8887p`>66494?7|@8887p`>66594?7|@8887p`>66:94?7|@8887p`>66;94?7|@8887p`>66c94?5|@8887p`>66`94?7|@8887p`>66a94?7|@8887p`>66f94?7|@8887p`>66g94?7|@8887p`>66d94?7|@8887p`>69294?7|@8887p`>69394?5|@8887p`>69094?5|@8887p`>69194?7|@8887p`>69694?7|@8887p`>69794?7|@8887p`>69494?7|@8887p`>69594?7|@8887p`>69:94?7|@8887p`>69;94?7|@8887p`>69c94?7|@8887p`>69`94?7|@8887p`>69a94?7|@8887p`>69f94?7|@8887p`>69g94?7|@8887p`>69d94?7|@8887p`>68294?5|@8887p`>68394?5|@8887p`>68094?5|@8887p`>68194?7|@8887p`>68694?7|@8887p`>68794?7|@8887p`>68494?5|@8887p`>68594?5|@8887p`>68:94?7|@8887p`>68;94?7|@8887p`>68c94?7|@8887p`>68`94?7|@8887p`>68a94?7|@8887p`>68f94?7|@8887p`>68g94?7|@8887p`>68d94?7|@8887p`>6`294?7|@8887p`>6`394?7|@8887p`>6`094?7|@8887p`>6`194?5|@8887p`>6`694?5|@8887p`>6`794?7|@8887p`>6`494?7|@8887p`>6`594?7|@8887p`>6`:94?7|@8887p`>6`;94?7|@8887p`>6`c94?7|@8887p`>6``94?7|@8887p`>6`a94?7|@8887p`>6`f94?7|@8887p`>6`g94?5|@8887p`>6`d94?5|@8887p`>6c294?0|@8887p`>6c394?5|@8887p`>6c094?5|@8887p`>6c194?3|@8887p`>6c694?2|@8887p`>6c794?5|@8887p`>6c494?7|@8887p`>6c594?7|@8887p`>6c:94?7|@8887p`>6c;94?5|@8887p`>6cc94?5|@8887p`>6c`94?7|@8887p`>6ca94?7|@8887p`>6cf94?2|@8887p`>6cg94?5|@8887p`>6cd94?7|@8887p`>6b294?7|@8887p`>6b394?7|@8887p`>6b094?7|@8887p`>6b194?7|@8887p`>6b694?7|@8887p`>6b794?7|@8887p`>6b494?7|@8887p`>6b594?7|@8887p`>6b:94?2|@8887p`>6b;94?5|@8887p`>6bc94?7|@8887p`>6b`94?7|@8887p`>6ba94?5|@8887p`>6bf94?4|@8887p`>6bg94?4|@8887p`>6bd94?7|@8887p`>6e294?7|@8887p`>6e394?7|@8887p`>6e094?7|@8887p`>6e194?7|@8887p`>6e694?7|@8887p`>6e794?7|@8887p`>6e494?7|@8887p`>6e594?7|@8887p`>6e:94?7|@8887p`>6e;94?7|@8887p`>6ec94?7|@8887p`>6e`94?7|@8887p`>6ea94?5|@8887p`>6ef94?4|@8887p`>6eg94?4|@8887p`>6ed94?5|@8887p`>6d294?5|@8887p`>6d394?5|@8887p`>6d094?7|@8887p`>6d194?7|@8887p`>6d694?7|@8887p`>6d794?7|@8887p`>6d494?7|@8887p`>6d594?7|@8887p`>6d:94?7|@8887p`>6d;94?4|@8887p`>6dc94?4|@8887p`>6d`94?7|@8887p`>6da94?7|@8887p`>6df94?7|@8887p`>6dg94?7|@8887p`>6dd94?4|@8887p`>6g294?4|@8887p`>6g394?3|@8887p`>6g094?5|@8887p`>6g194?7|@8887p`>6g694?7|@8887p`>6g794?7|@8887p`>6g494?7|@8887p`>6g594?7|@8887p`>6g:94?7|@8887p`>6g;94?7|@8887p`>6gc94?7|@8887p`>6g`94?7|@8887p`>6ga94?4|@8887p`>6gf94?4|@8887p`>6gg94?7|@8887p`>6gd94?7|@8887p`>71294?7|@8887p`>71394?4|@8887p`>71094?7|@8887p`>71194?7|@8887p`>71694?7|@8887p`>71794?7|@8887p`>71494?7|@8887p`>71594?7|@8887p`>71:94?4|@8887p`>71;94?2|@8887p`>71c94?7|@8887p`>71`94?7|@8887p`>71a94?7|@8887p`>71f94?7|@8887p`>71g94?7|@8887p`>71d94?4|@8887p`>70294?4|@8887p`>70394?7|@8887p`>70094?5|@8887p`>70194?7|@8887p`>70694?7|@8887p`>70794?7|@8887p`>70494?7|@8887p`>70594?7|@8887p`>70:94?4|@8887p`>70;94?4|@8887p`>70c94?7|@8887p`>70`94?7|@8887p`>70a94?7|@8887p`>70f94?7|@8887p`>70g94?4|@8887p`>70d94?4|@8887p`>73294?0|@8887p`>73394?4|@8887p`>73094?4|@8887p`>73194?7|@8887p`>73694?7|@8887p`>73794?7|@8887p`>73494?7|@8887p`>73594?7|@8887p`>73:94?7|@8887p`>73;94?5|@8887p`>73c94?5|@8887p`>73`94?7|@8887p`>73a94?5|@8887p`>73f94?5|@8887p`>73g94?7|@8887p`>73d94?7|@8887p`>72294?7|@8887p`>72394?7|@8887p`>72094?7|@8887p`>72194?7|@8887p`>72694?7|@8887p`>72794?7|@8887p`>72494?7|@8887p`>72594?7|@8887p`>72:94?7|@8887p`>72;94?7|@8887p`>72c94?7|@8887p`>72`94?3|@8887p`>72a94?4|@8887p`>72f94?4|@8887p`>72g94?7|@8887p`>72d94?7|@8887p`>75294?7|@8887p`>75394?7|@8887p`>75094?7|@8887Dk?:0y1>x\1>3;p4<4r}o3406<728qC=?=4}o3401<728qC=?=4}o3400<728qC=?=4}o3403<728qC=?=4Id295~4=uS<=6229~j41303:1=vF>229Ja5<6s80vV;851z:2>x{i9>>26=4>{I317>{i9>>j6=4={I317>Ob83;p?7sU6782=7=utd:;9l50;3xL4443@o;64}O9;90qc?84e83>4}O9;90Eh>51z09y_0128q3=7srn057a?6=9rB:>>5Fe1824:|m522a290:wE?=3:m5237290:wE?=3:Kf4?7|:3wQ:;4>{939yxh6?<;1<7?tH000?Lc728q96pT96;3x<44}?93wvb<9:3;295~N6::1Bi=4>{08~^30=9r2:6psa1677>5<6sA;9?6sa1676>5<6sA;9?6Gj0;3x6?{]>?0:w5?5}|l2300=83;pD<<<;Hg3>4}52tP=:7?t808~yk70=>0;657>51zJ266=zf8=>m7>51zJ266=Nm90:w<4rZ7495~>62twe=:;m:182M75;2we=:;l:182M75;2Cn<7?t1;Y23<6s1;1qp`>74f94?7|@8887p`>74g94?4|@8887Dk?:0y0>x\1>3;p4<4r}o341c<728qC=?=4Id295~4=uS<=6229~j41193:1=vF>229Ja5<6s;0vV;851z:2>x{i9><96=4>{I317>{i9><86=4>{I317>Ob83;p>7sU6782=7=utd:;;:50;3xL4443td:;;;50;3xL4443@o;64}O9;90qc?86683>6}O9;90Eh>53z195?5=uS<=6229~j41113:1=vF>229Ja5<6s;0vV;851z:2>x{i9>{I317>{i9>{I317>Ob83;p>7sU6782=7=utd:;;m50;3xL4443td:;;j50;3xL4443@o;6:|X52?7|080vqc?86d83>4}O9;90qc?86g83>7}O9;90Eh>51z19y_0128q3=7srn0544?6=:rB:>>5Fe1826:|m5216290:wE?=3:Kf4?7|:3wQ:;4>{939yxh6?>81<7?tH000?xh6?>91<7?tH000?Lc728q:6pT96;3x<44}?93wvb<986;296~N6::1vb<987;297~N6::1vb<988;297~N6::1vb<989;297~N6::1vb<98a;295~N6::1vb<98b;295~N6::1vb<98c;295~N6::1vb<98d;295~N6::1vb<98e;295~N6::1vb<98f;295~N6::1vb<970;295~N6::1vb<971;295~N6::1vb<972;295~N6::1vb<973;295~N6::1vb<974;295~N6::1vb<975;295~N6::1vb<976;295~N6::1vb<977;295~N6::1vb<978;295~N6::1vb<979;295~N6::1vb<97a;297~N6::1vb<97b;297~N6::1vb<97c;295~N6::1vb<97d;295~N6::1vb<97e;295~N6::1vb<97f;295~N6::1vb<960;295~N6::1vb<961;295~N6::1vb<962;295~N6::1vb<963;295~N6::1vb<964;295~N6::1vb<965;295~N6::1vb<966;295~N6::1vb<967;295~N6::1vb<968;295~N6::1vb<969;295~N6::1vb<96a;295~N6::1vb<96b;297~N6::1vb<96c;297~N6::1vb<96d;295~N6::1vb<96e;295~N6::1vb<96f;295~N6::1vb<9n0;295~N6::1vb<9n1;295~N6::1vb<9n2;295~N6::1vb<9n3;295~N6::1vb<9n4;295~N6::1vb<9n5;295~N6::1vb<9n6;295~N6::1vb<9n7;295~N6::1vb<9n8;295~N6::1vb<9n9;295~N6::1vb<9na;295~N6::1vb<9nb;295~N6::1vb<9nc;295~N6::1vb<9nd;295~N6::1vb<9ne;295~N6::1vb<9nf;295~N6::1vb<9m0;295~N6::1vb<9m1;295~N6::1vb<9m2;295~N6::1vb<9m3;295~N6::1vb<9m4;295~N6::1vb<9m5;295~N6::1vb<9m6;295~N6::1vb<9m7;295~N6::1vb<9m8;295~N6::1vb<9m9;295~N6::1vb<9ma;295~N6::1vb<9mb;295~N6::1vb<9mc;295~N6::1vb<9md;295~N6::1vb<9me;295~N6::1vb<9mf;295~N6::1vb<9l0;295~N6::1vb<9l1;295~N6::1vb<9l2;295~N6::1vb<9l3;295~N6::1vb<9l4;295~N6::1vb<9l5;295~N6::1vb<9l6;295~N6::1vb<9l7;295~N6::1vb<9l8;295~N6::1vb<9l9;295~N6::1vb<9la;295~N6::1vb<9lb;295~N6::1vb<9lc;295~N6::1vb<9ld;295~N6::1vb<9le;295~N6::1vb<9lf;295~N6::1vb<9k0;295~N6::1vb<9k1;297~N6::1vb<9k2;297~N6::1vb<9k3;295~N6::1vb<9k4;295~N6::1vb<9k5;295~N6::1vb<9k6;295~N6::1vb<9k7;295~N6::1vb<9k8;295~N6::1vb<9k9;295~N6::1vb<9ka;295~N6::1vb<9kb;295~N6::1vb<9kc;295~N6::1vb<9kd;295~N6::1vb<9ke;295~N6::1vb<9kf;295~N6::1vb<9j0;297~N6::1vb<9j1;297~N6::1vb<9j2;295~N6::1vb<9j3;295~N6::1vb<9j4;295~N6::1vb<9j5;295~N6::1vb<9j6;295~N6::1vb<9j7;295~N6::1vb<9j8;295~N6::1vb<9j9;295~N6::1vb<9ja;295~N6::1vb<9jb;295~N6::1vb<9jc;295~N6::1vb<9jd;295~N6::1vb<9je;295~N6::1vb<9jf;295~N6::1vb<9i0;297~N6::1vb<9i1;297~N6::1vb<9i2;295~N6::1vb<9i3;295~N6::1vb<9i4;295~N6::1vb<9i5;295~N6::1vb<9i6;295~N6::1vb<9i7;295~N6::1vb<9i8;295~N6::1vb<9i9;295~N6::1vb<9ia;295~N6::1vb<9ib;295~N6::1vb<9ic;295~N6::1vb<9id;295~N6::1vb<9ie;296~N6::1vb<9if;296~N6::1vb<6?0;295~N6::1vb<6?1;295~N6::1vb<6?2;295~N6::1vb<6?3;297~N6::1vb<6?4;297~N6::1vb<6?5;290~N6::1vb<6?6;297~N6::1vb<6?7;295~N6::1vb<6?8;297~N6::1vb<6?9;297~N6::1vb<6?a;295~N6::1vb<6?b;297~N6::1vb<6?c;297~N6::1vb<6?d;297~N6::1vb<6?e;297~N6::1vb<6?f;295~N6::1vb<6>0;295~N6::1vb<6>1;295~N6::1vb<6>2;295~N6::1vb<6>3;295~N6::1vb<6>4;295~N6::1vb<6>5;295~N6::1vb<6>6;295~N6::1vb<6>7;295~N6::1vb<6>8;295~N6::1vb<6>9;295~N6::1vb<6>a;295~N6::1vb<6>b;295~N6::1vb;k50;3xyk>4290:wp`68;295~{iim0;6{|lfg?6=9rwe==?50;3xyk76>3:1=vsa13`94?7|ug;?<7>51zm503=83;pqc?9a;295~{i9>l1<7?t}o3:a?6=;rwe=4650;3xyk7el3:1?vsa1c594?7|ug;oo7>53zm5a0=83;pqc?ib;297~{i9o?1<7?t}o024?6=9rwe>?h50;1xyk4513:1=vsa25694?7|ug8>57>51zm63c=83;pqc<73;295~{i:h81<7=t}o0:g?6=9rwe>n?50;1xyk4ej3:1=vsa2d294?5|ug8om7>51zm6c`=839pqcj50;1xyk54?3:1=vsa34094?7|ug9=;7>51zm72e=83;pqc=61;295~{i;h<1<7?t}o1af?6=9rwe?i>50;3xyk5bn3:1?vsa3d;94?7|ug>;i7>53zm05>=83;pqc:=d;297~{i<;=1<7?t}o67g?6=;rwe89850;3xyk21j3:1?vsa47794?7|ug>3m7>53zm0=2=83;pqc:n9;297~{i53zm156=83;pqc;=5;297~{i=8l1<7?t}o770?6=;rwe9>k50;3xyk31;3:1?vsa54f94?7|ug?3>7>53zm12e=83;pqc;n1;297~{i=0h1<7?t}o7`4?6=;rwe9oo50;3xyk3cn3:1?vsa5e;94?7|ug?mi7>53zm1c>=83;pqc8>d;297~{i>8=1<7?t}o40g?6=;rwe:>850;3xyk02j3:1?vsa64794?7|ug<53zm222=83;pqc869;297~{i>091<7?t}o4a53zm2c6=83;pqc9>5;297~{i?9l1<7?t}o500?6=;rwe;?k50;3xyk12;3:1?vsa75f94?7|ug=<>7>53zm33e=83;pqc961;297~{i?1h1<7?t}o5a4?6=;rwe;lo50;3xyk1dn3:1?vsa7b;94?7|ug=ni7>53zm3`>=83;pqc6?d;297~{i09=1<7?t}o:1g?6=;rwe4?850;3xyk>3j3:1?vsa85794?7|ug2=<7>51zm<23=83;pqc67a;295~{i00l1<7?t}o:a0?6=9rwe4n750;3xyk>b03:1?vsa8d094?7|ug3;;7>53zm=57=83;pqc7=6;297~{i1;:1<7?t}o;71?6=;rwe5>h50;3xyk?1<3:1?vsa94g94?7|ug33?7>53zm=2b=83;pqc7n2;297~{i10i1<7?t}o;`5?6=;rwe5ol50;3xyk?b83:1?vsa9ec94?7|ug3mj7>53zm=c?=83;pqco>e;297~{ii821<7?t}oc0`?6=;rwem>950;3xykg2k3:1?vsaa4494?7|ugk53zme23=83;pqc?71b83>4}]>?0:w5?5}I:b?xh608n1<7?tZ7495~>62tB3m6sa193f>5<6sS<=651zX52?7|080vD5o4}o3;65<728qvb<6=1;295~{i91896=4>{|l2<75=83;pqpsr@AAx5gea2l?3hlm>d|BCF~6zHIZpqMN \ No newline at end of file +$5f:5=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857<4FNQWW>D:593;5=95=3;KMTPR=IVLXN1<<:0G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?k;@NF4Zkrp9:;>d:COA4YXg{:;<GIL;>0MCJ<4:CM@1286OAD768EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P73?1g9AAWTuzVddx=>?1018FP@682H^EAJPT@PDAQGUKA20NX]PIODL5>E33J;=A45L17O\MGSA>2I9<@KAb:A14HCIWFH^J;5L24OFJg=D:EHEDC_XHJ8;BPFEQCC:2IX?6M[L79@h`gu9o1H`ho}1^]bja6789l0Oaknr0]bja6789;:7Ik4DSA\WPEDMZYMYA94DUC@Ow`03M^JOF|}f:FWEFMuzVddx=>?1028@QGDC{xTbbz?01323>Bf|h6;2:5Kauc?5;0h5KotvLAZiu89:;?h5KotvLAZiu89:;8h5KotvLAZiu89:;9h5KotvLAZiu89:;:h5KotvLAZiu89:;;h5KotvLAZiu89:;4<5J5:GEeij13LLj`a?9;DDbhi423LY7<3;4ER>2:0=B[58596K\<2<6?@U;<7>0I^Q?d:GP[5YXign;<=>j;DQ\4ZYffm:;<=?j;DQ\4ZYffm:;<=CTW8UTmcj?0122a>CTW8UTmcj?01210>CTW;n0I^Q=_^cm`5678l1N_RCTW=UTmcj?012f?@UX?013f?@UX?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`>1`9FWZKflmUTmij?012\[dhc89::=<74ER]NeabXWhno<=>?_^cm`567:8k0I^QBaef\[dbc89:;SRoad12364b_np34565m2OXS\Q>_np34564m2OXS\Q>_np34563m2OXS\Q>_np34562m2OXS\Q>_np34561m2OXS\Q>_np34560m2OXS\Q>_np3456?m2OXS\Q>_np3456>m2OXSRokd1234979m2OXSRokd1234949m2OXSRokd1234959m2OXSRokd1234929=2O_MNEk;DVBGNYffm:;<=k4EUC@OZgil9:;<k4EUC@OZgil9:;<9k4EUC@OZgil9:;<8k4EUC@OZgil9:;<;64EYRBJACC981NT]OADDF\FFBXN@FN=95JXQCM@@BXKFDXX_OFNUQ25>C_XHDOIIQFSD]EMIC13LUBNXH=;Dp0?@t5=2Oy0=0:;Dp?5;25HNE38M7=N8;1B=?5F239J77=N<;1B9?5F639J31=NIJY>7DOLS078MDET:<1BMN]<4:KAQC4OIA]Y_MYK8;HLJPVRD02CEEY][C0g8MKOS[VLXARHId032?LHN\ZUM_@QIFe3.Mk753@DBX^QISL]EBa7*Ag;:>6GAIUQ\BVKXNOn:!D`=e:KMMQUXNZGTJKj=109JJLRTWOYFSKHk2,Km57=NF@^XSK]B_GDg6(Oi9880ECG[S^DPIZ@Al;'Bb??=;HLJPVYA[DUMJi<"Io126>OIA]YTJ^CPFGf1)Lh39;1BBDZ\_GQN[C@c:$Ce9h5FNHVP[CUJWF?k5FNHVP[CUJWF?<>0:KMMQUXkdzTi|>?0218MKPb3@DTBJJPAOF\FFB53@E>7DANCUf8MJGD\Vkeh=>?0d9JKDESWhdo<=>?1g9JKDESWhdo<=>?11g8MJGD\Vkeh=>?03g8MJGD\Vkeh=>?02g8MJGD\Vkeh=>?05g8MJGD\Vkeh=>?04g8MJGD\Vkeh=>?07g8MJGD\Vkeh=>?06g8MJGD\Vkeh=>?09g8MJGD\Vkeh=>?08f8MJGD\Vg~t=>?0d9JKDESWds<=>?1d9JKDESWds<=>?2d9JKDESWds<=>?3d9JKDESWds<=>?4d9JKDESWds<=>?5d9JKDESWds<=>?6d9JKDESWds<=>?779JKDESz?1BCOK]Rd9JKGCUZVg~t=>?0g9JKGCUZVg~t=>?0033?LIEM[XTaxv?0122446??;HMAAWTXe|r;<=>>4g9JKGCUZVg~t=>?03d8MJDBZ[Ufyu>?011e?LIEM[XTaxv?0127b>OHJLXYS`{w01231c=NGKOY^Rczx12343`7DALS048MJET99<0EBM\1048MJET9;?0EBM\249JKFU4=2CDO^::;HM@W03OHKZ2>7DALS868MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?559JKI7d3@EG=R``t1235a=NGE;Tbbz?01321>OHDMY=7DA_WBQ4?LIW_JY:;6G@PVAP60=NG[OZh6G@RDS\ip~789:n7DA]EP]nq}6789;m7DA]EP]nq}6789;;i6G@RDS\ip~789:9i6G@RDS\ip~789:8i6G@RDS\ip~789:?i6G@RDS\ip~789:>i6G@RDS\ip~789:=i6G@RDS\ip~789:OHZ^:o7DA]W1]nq}6789o0EB\X0^ov|56788o0EB\X0^ov|5678;o0EB\X0^ov|5678:o0EB\X0^ov|5678=n0EB\X0^llp5679l1BC_Y?_omw45669l1BC_Y?_omw4566:l1BC_Y?_omw4566;l1BC_Y?_omw4566l1BC_Y?_omw4566?<1BC_Y>d:KLVR7Xign;<=>j;HMQS4Yffm:;<=?j;HMQS4Yffm:;<=1:KLV`gcqVUjbi>?010e?LIUmhnrSRa}012355=NG[ojhtQPos23457682CD^hoky^]lv5678;;;7DA]e`fz[Ziu89:;?<>4INPfeaXWfx;<=>;119JKWcflpUTc>?01724>OHZlkouRQ`r12343eOH[VLXARHId0/Jj46??;HMP[CUJWOLo= Ga4b9JKVYA[DUMJi Ga119JKVYA[DUMJi<"Io325>OH[VLXARHId3/Jj46692CD_RH\M^DE`7+Nf8;:=6G@S^DPIZ@Al;'Bb<<>1:KLWZ@TEVLMh?#Fn0125>OH[VLXARHId3/Jj42692CD_RH\M^DE`7+Nf8?:<6G@S^DPIZ@Al;'Bb???;HMP[CUJWOLo> Ga3028MJUXNZGTJKj=-Hl755=NGZUM_@QIFe0.Mk3682CD_RH\M^DE`7+Nf?;;7DA\_GQN[C@c:$Ce;<>4INQ\BVKXNOn9!D`7119JKVYA[DUMJi<"Io;`?LITWOYFSKHk3g9JKVYA[DUMJi="Io33?LITWOYFSKHk3,Km546Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JNJ[KYU;5CO@FF@6=KGJ=0@BIFC@Nb?IVJWQEY>R?6;MVji`t;87k0@Ygbes>24;g1?c8HQojm{6:>374LUknaw:6601GXdcjr=0==>JSadoy0>06;MVji`t;<730@Ygbes>6:<=K\`gn~1819:NWmhcu4>427AZfmdp?<;?99OPlkbz]I:?6B[ilgqPFYdeyUn}=>?0068HQojm{^HSnc_ds345669=1GXdcjrUA\ghvXmx:;<=<>4:NWmhcu\JUha}Qjq12346733E^bah|[C^antZcv89:;8<:4LUknawRDWjg{Sh?0126<>JSadoyS=64LUknawY612F_e`k}_02:?IRnelxT=<74LUknawY6:11GXdcjr^0;?IRnelxT?55CThofvZ2?3E^bah|P599OPlkbzV<37AZfmdp\3==K\`gn~R67;MVji`tX1;>0@Ygbes]NeabXWhno<=>?_LzlvZTb{|f0<>1259OPlkbzVGjhiQPaef3456XEqeyS_k|umv?5485<2F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6:>3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=3=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;:7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1=1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?0;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz36?00?IRnelxTAljk_^cg`5678VGscQ]erwop919::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~743<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=;=63=K\`gn~RCnde]\eab789:T^h}zlu]3[kis89:;=d:NWmhcuWDkohRQnde2345YXign;<=<>e:NWmhcuWDkohRQnde2345YXign;<=<>1e9OPlkbzVGjhiQPaef3456XWhdo<=><1d9OPlkbzVGjhiQPaef3456XWhdo<=><10f8HQojm{UFmijP_`fg4567WVkeh=>?40g8HQojm{UFmijP_`fg4567WVkeh=>?403g?IRnelxTAljk_^cg`5678VUjbi>?043f?IRnelxTAljk_^cg`5678VUjbi>?0432`>JSadoyS@okd^]b`a6789UTmcj?0142a>JSadoyS@okd^]b`a6789UTmcj?01425a=K\`gn~RCnde]\eab789:TSl`k01245`=K\`gn~RCnde]\eab789:TSl`k012454b311<27>JSadoySRokd12349766890@Ygbes]\eab789:7=?0>2:NWmhcuWVkoh=>?0=3=57=K\`gn~RQnde2345:56880@Ygbes]\eab789:7?3?=;MVji`tXWhno<=>?<5<26>JSadoySRokd12349399;1GXdcjr^]b`a67896=2<<4LUknawYXimn;<=>37?31?IRnelxTSljk01238=86:2F_e`k}_^cg`567853596B`ae3f?Iifl8UTmcj?012`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`=;O20?K66:2D:;6@JTVMQO3=IGJFB\<5@4:MAQC35@ND08KW50Ccmjc:Mmg`YXg{:;<=j4Ooaf[Ziu89:;=i5@nbg\[jt789:9h6Aacd]\kw67899o7B`le^]lv5678=n0Ccmj_^mq4567=m1DbnkP_np34561l2EeohQPos23451c3FdhiRQ`r1234=b=?<1130[I53:R266=W9:90\<:<;Q367>V6>:1[=:=4P0:0?U7>:2Z9?6^=029S67590\?6<;Q0:6>V4;2Z8<>5_3318T6543Y9??6^<529S735V39:1[8?=4P510?U21;2Z><>5_5318T0543Y???6^:529S135V18:1[:<=4P710?U03;2Z=9>5_6718T3153Y=87]9?3:R466=W?:90\::<;Q557>V0?:1[;5=4P6;1?U>43Y2;?6^7129S<75>5_9218T<243Y3>?6^6629S=25>0:RP[CUJWOLo> Ga1033?UUXNZGTJKj=-Hl2646m7]]PFRO\BCb5%@d>j6^\_GQN[C@c:$Ce:k5_S^DPIZ@Al;'Bb:h4PR]EWHYANm8&Ec6i;QQ\BVKXNOn9!D`6b:RP[CUJWF7_O30?48VD:68720^L2>1;2=2>TF48;596\N<0<6?WG;:7?0^L2<>49QE929=2XJ080:;SC?2;3TF40487_OB5:PBIFU13[KFO^?9;SCNGV413[KFO^=:;SCNAKb=1g9QEHCIWds<=>?23d8VDKBFVg~t=>?031e?WGJMGUfyu>?0107a>TFELDTaxv?0120a>TFELDTaxv?0127a>TFELDTaxv?0126a>TFELDTaxv?0125a>TFELDTaxv?0124a>TFELDTaxv?012;a>TFELDTaxv?012:<>TFE[ojht??;SCNV`gcqVkeh=>?0038VDKUmhnrSl`k0123546>0008VDKUmhnrS`{w012354753[KF^hoky^ov|567888:=6\NMSgb`|Yj}q:;<=<>1:PBIWcflpUfyu>?01125>TFE[ojhtQbuy23452692XJA_kndx]nq}6789?:=6\NMSgb`|Yj}q:;<=8>1:PBIWcflpUfyu>?01525>TFE[ojhtQbuy2345>692XJA_kndx]nq}6789327_OB_Sgb`|gTF[LFTaxv?0122b>TF[LFTaxv?01224c=UIZOGS`{w012354`i;SCPAIYj}q:;<=<>e:PBW@JXe|r;<=>;e:PBW@JXe|r;<=>:e:PBW@JXe|r;<=>9e:PBW@JXe|r;<=>8e:PBW@JXe|r;<=>7e:PBW@JXe|r;<=>69:PB[5YHJ\Lh7_OP0^ov|5678m1YMR>Pmtz34566l2XJS=Qbuy23454c3[KTTFW8Ufyu>?011g?WGX9Vg~t=>?05;8VDY5WFH^Jn5]A^0\ip~789:o7_OP2^ov|56788n0^LQ=_lw{4567:m1YMR3[KT?RAMUGa8VDY4Wds<=>?d:PB[6Yj}q:;<=?k;SC\7Zkrp9:;Taxv?0122`>TFW=Ufyu>?010g?WGX?02f8VDY3Wds<=>?489QEZ3XGK_Mo6\N_4]nq}6789n0^LQ:_lw{45679m1YMR;Pmtz34565l2XJS8Qbuy23455c3[KT9Rczx12341?k;SC\2Zkrp9:;<i5]A^4\ip~789:8h6\N_7]nq}6789>27_OP7^MAQCeTFW>Ufyu>?013g?WGX?Vg~t=>?03f8VDY0Wds<=>?3e9QEZ1Xe|r;<=>;9:PB[=YHJ\Lh7_OP8^ov|5678m1YMR6Pmtz34566l2XJS5Qbuy23454c3[KT4Rczx12346bTFW1Ufyu>?014:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563k2XN\AOLE^MHE\55]SU`8VVYA[DUMJi?j;SQ\BVKXNOn:!D`i;SQ\BVKXNOn:!D`>f:PP[CUJWOLo= Ga2g9QWZ@TEVLMh<#Fn2`8VVYA[DUMJi119QWZ@TEVLMh?#Fn0224>TTWOYFSKHk2,Km54`>0:PP[CUJWOLo? Ga1033?WUXNZGTJKj<-Hl2646:<6\\_GQN[C@c;$Ce=8??;SQ\BVKXNOn8!D`>6028VVYA[DUMJi="Io3455=U[VLXARHId2/Jj4>682XXSK]B_GDg7(Oi90l0^^QISL]EBa5*Ag8:<6\\_GQN[C@c;$Ce>=??;SQ\BVKXNOn8!D`=1028VVYA[DUMJi="Io01b>TTWOYFSKHk3,Km7c=U[VLXARHId2/Jj1`4Rdcg}5tXWfx;<=>>119Qadb~8{UTc>?0105?Wcflp;<7_kndx3qb>Tbims:~RQ`r1234464RddGkkcXWfx;<=>>119QacBhflUTc>?01024>TbnMeeiRQ`r12346773[omHb`j_^mq4567<8:0^hhKoog\[jt789:>i6\jfGpliWU7Flrj6\jfGpliWU7Flr=<>4RddEvjkU[9Dnty??119Qac@ugdXXTbnOxda_]?Ndzw57773[omJabRR2Ma}r6;8:0^hhIrnoQW5Hbp};?j6\jfGpliWU7Flr>k5]egDqkhTT8Gosx>h4RddEvjkU[9Dnty:i;SgeBwijZZ:Eiuz:f:PfbCthe[Y;Bhv{6g9Qac@ugdXXAeyv:`>TbnOxda_]?Psgf?WcaN{ef^^>_rd3f?WcaN{ef^^>_rd0f?WcaN{ef^^>_rd1f?WcaN{ef^^>_rd6f?WcaN{ef^^>_rd7f?WcaN{ef^^>_rd4f?WcaN{ef^^>_rd5f?WcaN{ef^^>_rd:5?WcaZl{37_kiRdsJjd=UmoXn}^wacd9QacTbyVkeh=>?0g9QacTbyVkeh=>?00d8V``UmxUjbi>?010f?WcaZl{Tbbz?013e?WcaZl{Tbbz?0132`>Tbn[ozSb|?012f?WcaZl{Tc>?013f?WcaZl{Tc>?010f?WcaZl{Tc>?011f?WcaZl{Tc>?0165?Wca]{m37_kiUseJj`=Umo_ykRoad1234c=Umo_ykRoad12344`^KAQC1<[^686<0:;RU?7;g<[^682RGMUGc8WkbUIDIX[_?4T29WVL><\[CXXLZJ4:VQQ@2<\PZN46Zfmdp?4;><\`gn~1?18:Vji`t;:720Xdcjr=1=3>Rnelx_O<<4ThofvQEXkdzTi|>?0130?Qojm{^HSnc_ds345669:1_e`k}TB]`iuYby9:;:7:Vji`tX88:0Xdcjr^2\[dhc89:;=<5[ilgq[5YXign;<=>>109WmhcuW9UTmcj?012154=SadoyS=QPaof34564?2^bah|P1028PlkbzV;TSl`k012354=SadoyS=7:Vji`tX;8:0Xdcjr^1\[dhc89:;=<5[ilgq[6YXign;<=>>109WmhcuW:UTmcj?012167=SadoyS@okd^]b`a6789UFtb|PRdqvhq:66;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7>3<=;UknawYJimnTSljk0123[H~hzVXnxb{<2<2g>RnelxTAljk_^cg`5678VUjbi>?013g?Qojm{UFmijP_`fg4567WVkeh=>?003`?Qojm{UFmijP_`fg4567WVkeh=>?10f8PlkbzVGjhiQPaef3456XWhdo<=>>1038PlkbzVUjhi>?01>2:47<\`gn~RQnde2345:568;0Xdcjr^]b`a6789682i5[ilgq[dhc89:;i6Zfmdp\ekb789:::6[AURGGe>STM[UJ@DO\c:WPAWYQAZCI@H=4VBA:?SOB_V^R\H=4VQC`?SVFWVkeh=>?0e9UTDYXign;<=>>b:TSEZYhz9:;?0176?SVFzo?0Z]O}re9UTDtuWge<=>>e:TSEwtXff~;<=?>6:UbvuUB9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON;2RD^95WOS05?]USD@H<7U][_FLG3>^T\V\HO85W_NLF1>^X\[C=7UQUESM5?]beW@ni7Ujg_QpjiLhqk2RodR^}ilVzt``0VH\@3:amp7=d{:1mo:4in`ng>ohjdUecy>?01f8mjdjWge<=>?159jkgu>3gKOcxzCE1g8jDBh}}FN?389mEAir|EO:m6`NDnwwH@76m2dJHb{{LD3\KGSA;2dJ_:5aAR]EWGehF[VCDNi5aAR]JKGYHJ\LNm6`NS^KLFjss>2dJ_b{{e:lBWjssWge<=>>f:lBWjssWge<=>>1g9mEVir|Vddx=>?13d8jDUh}}Uecy>?001e?kGTg|~Tbbz?01373>hFg|~DI55aAnwwK@7d3gKdyyAJ_N@VB0=iJLXY56`MESP\BVDb3gHN^_QISC]LFP@692dII_\PFR@\[jt789::>6`MESP\BVDXWfx;<=>>139mF@TUWOYISRa}01236444nCP\MJDXe|r;<=>;119mFWYNGKUfyu>?01724>hEZVCDNRczx12343773gHYSDAM_lw{4567?=1eOL]k;oABWZEkcVCEZ<94nBCP[K6>3gIJ_RAMUGa8jFGTWge<=>>d:l@EVYig}:;<hC\HI@SDAMf:lGPDELW@EISDLZFd9m@QGDCVUd~=>?0g9m@QGDCVUd~=>?00d8jARFKBUTc>?0105?kBnfEOi7cJfnMG\KGSAl2dOecBJ_np3456b3gNbbAKPos2345713gNbbBK8;oFjjJC6?2dOecAJ269m@lhHM:h0bIgaOD]LFP@03gNdyyAJ8:lGkprHM820bIaztNG1<>hCg|~DI>m4nEmvpJCXGK_M96`FPM0b?kOWD;UBNXH9;oKSH7t33gFO_55aLEQ\BVDc3gFO_RH\B^KAQC>hKLZUd~=>?10a8jIBTWfx;<=?=c:lO@VYhz9:;=>m4nMFP[jt789;?o6`CDR]lv5679?0e9mHRCXign;<=>>d:lOS@Yffm:;<=j4nMUF[dhc89:;8>5aOD68jJC6<2dDI?64nNG\KGSA02dDzh|cax31?kIqm{fjuROlls]JJS7e3gE}ibny^L355=iGoy`lwPaof3456692dDzh|cax]bja6789;:=6`@vdpoe|Yffm:;<=<>1:lLr`tkipUjbi>?01125>hH~lxgmtQnne23452692dDzh|cax]bja6789?:=6`@vdpoe|Yffm:;<=88;oPBIIQBk2dYM@BXE^MAQC1hUMZUBCO[IEb9mV@UXff~;<=?k;oPFWZhh|9:;=<94nSGPkpr13gXDAN]8;oPLIFU6j2dYC@M\_N@VB3=iZFG\I:5aRNOTA4dhRLZUecy>?0037?kSPM<1eYZK>c:lVS@Yffm:;<=j4nTUF[dhc89:;=i5aUVG\ekb789:9h6`ZWD]bja67899o7c[XE^cm`5678=>0b[CN8:lUIDYNGKo0b[CN_HMA[JDRNLh0b[CN_HMAkprc3g\FMRQnne2345chQEHUTc>?013g?kPJIVUd~=>?03f8jSKFWVey<=>?3e9mRHGXWfx;<=>;d:lUIDYXg{:;<=;k;oTNEZYhz9:;<;j4nWOB[Ziu89:;;i5aVLC\[jt789:3h6`YM@]\kw67893h7cXBA^cm`5678m1eZ@OPaof34566l2d]ALQnne23454c3g\FMRoad12346bhQEHUjbi>?014g?kPJIVkeh=>?0668jSVF=2d]\L?:;oTSE7>h`lkb`i=4r`o;?}e>=9:s`099{mioip|d:46vcny]bqqiX8Vron"m|t/zaga{GHy;h9n5O@y636?@=<3;p_4>54019615=9:>i1;48 16d2=:87p]7f;627?43;3;88o>m6;3706g<[8n;69j<:28271d7j?0:89=j;R:e>1b42:0:?9l?b782015a3m>;>7>51;3xW<6=<891>9=5126a4g0=9=>;i6*70;6g1>N>?2|_in4?:082>6g7sZ3;69?<:360>453j9h=6<:;0d9'7c2=9m80Z9>i:3yv671=929>54?;|&1=5<3l?1/ik4?;%gg>1b?3-on6<<9;c636?6="4k>0?=3:17b6::188k1372900e9<9:188k1ed2900e9o>:188k1?c2900c5950;9l0<5=831b8>l50;9l0=`=831d89750;9l06`=831bm54?::ke=?6=3`>>>7>5;n618=7>5;h651?6=3f>2=7>5;h6`a?6=3f>j?7>5;n6;5?6=3`226=44i9194?=h<8i1<75`43094?=n=h:k21<7*=9381e`=i:0;1>65`2c594?"51;09mh5a28397>=h:k<1<7*=9381e`=i:0;1865`2c794?"51;09mh5a28391>=h:k>1<7*=9381e`=i:0;1:65`2c194?"51;09mh5a28393>=h:k81<7*=9381e`=i:0;1465`2c394?"51;09mh5a2839=>=h:k:1<7*=9381e`=i:0;1m65`2`a94?"51;09mh5a2839f>=n93:07d:6:18'6<4=4?51:9j0=<72-82>7:n;o0:5?4<3`><6=4+28090d=i:0;1?65f4483>!4>:3>j7c<61;68?l23290/>4<54`9m6<7==21b8>4?:%0:6?2f3g82=784;h61>5<#:0818l5a28393>=n<80;6)<62;6b?k4>93207d:?:18'6<4=4?59:9j7c<72-82>7:n;o0:5?g<3`9n6=4+28090d=i:0;1n65f3e83>!4>:3>j7c<61;a8?l5d290/>4<54`9m6<7=l21b9>4?:%0:6?2f3g82=7k4;h71>5<#:0818l5a2839b>=n=80;6)<62;6b?k4>93;;76g:0;29 7?52=k0b?7>:038?l2a290/>4<54`9m6<7=9;10e9k50;&1=7<3i2d95<4>3:9j0a<72-82>7:n;o0:5?7332c?o7>5$3;1>1g5<#:0818l5a283953=5<#:081?oh4n3;2>4=5<6290;w)=l7;336>N38?1C?k<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~f16?290:6=4?{%1`3?5a;2B?<;5G3g08 16b2;9:7)?lf;6g3>i5<;0;6):?e;002>=zj=;=6=4>a;07>6>|@:l97)=l7;;2?_>628q36p*>cd80g3=n0j0;66a>6d83>>i4k;0;66g83;29?l2>=3:17b?:6;29?l2c83:17b4<51c9m6<7=821b=l4?:%0:6?7e3g82=7?4;h3:>5<#:081=o5a28396>=n9>0;6)<62;3a?k4>93907d?::18'6<4=9k1e>4?54:9j51<72-82>7?m;o0:5?3<3`;86=4+28095g=i:0;1:65f1383>!4>:3;i7c<61;58?l76290/>4<51c9m6<7=021b==4?:%0:6?7e3g82=774;hd94?"51;0:n6`=908b?>ob290/>4<51c9m6<7=j21bh7>5$3;1>4d!4>:3;i7c<61;f8?l43290/>4<51c9m6<7=m21b>>4?:%0:6?7e3g82=7h4;c63h=;%63a?4492.:ok4;d69l614=83.?5<1;29 16b28;:76g7b;29 16b21h07d6l:18'05c=0j10e>ln:18'05c=;kk07d=:1;29 16b2:?:76a=3783>!27m388:65rb52a>5<6290;w):?e;620>i5;?0;6):?e;002>=z{;ki6=4={_0bf>;5ik09?;5rs8494?4|V0<01?om:032?xu?l3:1>vP7d:?1eg<4=81v5l50;0xZ=d<5;ki65l4}r:1>5<5sW2970t$2a4>4423A>;:6Fj:315?M27l21vn<9?:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb5:5>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=59;294~"4k>08:6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921b==850;&74`<69810e<>8:18'05c=98;07d??8;29 16b28;:76g>0883>!27m3;:=65f11c94?"38l0:=<54i02a>5<#<9o1=t$2a4>60<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?l77>3:1(9>j:032?>o68>0;6):?e;325>=n9921<7*;0d8254=5$52f>47632c:?k50;&74`<5;?10qo??f;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c0af?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a6gb=83>1<7>t$2a4>64<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?l77>3:1(9>j:032?>i5:l0;6):?e;002>=zj=226=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn96n:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg2?j3:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl;8b83>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e<1n1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi85k50;694?6|,:i<6><4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07d??6;29 16b28;:76a=2d83>!27m388:65rb26b>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`00f<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi?9j50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f62b29086=4?{%1`3?44;2B?<;5G3g08 16b2;997)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?1<729q/?n95339K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54i025>5<#<9o1=t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e99;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632c:<:4?:%63a?76921d>?k50;&74`<5;?10qo?:c;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c36`?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e97E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb07e>5<4290;w)=l7;007>N38?1C?k<4$52f>7553-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd6>90;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6383>6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`21=<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zj8?26=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn<;n:180>5<7s-9h;7<<3:J743=O;o80(9>j:311?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|q`>5<5sWi01<>k:025?xuc2909wSj4=02g>4603tyn6=4={_g8946c28:37p}i:180[`<58:o6<>6;<36`?77<2wx==4?:2y]55=:99n1==o4=07g>4623ty:=7>52z\25>;68m0:j:027?872j3;;86s|1283>6}Y9:16==k51178943e28:>7p}>4;297~X6<27:

079>50d=99<0q~?::180[7234;;i7??7:?21g<68>1v<950;7xZ41<58:n6<>7;<6;e?77;2788o4>029>50?=99>0q~?6:185[7>34;;i7??9:?7{t9h0;6:uQ1`9>55c=99k01?lm:020?82?13;;963<4d8241=:9<=1==;4=07;>4633ty:o7>56z\2g>;68l0:<;<17`?77;27:9k4>059~w75=838pR?=4=02g>4633ty987>52z\10>;68m0:<85rs6194?4|V>901966:027?xu?k3:1>vP7c:?7<0k:30f?877n3;;86s|11g94?4|58:n6?m7<=e:p501=838p1<;8:30f?872n3;;96s|14:94?4|58?36?2;8n70?:a;331>{t974b34;=>7??4:p50e=838p1<;l:30f?87193;;86s|14f94?4|58?o6?{t974b34;>m7??4:p536=838p1<8?:30f?87193;;96s|17394?4|58<:6?{t9?o1<7?l50;0x916?2;>970:75;;5?xu5jh0;69uQ2cc8941728:?70;6=j0:<85rs3`a>5<5s48in7<=e:?1fa<68=1v?ll:18184ek389i63=be8243=z{:>26=4={_17=>;4=909>h5rs26b>5<5s49?m7<=e:?00`<68<1v>:m:181853j389i63<518241=z{:>h6=4={<17g?45m2788k4>059~w62c2909w0=;d;01a>;45<5s49?i7<=e:?015<68<1v>:i:181853n389i63<518243=z{=2>6=4<{<6;1?4fj279ni4>049>71g=9990q~:77;296~;30<03h63;87816`=z{=236=4={<6;1?>e34>3i7<=e:p0=?=838p1966:30f?82?m3;;96s|49c94?4|5=2j6?e2;8n70:7d;331>{t<1i1<774b34>3i7??6:p0=b=838p196k:30f?82?m3;;86s|48794?4|V=3>70:76;337>{t5d8246=zuk>o87>5328`6*84?::m7=a<722e?5>4?::m70<<722c5;h1a0?6=3f8n47>5;n6;5?6=3`>=47>5;h1b3?6=3`>o<7>5;h6b4?6=3`8im7>5;n6:e?6=3`9?57>5;n64e?6=3f8jj7>5$3;1>7gb3g82=7>4;n0b`?6=,;396?oj;o0:5?7<3f8i47>5$3;1>7gb3g82=7<4;n0a3?6=,;396?oj;o0:5?5<3f8i:7>5$3;1>7gb3g82=7:4;n0a1?6=,;396?oj;o0:5?3<3f8i87>5$3;1>7gb3g82=784;n0a7?6=,;396?oj;o0:5?1<3f8i>7>5$3;1>7gb3g82=764;n0a5?6=,;396?oj;o0:5??<3f8i<7>5$3;1>7gb3g82=7o4;n0bg?6=,;396?oj;o0:5?d<3`;26=4+28095==i:0;1<65f1683>!4>:3;37c<61;38?l72290/>4<5199m6<7=:21b=94?:%0:6?7?3g82=7=4;h30>5<#:081=55a28390>=n9;0;6)<62;3;?k4>93?07d?>:18'6<4=911e>4?56:9j55<72-82>7?7;o0:5?1<3`l1<7*=9382<>h5180376gj:18'6<4=911e>4?59:9j`?6=,;396<64n3;2>d=93h07d<;:18'6<4=911e>4?5c:9j66<72-82>7?7;o0:5?b<3`896=4+28095==i:0;1i65f2083>!4>:3;37c<61;d8?l47290/>4<5199m6<7=9910e1:9j5`<72-82>7?7;o0:5?7532c:h7>5$3;1>4>5<#:081=55a283951=:183!5d?3;;>6F;079K7c4<,=:n69?;;%3`b?2c?2e:<<4?:%63a?44>21vn9>7:182>5<7s-9h;7=i3:J743=O;o80(9>j:312?!7dn3>o;6a=4383>!27m388:65rb2:4>5<22;0=w)=l7;d`?M27>2B8j?5+1bd90a1>o?:3:17d79:188k7ge2900n?om:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16e290:6=4?{%63a?26<2e9?;4?:%63a?44>21v?om:181[4fj279mo4=379~w<0=838pR484=3ca>4763ty3h7>52z\;`>;5ik089<5rs9`94?4|V1h01?om:9`8yv>52909wS6=;<0bf?>d3ty:6dd=;kk019>m:315?x{e;k91<7=52;6x 6e02oh0D9>9;I1e6>"6ko0?h:5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'05c=98;07d6m:18'05c=0k10e5m50;&74`;>:18'05c=;<;07b<<6;29 16b2;9=76sm41;94?7=83:p(9>j:312?j43:3:1(9>j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj53438yv4>;3:1>vP=929>ba<4jh1v?om:181[4fj27mh7<<6:p7c5=838p1kj58c9>05?=:=80qpl>:182>5<7s-9m=7:4$2a4>4653->;i7:>4:&2gc<3l>1d==?50;&74`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``99;I1e6>"6ko0?h:5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'05c=98;07d6m:18'05c=0k10e5m50;&74`;>:18'05c=;<;07b<<6;29 16b2;9=76sm41;94?7=83:p(9>j:312?j43:3:1(9>j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj53438yv4>;3:1>vP=929>ba<4jh1v?om:181[4fj27mh7<<6:p7c5=838p1kj58c9>05?=:=80qpl>:182>5<7s-9m=7:4$2a4>4653->;i7:>4:&2gc<3l>1d==?50;&74`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``99;I1e6>"6ko0?h:5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'05c=98;07d6m:18'05c=0k10e5m50;&74`;>:18'05c=;<;07b<<6;29 16b2;9=76sm41;94?7=83:p(9>j:312?j43:3:1(9>j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj53438yv4>;3:1>vP=929>ba<4jh1v?om:181[4fj27mh7<<6:p7c5=838p1kj58c9>05?=:=80qpl>:182>5<7s-9m=7:4$2a4>4653->;i7:>4:&2gc<3l>1d==?50;&74`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``99;I1e6>"6ko0?h:5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'05c=98;07d6m:18'05c=0k10e5m50;&74`;>:18'05c=;<;07b<<6;29 16b2;9=76sm41;94?7=83:p(9>j:312?j43:3:1(9>j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj53438yv4>;3:1>vP=929>ba<4jh1v?om:181[4fj27mh7<<6:p7c5=838p1kj58c9>05?=:=80qpl>:182>5<7s-9m=7:4$2a4>4653->;i7:>4:&2gc<3l>1d==?50;&74`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``94=:5y'7f1=nk1C8=84H2d1?!7dn3>o;6g72;29?l?12900c?om:188f7ge290>6?49{%1`3?`c3-;hj7:k7:m1eg<722c95>4?::k:2?6=3`2o6=44i9094?=enm0;6;4?:1y'05c=nl1b=d32c8nl4?:%63a?5ei21b?8?50;&74`<4=810c?=9:18'05c=::<07pl;0883>4<729q/8=k52238k725290/8=k52248?xu>>3:1>vP66:?e`?7692wx4?4?:3y]<7=:nm03o6s|8e83>7}Y0m16ji4<509~w7?42909wS<63:?e`?5ei2wx>ll50;0xZ7ge34lo6?=9;|q0b6<72;q6ji47b:?74<<5<;1vqo?50;394?6|,:l:695+3b59554<,=:n69?;;%3`b?2c?2e:<<4?:%63a?44>21v?om:181[4fj279mo4=ac9~w<0=838pR484=3ca><0;628::7)=i1;78yxd5jl0;6>4=:5y'7f1=nk1C8=84H2d1?!76;3<0(52900e4850;9l6dd=831i>ll50;796?0|,:i<6kj4$0ae>1b03f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<5;81d>9<50;&74`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5292wx>4=50;0xZ7?434lo6>ln;|q1eg<72;qU>ll4=gf966052z?e`?>e34>;57<;2:~f4<7280;6=u+3g390>"4k>0:5<5$52f>47632c3n7>5$52f>=d<3`2h6=4+41g9=7>5$52f>63632e9?;4?:%63a?44>21vn9>6:182>5<7s->;i7<<1:m107<72->;i7<<6:9~w<0=838pR484=gf9547c34lo6>;>;|q1=6<72;qU>4=4=gf97gg52z\1eg=:nm09?;5rs2d0>5<5s4lo65l4=52:>7253twi=7>51;294~"4n80?7)=l7;336>"38l0?=95+1bd90a1{t0;0;6?uQ839>6dd=0;1v?4?:2y>6dd=:0901?om:9f894<6881/?k?55:~f7ce29086?4;{%1`3?`e3A>;:6F2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi89750;196?2|,:i<6kl4H525?M5a:2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi?8<50;196?2|,:i<6kl4H525?M5a:2.:=>49;%3`b?2c?2c3>7>5;h;5>5<5<22;0=w)=l7;dg?!7dn3>o;6a=ac83>>o51:0;66g66;29?l>c2900e5<50;9aba<72?0;6=u+41g9b`=n98;1<7*;0d8254==n0j0;6):?e;:`?>o4jh0;6):?e;1ae>=n;<;1<7*;0d8014=5}#<9o1>>?4o361>5<#<9o1>>84;|q:2?6=:rT2:63id;325>{t0;0;6?uQ839>ba5<5sW82?63id;1ae>{t:hh1<77}:nm03n63;088107=zuk;1<7?50;2x 6`62=1/?n951108 16b2=;?7)?lf;6g3>i6880;6):?e;002>=z{;ki6=4={_0bf>;5ik09mo5rs8494?4|V0<01?om:848yv>52909wS6=;<0bf?>53ty96=4<{<0bf?4>;279mo47d:?2>4663-9m=7;4}|`012<72:0969u+3b59bg=O<9<0D>h=;%327?0<,8im69j8;h:1>5<56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63=?6=93:1{t:091<77}Y:hh01kj52248yv5a;3:1>v3id;:a?827138?>6srb083>4<729q/?k?54:&0g2<68;1/8=k54068 4ea2=n<7b??1;29 16b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=1?1v5<50;0xZ=4<5;ki65<4}r094?5|5;ki6?7<;<0bf?>c34;1==?4$2d2>0=zuk9=87>53;090~"4k>0mn6F;079K7c4<,8;86;5+1bd90a1>i5ik0;66l=ac83>0<52?q/?n95fe9'5f`=7>5;cdg>5<1290;w):?e;df?l7693:1(9>j:032?>o?j3:1(9>j:9`8?l>d290/8=k58b98m6df290/8=k53cc8?l5293:1(9>j:272?>i5;?0;6):?e;002>=zj=:26=4>:183!27m388=6a=4383>!27m388:65rs8494?4|V0<01kj51038yv>52909wS6=;=e7}Y:0901kj53cc8yv4fj3:1>vP=ac9>ba<5;?1v>h<:1818`c21h019>6:361?x{e93:1=7>50z&0b4<33-9h;7??2:&74`<39=1/=nh54e58k466290/8=k52248?xu5ik0;6?uQ2``897ge2;ki7p}66;296~X>>279mo466:p<7<72;qU4?522``9<7=z{;0;6>u22``96<5<5;ki65j4=08244=#;o;196srb3g:>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm4``94?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj==i6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a7dd=8391<7>t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e;k<1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb2`4>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f12b29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo:;a;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd31l0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn96=:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg2?;3:1?7>50z&0g2<5;:1C8=84H2d1?!27m388>6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi8lj50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f1gb29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo:nf;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd3j90;684?:1y'7f1=;:1C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5$52f>47632e9>h4?:%63a?44>21vn99k:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg20m3:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl;7g83>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e<1:1<7;50;2x 6e02:90D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632c:<:4?:%63a?76921d>?k50;&74`<5;?10qoj:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65f11494?"38l0:=<54o30f>5<#<9o1>>84;|`041<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd48<0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>7543A>;:6Fj:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo=?d;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd48l0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb231>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f67429096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo=>4;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c121?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e;821<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi?<750;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f67f29086=4?{%1`3?563A>;:6Fj:032?>i5:l0;6):?e;002>=zj:;i6=4<:183!5d?388?6F;079K7c4<,=:n6?==;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`05c<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi??>50;194?6|,:i<6>?4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm33394?5=83:p(>m8:310?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`063<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd4:>0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb20a>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo==d;297?6=8r.8o:4=329K050<@:l97):?e;006>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e;:;1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb211>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f65429086=4?{%1`3?44;2B?<;5G3g08 16b2;997)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e;=:1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi?9?50;194?6|,:i<6?=<;I632>N4n;1/8=k52208 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c152?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a723=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn>99:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb254>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`03<<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi?:o50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f61e29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo=97;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c15N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=54;294~"4k>08>6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921b==850;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`02f<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zj:6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`02c<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zj:=;6=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>9=:180>5<7s-9h;7<<3:J743=O;o80(9>j:311?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`036<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd4?=0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>;6:180>5<7s-9h;7<<3:J743=O;o80(9>j:311?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`01f<72=0;6=u+3b5977=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098m461290/8=k51038?j45m3:1(9>j:315?>{e;><4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo=:e;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd4=o0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj:<:6=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>8=:185>5<7s-9h;7=;;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76g>0783>!27m3;:=65f11594?"38l0:=<54i02;>5<#<9o1=t$2a4>7543A>;:6Fj:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=m7>53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo=:b;297?6=8r.8o:4=329K050<@:l97):?e;006>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>4423A>;:6Fj:315?M27l21vn>;::180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb275>5<4290;w)=l7;007>N38?1C?k<4$52f>7553-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd4;?0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj:936=4;:183!5d?3997E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632c:<;4?:%63a?76921d>?k50;&74`<5;?10qo=<9;297?6=8r.8o:4=329K050<@:l97):?e;006>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e;:h1<7=50;2x 6e02;987E:?6:J0b7=#<9o1>><4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo=6e;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c1:b?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a7d7=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn>o=:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg5f;3:1?7>50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=54;294~"4k>08>6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921b==850;&74`<69810c?1<729q/?n95339K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54i025>5<#<9o1=t$2a4>4423A>;:6Fj:315?M27l21vn>7::180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb2;5>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`0==<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi?4750;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f6?f29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo=6b;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c1:g?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?83:1?7>50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm38094?5=83:p(>m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>7543A>;:6Fj:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=54;294~"4k>08>6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921b==850;&74`<69810c?6<729q/?n954178L1613A9m>6*;0d8741=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f6>f29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo=7b;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd40j0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb3f`>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f7c729096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd5m;0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj;o?6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a6`1=8391<7>t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e:mn1<7850;2x 6e02:>0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632c:<:4?:%63a?76921b==650;&74`<69810c?6<729q/?n952218L1613A9m>6*;0d8177=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f7ba29086=4?{%1`3?563A>;:6Fj:032?>i5:l0;6):?e;002>=zj;oo6=4<:183!5d?388?6F;079K7c4<,=:n6?==;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`1bg<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi>km50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f7`c29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd5no0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>4423A>;:6Fj:315?M27l21vn?kj:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg4bn3:187>50z&0g2<4:2B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=n99<1<7*;0d8254=5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb3d2>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f7`529086=4?{%1`3?44;2B?<;5G3g08 16b2;997)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=4?:283>5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`1b0<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd5n?0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn?h8:180>5<7s-9h;7<<3:J743=O;o80(9>j:311?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`1b<<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd5nh0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn?m?:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg4dj3:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl=d083>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e:m81<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb3f0>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f7b329096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd5l?0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj;i:6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?4?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a6f2=8391<7>t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e:j?1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi>n850;194?6|,:i<6>?4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm2b594?3=83:p(>m8:218L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=;:k242<72->;i7?>1:9l67c=83.?50z&0g2<5;:1C8=84H2d1?!27m388>6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi>n750;194?6|,:i<6?=<;I632>N4n;1/8=k52208 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c0`e?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e:ji1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi>nj50;694?6|,:i<6><4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07d??6;29 16b28;:76a=2d83>!27m388:65rb3af>5<4290;w)=l7;007>N38?1C?k<4$52f>7553-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd5ko0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rsb83>7}Yk278<;4>059~wa<72;qUh63<0d8241=z{l0;6?uQe:?051<68=1vk4?:3y]b>;49h0:<95rs0294?4|V8:01>vP>1:?063<68=1v<<50;0xZ44<5:8h6<>;;|q27?6=:rT:?63<338241=z{8>1<76;296~X6>278<94>049~w41=838pR<94=21f>4623ty:h7>52z\2`>;48j0:<85rs0g94?4|V8o01>?=:026?xu6n3:1>vP>f:?05=<68<1v?>50;0xZ76<5:;n6<>:;|q15?6=:rT9=63<258240=z{;81<77p}=3;296~X5;278?=4>049~w72=838pR?:4=21;>4633ty5dz\47>;0>33=70;4?80:<>5237g9552<5:?j6<>;;<1:a?77<2784k4>029>7<3=99?01?hk:020?85793;;?63=f48241=::j91===4}r55>5<3s4==6?om;<14029>6ce=9990q~6l:18f[>d3493;76=;<1a7?>5349j:76=;<1a5349j476=;<55>=4<5;hn65<4=3f;>=4<5;oi65<4=271>=4<5:?<65<4=247>=4<5=>j6<><;|q16<<72;q68=95113896>021n0q~vP>9:\1ea=z{;km6=4<{_36?[4fn2788=4>059~w7d72909wS:181[4e9278=84=2d9~w7d52909wS<4=2d9~w7d32909wSi4=2d9~w7d12909wS029>6ag=99?01>99:027?850?3;;?63<788246=:;?81==;4=215>464349847??5:?0=`<68<16?495111897`?28:?70;5nl0:<>5rs3`f>5<>s48ii75116897bf28:=70=86;331>;4=m0:<95238d9555<5;l36<>:;<0`b?77<2wx>oh50;0x97db20<01?j?:30f?xu5k90;6?u22b2967c<5;i?6<>:;|q1g4<72;q6>n?523g897e028:<7p}=c383>7}::j81>?k4=3ag>4633ty9o>4?:3y>6f5=:;o01?mk:025?xu5k=0;6?u22b6967c<5;i36<>:;|q1g0<72;q6>n;523g897e>28:>7p}=c783>7}::j<1>?k4=3ab>4633ty9o:4?:3y>6f1=:;o01?mn:026?xu5k10;6?u22b:967c<5;in6<>;;|q1g<<72;q6>n7523g897ed28:?7p}=c`83>7}::jk1>?k4=3ag>4623ty9oo4?:3y>6fd=:;o01?m::027?xu5kj0;6?u22ba967c<5;in6<>:;|q1ga<72;q6>nj523g897b728:>7p}=cd83>7}::jo1>?k4=3ae>4623ty9ok4?:3y>6f`=:;o01?j?:027?xu5l80;6?u22e3967c<5;i>6<>:;|q1`7<72;q6>i<523g897ed28:>7p}=d283>7}::m91>?k4=3a5>4633ty9h94?:3y>6a2=:;o01?m9:026?xu5l<0;6?u22e7967c<5;i<6<>;;|q1`3<72;q6>i8523g897e028:>7p}=d683>7}::m=1>?k4=3a4>4613ty9h54?:3y>6a>=:hh01?kn:027?xu5l00;6?u22ec967c<5;o96<><;|q1`g<72;q6>i65979>6a`=:;o0q~h522d5955252z?1`a<5:l16>ik51178yv4cm3:1>v3=dd816`=::ml1==:4}r0f4?6=:r79i=4=2d9>6`1=99?0q~h522ef95537>52z?1a7<5:l16>ij51168yv4b;3:1>v3=e2816`=::mn1==84}r0f0?6=:r79i94=2d9>6ab=99=0q~h522ef955>52z?1a3<5:l16>ih51178yv4b?3:1>v3=e6816`=::mo1==:4}r0f52z?1a<<5:l16>ho51178yv4bj3:1=;u22d`96dd<5=>n6<><;<130?77<278<84>029>75e=99>01>>k:020?856:3;;863<128246=:;821==:4=23:>464349:i7??4:?05c<68:16??:51168964228:870==a;330>;4:k0:<>523229552<5:9:6<><;<10a?77<278?k4>029>761=99901>=7:025?xu5mj0;6?u22d`9=3=::ok1>?k4}r0f`?6=:r79ii4=2d9>6c1=99>0q~h522g3955352z?1ac<5:l16>k<51178yv4a83:1>v3=f1816`=::o91==;4}r0e5?6=:r79j<4=2d9>6c2=99?0q~h522g7955352z?1b6<5:l16>k851178yv4a<3:1>v3=f5816`=::ok1==;4}r0e1?6=:r79j84=2d9>6c0=99>0q~h522g5955352z?1b2<5:l16>k751168yv4a03:1>v3=f9816`=::o81==:4}r0e=?6=:r79j44=2d9>6cg=99>0q~h522dd955252z?1bf<5:l16>hh51148yv4al3:1>v3=fe816`=::o:1==:4}r0ea?6=:r79jh4=2d9>6c6=99?0q~h522g;955352z?045<5:l16>k:51168yv5793:1>v3<00816`=::o;1==:4}r137?6=:r78<94=2d9>751=99>0q~=?4;296~;48<09>h52314955352z?043<5:l16?=951178yv57j3:1>v3<0b816`=:;9l1==:4}r13g?6=:r7875c=99?0q~=?d;296~;48l09>h5231d955352z?057<5:l16?<;51168yv56:3:1>v3<12816`=:;8>1==;4}r127?6=:r78=94=2d9>743=99?0q~=>7;296~;49109>h5230`955252z?05<<5:l16?v3<1`816`=:;8h1==;4}r12`?6=:r78=h4=2d9>777=99>0q~=>e;296~;49o09>h52332955352z?065<5:l16???51178yv55;3:1>v3<25816`=:;;=1==:4}r110?6=:r78>84=2d9>770=99?0q~==5;296~;4:?09>h52335955352z?06d<5:l16??j51168yv55i3:1>v3<2c816`=:;;i1==;4}r11f?6=:r78>n4=2d9>77b=99?0q~==f;296~;4;909>h52321955252z?074<5:l16?><51178yv5493:1>v3<33816`=:;:91==;4}r101?6=:r78?;4=2d9>76?=99>0q~=<6;296~;4;>09>h5232;955352z?07=<5:l16?>l51178yv5403:1>v3<38816`=:;:k1==;4}r10=?6=:r78?l4=2d9>76d=99>0q~=h52353955252z?07c<5:l16?9>51178yv54n3:1>v3<41816`=:;=;1==;4}r17=?6=:rT8845248`9555>7>513y>704=:hh01>89:020?850i3;;?63<688241=:;<31==:4=27`>462349>i7??3:?010<68=16?l<5111896??28:870=79;331>;5lj0:<9522d69555<5;lm6<><;<0g6?77;279h>4>059>6a1=99901?m;:027?xu4=:0;6?u23409=3=:;<<1>?k4}r160?6=:r78994=2d9>703=99?0q~=:5;296~;4=<09>h523449553;7>513y>701=:hh01>9::020?851?3;;863<588240=:;464349>87??3:?0e5<68:16?475111896>f28:870;5m<0:<>522df9552<5::;6<>:;<0`4?77;279h>4>049>6f7=99901?m6:027?xu4=10;6?u23459=3=:;

?k4}r16=?6=:r78944=2d9>737=99?0q~=:a;296~;4=h09>h5234`9553o7>52z?01f<5:l16?;=51168yv52l3:1>v3<5e816`=:;?81==:4}r16a?6=:r789h4=2d9>734=99<0q~=:f;296~;4=o09>h52370955152z?025<5:l16?;<511:8yv5193:1>v3<60816`=:;735=99?0q~=93;296~;4>:09>h5234c95535dz?021<5ik16?:l51118960728:870=91;330>;4=?0:<9523`39555<5:3j6<><;<1;=?77<279i;4>029>6`b=99?01?kj:020?84d:3;;?63=c98241=z{:<>6=4={<150??1349<87<=e:p730=838p1>89:30f?851?3;;96s|37594?4|5:<<6?{t;?31<774b349=o7??5:p73g=838p1>8n:30f?851l3;;96s|37`94?4|5:{t;?n1<774b349=i7??5:p73c=838p1>8j:30f?851n3;;86s|37d94?4|5:50;0x96172;8n70=82;331>{t;>;1<774b349=47??5:p724=838p1>9=:30f?850;3;;86s|36194?4|5:=86?{t;><1<774b349=h7??4:p721=838p1>98:30f?851i3;;86s|36:94?4|5:=36?2wx?:750;0x961>2;8n70=9b;331>{t;>k1<774b349<<7??5:p72d=838p1>9m:30f?850;3;;96s|39594?5|5:2<6?om;<1be?77;278n;4>029~w6>?2909w0=77;:a?85?l389i6s|39;94?4|5:226?f2;8n70=7c;331>{t;1h1<774b3493h7??5:p7=e=838p1>6l:30f?85?l3;;86s|39g94?2|5:3?6?029>6a3=9990q~=7f;296~;40o09>h5238`955352z?0=5<5:l16?4:51148yv5>93:1>v3<90816`=:;081==:4}r1:6?6=:r785?4=2d9>7<5=99?0q~=63;296~;41:09>h52386955352z?0=0<5:l16?4m51168yv5>>3:1>v3<97816`=:;0i1==;4}r1:3?6=:r785:4=2d9>7<4=99?0q~=68;296~;41109>h52386955252z?0=<<5:l16?4>51168yv5>i3:1>v3<9`816`=:;0:1==;4}r1:f?6=:r785o4=2d9>7<5=99>0q~=6c;296~;41j09>h52383955354z?0e0<5:l16>h=5111897b628:870{t;0o1<774b349j97??5:p7<`=838p1>7i:30f?85f;3;;96s|3`294?4|5:k;6?{t;h81<774b349j87??6:p7d5=838p1>o<:30f?85f=3;;86s|3`694?4|5:k?6?2wx?l850;6x96g12;ki70=9a;331>;41<0:<9522dd955352z\0e2=:;h215;5rs2c;>5<3s493;779;<1b059>7=d=9990q~=n9;296~;4i?02:63049~w6d4290hw0=m3;0bf>;4>10:<95237`9552<5:?h6<>;;<16`?77=278m>4>059>701>7>:027?84b83;;?63<018241=::o91==:4=3f7>4643ty8n94?:3y]7g2<5:h36484}r1a1?6=:r78n>466:?0f2<5:l1v>l9:18185e>389i63059~w142290>wS:=5:?7=`<68<1685=5116891gc28:870:8d;337>{t<=31<7265<4=56b>74b3ty?8i4?:3y>01?=1?1689k523g8yv2103:1>vP;699>02`=9990q~:8a;296~X3?h168:l523g8yv20k3:1>v3;7c8246=:<1:1>?k4}r64`?6=:r7?;i4=2d9>0=6=99?0q~:8e;296~;3?l09>h52492955052z?73c<5:l1685>51158yv2?93:1>vP;809>0=5=:;o0q~:72;296~;30;09>h5249195532?7>52z\7=6=:<921>9<4}r6:e?6=:rT?5l5248`967c2h7>52z\7=a=:<0o1>?k4}r6b4?6=:rT?m=524`d9555jm7>52z\7ed=:?k4}r6bg?6=:r7?mo4>029>0g6=:;o0q~:nd;296~;3im09>h524c29553ji7>52z?7e`<5:l168o>51148yv2fn3:1>v3;ag816`=:<;<6ba?77;27?;h4>029~yg26j3:1=>497;52M5a:2.8o:4>4d9'5fc=;j<0e5m50;9j56b=831b=;k50;9j57>=831b8?;50;9j36<722e:;<4?::k72=<722c:9;4?::m23`<722c?h=4?::k7e5<722e::>4?::m20c<722e?9o4?::m2g7<722e997>5;n0g>5<6=44b524>5<6290;w)=l7;336>N38?1C?k<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~f152290>6?49{%1`3?`d3A>;:6F7>5;h;5>5<5<1290;w):?e;df?l7693:1(9>j:032?>o?j3:1(9>j:9`8?l>d290/8=k58b98m6df290/8=k53cc8?l5293:1(9>j:272?>i5;?0;6):?e;002>=zj=:i6=4>:183!27m3>:86a=3783>!27m388:65rs3ca>5<5sW8jn63=ac8173=z{0<1<7=d0383>7}::hh1?oo4=52a>7513twi>>950;796?0|,:i<6km4H525?M5a:2.:=>49;%3`b?2c?2c3n7>5;h:g>5<>i5ik0;66l=ac83>3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?0c?=9:18'05c=::<07p}=ac83>7}Y:hh01?om:315?xu>>3:1>vP66:?1eg<6981v5j50;0xZ=b<5;ki6>;>;|q;f?6=:rT3n63=ac8;f>{t0;0;6?uQ839>6dd=0j1v<>=:18184fj39im63;0c8173=zuk8o6=4::385!5d?3lh7E:?6:J0b7=#9jl18i94i9`94?=n0m0;66g72;29?l?12900c?om:188f7ge290=6=4?{%63a?`b3`;:=7>5$52f>47632c3n7>5$52f>=d<3`2h6=4+41g9=7>5$52f>63632e9?;4?:%63a?44>21vn9>m:182>5<7s->;i7:>4:m173<72->;i7<<6:9~w7ge2909wS;|q;`?6=:rT3h63=ac8014=z{1h1<75348jn76l;|q247<72;q6>ll53cc8916e2;9=7psm9283>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpl=2483>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpl>9583>0<52?q/?n95fb9K050<@:l97)?lf;6g3>o?j3:17d6k:188m=4=831b5;4?::m1eg<722h9mo4?:783>5}#<9o1jh5f10394?"38l0:=<54i9`94?"38l03n65f8b83>!27m32h76g!27m39im65f34394?"38l089<54o315>5<#<9o1>>84;|`74g<7280;6=u+41g90425;c0bf?6=>3:1o6980;6):?e;325>=n0k0;6):?e;:a?>o?k3:1(9>j:9a8?l5ei3:1(9>j:2`b?>o4=80;6):?e;165>=h::<1<7*;0d8173=;n7>51;294~"38l0?=95`22494?"38l09?;54}r0bf?6=:rT9mo522``96607}Y0m16>ll53438yv>e2909wS6m;<0bf?>e3ty3>7>52z\;6>;5ik03o6s|11094?4|5;ki6>ln;<63f?44>2wvn<8<:186>7<1s-9h;7hl;I632>N4n;1/=nh54e58m=d=831b4i4?::k;6?6=3`3=6=44o3ca>5<1;29 16b28;:76g7b;29 16b21h07d6l:18'05c=0j10e>ln:18'05c=;kk07d=:1;29 16b2:?:76a=3783>!27m388:65rb52a>5<6290;w):?e;620>i5;?0;6):?e;002>=z{;ki6=4={_0bf>;5ik09?;5rs8494?4|V0<01?om:032?xu?l3:1>vP7d:?1eg<4=81v5l50;0xZ=d<5;ki65l4}r:1>5<5sW297078t$2a4>ce<@=:=7E=i2:&2gc<3l>1b4o4?::k;`?6=3`296=44i8494?=h:hh1<75m2``94?0=83:p(9>j:gg8m476290/8=k51038?l>e290/8=k58c98m=e=83.?3:1(9>j:315?>{e<9h1<7?50;2x 16b2=;?7b<<6;29 16b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=98;0q~6k:181[>c348jn7=:1:p3}#;j=1jn5G4148L6`53-;hj7:k7:k;f?6=3`2o6=44i9094?=n1?0;66a=ac83>>d5ik0;6;4?:1y'05c=nl1b=d32c8nl4?:%63a?5ei21b?8?50;&74`<4=810c?=9:18'05c=::<07pl;0c83>4<729q/8=k54068k751290/8=k52248?xu5ik0;6?uQ2``897ge2;9=7p}66;296~X>>279mo4>109~w=b=838pR5j4=3ca>6363ty3n7>52z\;f>;5ik03n6s|8383>7}Y0;16>ll58b9~w4652909w0;38k09?;5r}c35e?6==381:v*N38?1C?k<4$0ae>1b03`2i6=44i9f94?=n0;0;66g66;29?j4fj3:17o;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<39=1d>>850;&74`<5;?10q~ll52248yv?12909wS79;<0bf?7692wx4i4?:3y]5<5sW2i70vP72:?1eg{zj82h6=4::385!5d?3lh7E:?6:J0b7=#9jl18i94i9`94?=n0m0;66g72;29?l?12900c?om:188f7ge290=6=4?{%63a?`b3`;:=7>5$52f>47632c3n7>5$52f>=d<3`2h6=4+41g9=7>5$52f>63632e9?;4?:%63a?44>21vn9>m:182>5<7s->;i7:>4:m173<72->;i7<<6:9~w7ge2909wS;|q;`?6=:rT3h63=ac8014=z{1h1<75348jn76l;|q247<72;q6>ll53cc8916e2;9=7psm2483>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpl>7083>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpl>3b83>6<52=q/?n95fc9K050<@:l97)?>3;48 4ea2=n<7d6=:188m<0=831d>ll50;9a6dd=83?1>78t$2a4>cb<,8im69j8;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&74`e32c3o7>5$52f>=e<3`9im7>5$52f>6df32c89<4?:%63a?52921d>>850;&74`<5;?10qo:?9;295?6=8r.?4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:1:p6<5=838pR?7<;6df3ty9mo4?:3y]6dd<5on1>>84}r1e7?6=:r7mh76m;<63=?43:2wvn<4?:083>5}#;o;186*1b03f;;=7>5$52f>75132wx>ll50;0xZ7ge348jn7h>:49~yg4><3:1?7<54z&0g23}#;j=1ji5+1bd90a15<>o?:3:17ohk:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16>290:6=4?{%63a?4492e98?4?:%63a?44>21v4850;0xZ<0<5on1=5<5sW2970hk:9a8yv>c2909wS6k;6363ty95>4?:3y]6<5<5on1?oo4}r0bf?6=:rT9mo52fe8173=z{:l86=4={=d<5=:26?:=;|a5?6=93:16*;0d8751=#9jl18i94o022>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7ge3ty2:7>52z\:2>;5ik02:6s|8383>7}Y0;16>ll5839~w7<72:q6>ll5281897ge21n01<4>009'7c7==2wvn?77:180>7<3s-9h;7hm;I632>N4n;1/=<=56:&2gc<3l>1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?h:5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$52f>cc=n;kk1<7*;0d80fd=5$52f>75132wi8=750;394?6|,=:n6?=>;n076?6=,=:n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80fd=z{;ki6=4={_0bf>;al388:6s|3g194?4|5on14o5241;9614:183!5a93>0(>m8:021?!27m3>:86*>cg87`2=h99;1<7*;0d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.8j<4:;|a=0<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd6>j0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj=936=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb3d94?5=83:p(>m8:310?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?>3:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl>9683>6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`1e=<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi>l750;194?6|,:i<6>?4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm44a94?5=83:p(>m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>4423A>;:6Fj:315?M27l21vn9;j:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb0:3>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f4>629096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo?72;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c3;7?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e9??1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi=;850;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f40029096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo?98;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c35=?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e9j>1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi=n;50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f4e129096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo?l7;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c3`h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e:0k1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb3;e>5<4290;w)=l7;007>N38?1C?k<4$52f>7553-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd5i90;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a6d4=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn?o<:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb3c7>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qoj:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c0:f?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?k3:1?7>50z&0g2<5;:1C8=84H2d1?!27m388>6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi>4j50;194?6|,:i<6>?4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm28g94?2=83:p(>m8:317?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9j550=83.?

1098k74b290/8=k52248?xd51?0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb073>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a==7>52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`217<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd6=:0;694?:1y'7f1=::>0D9>9;I1e6>"38l09??5+1bd90a15$52f>47632c:<;4?:%63a?76921d>?k50;&74`<5;?10qo?83;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd6?<0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn<99:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb054>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`23<<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd6?h0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb05`>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f41329086=4?{%1`3?44;2B?<;5G3g08 16b2;997)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?8g83>6<729q/?n952218L1613A9m>6*;0d8177=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f4?729096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo?61;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd61;0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=4?:583>5}#;j=1??5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810e<>9:18'05c=98;07b<=e;29 16b2;9=76sm19794?5=83:p(>m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>4423A>;:6Fj:315?M27l21vn<68:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg7?03:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl>8883>6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`2j:032?>i5:l0;6):?e;002>=zj82i6=4;:183!5d?3997E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632c:<;4?:%63a?76921d>?k50;&74`<5;?10qo<<9;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd5;h0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj;9h6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm25294?5=83:p(>m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>7543A>;:6Fj:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`2=d<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi=4l50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f4?d29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo?6d;291?6=8r.8o:4<3:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65f11494?"38l0:=<54i024>5<#<9o1=t$2a4>7543A>;:6Fj:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=6=4={_06?8422;ki7p}=d;2955}Y:m16>i4=ac9>=0<68<16=5>5116894>628:870?95;330>;6>?0:<>521b69552<58i>6<><;<0:b?77=27:9=4>029>523=99?01<7?:020?87?i3;;863=388246=:90h1===4}r0f>5<5s48o65l4=3d967c;61>0:<9522`29553<58==6<>:;<3;a?77<27:484>049>66e=99901<7l:020?xu?k3:1=?uQ8b9>063=0;16>>95839>6a534;2876=;<3`6?>534;=?76=;<34a?>534;h576=;<35e?>534;3o76=;<06>=4<58=:65<4=01`>=4<5;3?65<4=3;;>=47ge348m6<>;;|q:0?6=:r72?779;<;6>74b3ty:>54?:3y]57><589h6484}r30g?6=>r7:?n4=ac9>6>3;;963>728246=:90k1===4}r30`?6=;rT:?i5242:9555<583=6<><;|q20c<72;qU=9h4=070>74b3ty:9=4?:3y>506=:;o01<;=:026?xu6=80;6?u2143967c<58?86<>9;|q217<72;q6=8<523g8943428:?7p}>5783>=}Y9<<019;l:027?84f83;;863>508246=:9><1==:4=0:f>46234;397??4:?105<68=1v<8<:181[71;27::>4=ac9~w4032909w0?93;;5?8711389i6s|17794?4|58<>6?{t9?=1<774b34;=47??5:p53>=838p1<87:30f?87113;;96s|17c94?4|58l0;6>uQ17g8943528:?70<{t9>;1<774b3ty:;>4?:3y>525=:;o01<96:026?xu6?<0;6?u2167967c<58=i6<>;;|q233<72;q6=:8523g8941f28:?7p}>7683>7}:9>=1>?k4=05b>4623ty:;54?:3y>52>=:;o01<9l:026?xu6?00;6?u216;967c<58=?6<>;;|q23d<72;q6=:o523g8941e28:>7p}>7c83>7}:9>h1>?k4=05`>4633ty:;n4?:3y>52e=:;o01<9;:026?xu6?l0;6?uQ16g8941b2;ki7p}>7g83>7}:9>o15;52191967c52z?2<5<5:l16=5=51168yv7?93:1>v3>80816`=:9181==;4}r3;6?6=:r7:4?4=2d9>5=5=99?0q~?74;296~;6?l03n63>8c816`=z{82>6=4={<3;1?45m27:444>059~w4>12909w0?76;01a>;6000:<85rs0:4>5<5s4;3;7<=e:?28c8243=z{8226=4={<3;=?45m27:4l4>049~w4>f2909w0?7a;01a>;60k0:<85rs0:`>5<5s4;3o7928240=z{82n6=4={<3;b?45m27:5?4>059~w4>a2909w0?60;01a>;61;0:<85rs0;3>5<5s4;2=7<=e:?2=6<68?1v<7>:18187>:389i63>928241=z{83?6=4={<3:0?4fj27:9>4>049~w4?22909w0?64;;5?87>?389i6s|18494?4|583=6?9883>7}:9031>?k4=0;g>4623ty:5l4?:3y>58;|q2=f<72;q6=4m523g894?b28:>7p}>9e83>7}:90n1>?k4=0;f>4633ty:o?4?:3y]5f4<58i96?om;|q2g6<72;q6=n<5979>5f>=:;o0q~?l4;296~;6k=09>h521b:955252z?2g0<5:l16=n951168yv7d>3:1>v3>c7816`=:9j=1==;4}r3`3?6=:r7:o:4=2d9>5f>=99?0q~?l9;296~;6k009mo521b6955352z?2g<<>>27:oo4=2d9~w742290>w0u22379=3=:91i14o52181967c5bz?742<688168>;58e9>661=0m16>i47d:?2=1>950;4x97502;ki70;6?>0:<>521839555<582=6<><;<3:`?77<2wx>>650;0x975021h01?:>:30f?xu5;00;6?u222;967c<5;9o6<>:;|q17d<72;q6>>o523g8975b28:?7p}=3c83>7}:::h1>?k4=31f>4623ty9?n4?:3y>66e=:;o01?:>:026?xu5;m0;6?u222f967c<5;9m6<>;;|q17`<72;q6>>k523g8975a28:>7p}=3g83>7}:::l1>?k4=363>4623ty98=4?:3y>616=:;o01?:>:027?xu51=0;64u228696dd<5;k36<><;<0b6?77;279m>4>059>6<0=99>01<97:020?87?n3;;863>898246=:::k1===4}r0:1?6=:r7959466:?1=2<5:l1v?79:18184>>389i63=968240=z{;336=4l{<0:059>6<`=99>01?o;:020?84f=3;;863=968241=:9>?1==:4=05:>46334;3j7??5:?2<2<68:16>>l5111894?>28:87p}=9883>7}::0215;5228g967c52z?1=d<5:l16>l;51178yv4>j3:1>v3=9c816`=::0o1==84}r0:g?6=:r795n4=2d9>60q~<6d;296~;51m09>h5228g955252z?1=c<5:l16>4m51168yv4f83:1>v3=a1816`=::h<1==:4}r0b5?6=:r79m<4=2d9>6d0=99?0q~h5228f955352z?1e6<5:l16>4l51168yv4f<3:1>v3=a5816`=::0h1==;4}r0b1?6=:r79m84=2d9>6h5228a955352z?1e=<5:l16>l751178yv4fi3:19v3>c38;f>;6>:03n63=5;;5?8?228:?70{t<;?1<770:<5;0bf>{t<:=1<7<0<5=9j6?6523g8915f28:?7p};3883>7}:<:31>?k4=51b>4623ty?9o4?:3y]00d<5=?n6?7}:<?k4=57f>4623ty?:54?:2y]03><58<;<353?77;2wx8l>50;1xZ1g734;hn7??3:?2g3<68:1v9j?:187[2c827:4n466:?71f<68<16=5<51168yxd39>0;644>8;3gM5a:2.8o:4>019'5fc=;j<0c9m6:188k12a2900e9<::188k25=831bj44?::k03f<722c84<4?::k0<3<722c:o;6g7b;29?l>c2900e5<50;9j=3<722e9mo4?::`1eg<72?0;6=u+41g9b`=n98;1<7*;0d8254==n0j0;6):?e;:`?>o4jh0;6):?e;1ae>=n;<;1<7*;0d8014=5}#<9o18<:4o315>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7513ty2:7>52z\:2>;5ik0:=<5rs9f94?4|V1n01?om:272?xu?j3:1>vP7b:?1eg5<5s48jn7=ma:?74g<5;?1vqo=8d;291?4=>r.8o:4ic:J743=O;o80(e2900e5j50;9j<7<722c2:7>5;n0bf?6=3k8jn7>56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63f?6=93:152z\1eg=::hh1>>84}r;5>5<5sW3=70{t0m0;6?uQ8e9>6dd=;<;0q~6m:181[>e348jn76m;|q;6?6=:rT3>63=ac8;g>{t9981<76df34>;n7<<6:~f6>5290>6?49{%1`3?`d3A>;:6F7>5;h;5>5<5<1290;w):?e;df?l7693:1(9>j:032?>o?j3:1(9>j:9`8?l>d290/8=k58b98m6df290/8=k53cc8?l5293:1(9>j:272?>i5;?0;6):?e;002>=zj=:i6=4>:183!27m3>:86a=3783>!27m388:65rs3ca>5<5sW8jn63=ac8173=z{0<1<7=d0383>7}::hh1?oo4=52a>7513twi?k;50;796?0|,:i<6km4H525?M5a:2.:ok4;d69j5;h:1>5<:18'05c=98;07d6m:18'05c=0k10e5m50;&74`;>:18'05c=;<;07b<<6;29 16b2;9=76sm41`94?7=83:p(9>j:537?j44>3:1(9>j:315?>{t:hh1<77}Y1?16>ll51038yv>c2909wS6k;<0bf?5292wx4o4?:3y]v3=ac80fd=:<9h1>>84}|`03?6=;3818v*N38?1C?k<4$030>3=#9jl18i94i9094?=n1?0;66a=ac83>>d5ik0;684=:7y'7f1=nm1/=nh54e58k7ge2900e?7<:188m<0=831b4i4?::k;6?6=3klo6=49:183!27m3ln7d?>1;29 16b28;:76g7b;29 16b21h07d6l:18'05c=0j10e>ln:18'05c=;kk07d=:1;29 16b2:?:76a=3783>!27m388:65rb52:>5<6290;w):?e;005>i5<;0;6):?e;002>=z{0<1<7534lo65m4}r:g>5<5sW2o70hk:272?xu51:0;6?uQ28189cb=;kk0q~2;>97psm1;295?6=8r.8j<4;;%1`3?77:2.?>:18'05c=::<07p}=ac83>7}Y:hh01?om:3ca?xu>>3:1>vP66:?1eg<>>2wx4?4?:3y]<7=::hh14?5rs383>6}::hh1>4=4=3ca>=b<580:<<5+3g391>{zj>>1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb6794?5=83:p(>m8:310?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?l0(j:032?>i5:l0;6):?e;002>N38m10qo::5;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd3=?0;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>h8:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb2:7>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm36d94?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj:2;6=4<:183!5d?388?6F;079K7c4<,=:n6?==;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd3kh0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:310?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<5;:1C8=84H2d1?!27m388>6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi?ko50;194?6|,:i<6?=<;I632>N4n;1/8=k52208 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c1ef?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n952218L1613A9m>6*;0d8177=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~w61=838p1>952``8923=99>0q~=6:18185021801>6523g8yv5f2909w0=8:84896d=:;o0q~9<:18:[143493>779;<14`??134=>6?059>7=2=99901>9i:020?85aj3;;?6s|7583>7}:?=09>h52748240=z{o31<78t^g;8912a21801>9k:90896>521801>h::90896>=9990q~??c;297~X68j168885116896`028:>7p}=2883>0}:<9=1==?4=56e>=b<5:=o65j4=2:1>=b<5:l>65j4}r14g?6=;rT8;n523929552<5:lj6<>;;|q03a<72;q6?:j52``896`f28:>7p}<7d83>7}:;>n14o52392967c52z?03c<5:l16?5>51178yv5?93:1?vP<809>7=3=99>01>h6:027?xu40;0;6?u239096dd<5:l26<>:;|q0<6<72;q6?5<58c9>7=3=:;o0q~=74;296~;40=09>h52397955352z?0b0<5ik168no51118yv5a>3:1>v3;4n>09>h5rs2d;>5<4s49m976m;<67b?>e349mh7<=e:p7c?=838p1>h6:30f?85ak3;;86s|3gc94?4|5:lj6?{t;oi1<774b349mh7??4:p073=83>pR9<:;<57>464349i6<><;<6`f?77<2wx89h50;0xZ12a34>?j77}:<?k4=575>4623ty?o44?:3y]0f?<5=ii6?7psm40:94?722k21o9uG3g08 6e028>o7)?le;1`2>i6;m0;66g;c883>>i3:k0;66g;4g83>>o6km0;66a>2983>>of03:17d:65;29?l7093:17d66:188m=5=831d8;650;9j53b=831b:o4?::m7e5<722c:on4?::k771<722e?9:4?::m725<722e997>5;n6a5?6=3k>;;7>51;294~"4k>0:;i7:>4:&2gc<3l>1d==?50;&74`<5;?10qo:m1;291?4=>r.8o:4ic:J743=O;o80(e2900e5j50;9j<7<722c2:7>5;n0bf?6=3k8jn7>56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63f?6=93:152z\1eg=::hh1>>84}r;5>5<5sW3=70{t0m0;6?uQ8e9>6dd=;<;0q~6m:181[>e348jn76m;|q;6?6=:rT3>63=ac8;g>{t9981<76df34>;n7<<6:~fg7=8391>7:t$2a4>cd<@=:=7E=i2:&2gc<3l>1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?h:5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$52f>cc=n;kk1<7*;0d80fd=5$52f>75132wi8=750;394?6|,=:n6?=>;n076?6=,=:n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80fd=z{;ki6=4={_0bf>;al388:6s|3g194?4|5on14o5241;9614:183!5a93>0(>m8:021?!27m3>:86*>cg87`2=h99;1<7*;0d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.8j<4:;|ag0<72:0969u+3b59bg=O<9<0D>h=;%3`b?2c?2c3>7>5;h;5>5<5<22;0=w)=l7;dg?!7dn3>o;6a=ac83>>o51:0;66g66;29?l>c2900e5<50;9aba<72?0;6=u+41g9b`=n98;1<7*;0d8254==n0j0;6):?e;:`?>o4jh0;6):?e;1ae>=n;<;1<7*;0d8014=5}#<9o1>>?4o361>5<#<9o1>>84;|q:2?6=:rT2:63id;325>{t0;0;6?uQ839>ba5<5sW82?63id;1ae>{t:hh1<77}:nm03n63;088107=zuk;1<7?50;2x 6`62=1/?n951108 16b2=;?7)?lf;6g3>i6880;6):?e;002>=z{;ki6=4={_0bf>;5ik09mo5rs8494?4|V0<01?om:848yv>52909wS6=;<0bf?>53ty96=4<{<0bf?4>;279mo47d:?2>4663-9m=7;4}|``5?6=;3818v*N38?1C?k<4$0ae>1b03`296=44i8494?=h:hh1<75m2``94?3=:3m8:gf8 4ea2=n<7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):?e;325>=n0k0;6):?e;:a?>o?k3:1(9>j:9a8?l5ei3:1(9>j:2`b?>o4=80;6):?e;165>=h::<1<7*;0d8173=;57>51;294~"38l09?<5`25094?"38l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>=6s|28194?4|V;3870hk:2`b?xu5ik0;6?uQ2``89cb=::<0q~=i3;296~;al32i70:?9;076>{zj80;6<4?:1y'7c7=<2.8o:4>039'05c=<8>0(j:315?>{t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,:l:685r}c`g>5<42;0?w)=l7;da?M27>2B8j?5+1bd90a1>i5ik0;66l=ac83>0<52?q/?n95fe9'5f`=7>5;cdg>5<1290;w):?e;df?l7693:1(9>j:032?>o?j3:1(9>j:9`8?l>d290/8=k58b98m6df290/8=k53cc8?l5293:1(9>j:272?>i5;?0;6):?e;002>=zj=:26=4>:183!27m388=6a=4383>!27m388:65rs8494?4|V0<01kj51038yv>52909wS6=;=e7}Y:0901kj53cc8yv4fj3:1>vP=ac9>ba<5;?1v>h<:1818`c21h019>6:361?x{e93:1=7>50z&0b4<33-9h;7??2:&74`<39=1/=nh54e58k466290/8=k52248?xu5ik0;6?uQ2``897ge2;ki7p}66;296~X>>279mo466:p<7<72;qU4?522``9<7=z{;0;6>u22``96<5<5;ki65j4=08244=#;o;196srbc;94?5=:3>p(>m8:g`8L1613A9m>6*>cg87`2=n0;0;66g66;29?j4fj3:17or.8o:4id:&2gc<3l>1d>ll50;9j6<5=831b5;4?::k;`?6=3`296=44bgf94?0=83:p(9>j:gg8m476290/8=k51038?l>e290/8=k58c98m=e=83.?3:1(9>j:315?>{e<931<7?50;2x 16b2;9:7b<;2;29 16b2;9=76s|9783>7}Y1?16ji4>109~w=4=838pR5<4=gf92wx?k=50;0x9cb=0k168=752508yxd6290:6=4?{%1e5?2<,:i<6<>=;%63a?26<2.:ok4;d69l557=83.?vP=ac9>6dd=:hh0q~79:181[?1348jn779;|q;6?6=:rT3>63=ac8;6>{t:3:1?v3=ac81=6=::hh14i521;335>"4n80>7psm12f94?5=:3>p(>m8:g`8L1613A9m>6*>cg87`2=n0;0;66g66;29?j4fj3:17or.8o:4id:&2gc<3l>1d>ll50;9j6<5=831b5;4?::k;`?6=3`296=44bgf94?0=83:p(9>j:gg8m476290/8=k51038?l>e290/8=k58c98m=e=83.?3:1(9>j:315?>{e<931<7?50;2x 16b2;9:7b<;2;29 16b2;9=76s|9783>7}Y1?16ji4>109~w=4=838pR5<4=gf92wx?k=50;0x9cb=0k168=752508yxd6290:6=4?{%1e5?2<,:i<6<>=;%63a?26<2.:ok4;d69l557=83.?vP=ac9>6dd=:hh0q~79:181[?1348jn779;|q;6?6=:rT3>63=ac8;6>{t:3:1?v3=ac81=6=::hh14i521;335>"4n80>7psm2483>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpl>2983>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpli2;297?4=52900e4850;9l6dd=831i>ll50;796?0|,:i<6kj4$0ae>1b03f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<5;81d>9<50;&74`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5292wx>4=50;0xZ7?434lo6>ln;|q1eg<72;qU>ll4=gf966052z?e`?>e34>;57<;2:~f4<7280;6=u+3g390>"4k>0:52900e4850;9l6dd=831i>ll50;796?0|,:i<6kj4$0ae>1b03f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<5;81d>9<50;&74`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5292wx>4=50;0xZ7?434lo6>ln;|q1eg<72;qU>ll4=gf966052z?e`?>e34>;57<;2:~f4<7280;6=u+3g390>"4k>0:7<3s-9h;7hm;I632>N4n;1/=nh54e58m=4=831b5;4?::m1eg<722h9mo4?:481>3}#;j=1ji5+1bd90a15<>o?:3:17ohk:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16>290:6=4?{%63a?4492e98?4?:%63a?44>21v4850;0xZ<0<5on1=5<5sW2970hk:9a8yv>c2909wS6k;6363ty95>4?:3y]6<5<5on1?oo4}r0bf?6=:rT9mo52fe8173=z{:l86=4={=d<5=:26?:=;|a5?6=93:16*;0d8751=#9jl18i94o022>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7ge3ty2:7>52z\:2>;5ik02:6s|8383>7}Y0;16>ll5839~w7<72:q6>ll5281897ge21n01<4>009'7c7==2wvn>hi:180>7<3s-9h;7hm;I632>N4n;1/=nh54e58m=4=831b5;4?::m1eg<722h9mo4?:481>3}#;j=1ji5+1bd90a15<>o?:3:17ohk:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16>290:6=4?{%63a?4492e98?4?:%63a?44>21v4850;0xZ<0<5on1=5<5sW2970hk:9a8yv>c2909wS6k;6363ty95>4?:3y]6<5<5on1?oo4}r0bf?6=:rT9mo52fe8173=z{:l86=4={=d<5=:26?:=;|a5?6=93:16*;0d8751=#9jl18i94o022>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7ge3ty2:7>52z\:2>;5ik02:6s|8383>7}Y0;16>ll5839~w7<72:q6>ll5281897ge21n01<4>009'7c7==2wvn4750;196?2|,:i<6kl4H525?M5a:2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi8l>50;196?2|,:i<6kl4H525?M5a:2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi8;650;196?2|,:i<6kl4H525?M5a:2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi8?l50;196?2|,:i<6kl4H525?M5a:2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi88950;196?2|,:i<6kl4H525?M5a:2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twij<4?:281>1}#;j=1jo5G4148L6`53-;hj7:k7:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<9o1jh5f10394?"38l0:=<54i9`94?"38l03n65f8b83>!27m32h76g!27m39im65f34394?"38l089<54o315>5<#<9o1>>84;|`74<<7280;6=u+41g966796=4+41g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39im6s|2``94?4|V;ki70hk:315?xu4n:0;6?u2fe8;f>;380098?5r}c394?7=83:p(>h>:59'7f1=9980(9>j:537?!7dn3>o;6a>0083>!27m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%1e5?31}#;j=1jo5G4148L6`53-;hj7:k7:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<9o1jh5f10394?"38l0:=<54i9`94?"38l03n65f8b83>!27m32h76g!27m39im65f34394?"38l089<54o315>5<#<9o1>>84;|`74<<7280;6=u+41g966796=4+41g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39im6s|2``94?4|V;ki70hk:315?xu4n:0;6?u2fe8;f>;380098?5r}c394?7=83:p(>h>:59'7f1=9980(9>j:537?!7dn3>o;6a>0083>!27m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%1e5?353;090~"4k>0mn6F;079K7c4<,8im69j8;h:1>5<56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63=?6=93:1{t:091<77}Y:hh01kj52248yv5a;3:1>v3id;:a?827138?>6srb083>4<729q/?k?54:&0g2<68;1/8=k54068 4ea2=n<7b??1;29 16b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=1?1v5<50;0xZ=4<5;ki65<4}r094?5|5;ki6?7<;<0bf?>c34;1==?4$2d2>0=zuk9mi7>53;090~"4k>0mn6F;079K7c4<,8im69j8;h:1>5<56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63=?6=93:1{t:091<77}Y:hh01kj52248yv5a;3:1>v3id;:a?827138?>6srb083>4<729q/?k?54:&0g2<68;1/8=k54068 4ea2=n<7b??1;29 16b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=1?1v5<50;0xZ=4<5;ki65<4}r094?5|5;ki6?7<;<0bf?>c34;1==?4$2d2>0=zuk336=4<:387!5d?3li7E:?6:J0b7=#9jl18i94i9094?=n1?0;66a=ac83>>d5ik0;684=:7y'7f1=nm1/=nh54e58k7ge2900e?7<:188m<0=831b4i4?::k;6?6=3klo6=49:183!27m3ln7d?>1;29 16b28;:76g7b;29 16b21h07d6l:18'05c=0j10e>ln:18'05c=;kk07d=:1;29 16b2:?:76a=3783>!27m388:65rb52:>5<6290;w):?e;005>i5<;0;6):?e;002>=z{0<1<7534lo65m4}r:g>5<5sW2o70hk:272?xu51:0;6?uQ28189cb=;kk0q~2;>97psm1;295?6=8r.8j<4;;%1`3?77:2.?>:18'05c=::<07p}=ac83>7}Y:hh01?om:3ca?xu>>3:1>vP66:?1eg<>>2wx4?4?:3y]<7=::hh14?5rs383>6}::hh1>4=4=3ca>=b<580:<<5+3g391>{zj8=o6=4<:387!5d?3li7E:?6:J0b7=#9891:6*>cg87`2=n0;0;66g66;29?j4fj3:17or.8o:4id:&2gc<3l>1d>ll50;9j6<5=831b5;4?::k;`?6=3`296=44bgf94?0=83:p(9>j:gg8m476290/8=k51038?l>e290/8=k58c98m=e=83.?3:1(9>j:315?>{e<931<7?50;2x 16b2;9:7b<;2;29 16b2;9=76s|9783>7}Y1?16ji4>109~w=4=838pR5<4=gf92wx?k=50;0x9cb=0k168=752508yxd6290:6=4?{%1e5?2<,:i<6<>=;%63a?26<2.:ok4;d69l557=83.?vP=ac9>6dd=:hh0q~79:181[?1348jn779;|q;6?6=:rT3>63=ac8;6>{t:3:1?v3=ac81=6=::hh14i521;335>"4n80>7psme583>6<52=q/?n95fc9K050<@:l97)?>3;48 4ea2=n<7d6=:188m<0=831d>ll50;9a6dd=83?1>78t$2a4>cb<,8im69j8;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&74`e32c3o7>5$52f>=e<3`9im7>5$52f>6df32c89<4?:%63a?52921d>>850;&74`<5;?10qo:?9;295?6=8r.?4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:1:p6<5=838pR?7<;6df3ty9mo4?:3y]6dd<5on1>>84}r1e7?6=:r7mh76m;<63=?43:2wvn<4?:083>5}#;o;186*1b03f;;=7>5$52f>75132wx>ll50;0xZ7ge348jn7h>:49~yg?b29086?4;{%1`3?`e3A>;:6F2.:ok4;d69j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4k>0mh6*>cg87`2=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05?=83;1<7>t$52f>7563f8?>7>5$52f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970752z\1=6=:nm08nl5rs3ca>5<5sW8jn63id;002>{t;o91<79<4}|`2>5<6290;w)=i1;68 6e028:97):?e;620>"6ko0?h:5`11394?"38l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&0b4<23twi=4h50;196?2|,:i<6kl4H525?M5a:2.:=>49;%3`b?2c?2c3>7>5;h;5>5<5<22;0=w)=l7;dg?!7dn3>o;6a=ac83>>o51:0;66g66;29?l>c2900e5<50;9aba<72?0;6=u+41g9b`=n98;1<7*;0d8254==n0j0;6):?e;:`?>o4jh0;6):?e;1ae>=n;<;1<7*;0d8014=5}#<9o1>>?4o361>5<#<9o1>>84;|q:2?6=:rT2:63id;325>{t0;0;6?uQ839>ba5<5sW82?63id;1ae>{t:hh1<77}:nm03n63;088107=zuk;1<7?50;2x 6`62=1/?n951108 16b2=;?7)?lf;6g3>i6880;6):?e;002>=z{;ki6=4={_0bf>;5ik09mo5rs8494?4|V0<01?om:848yv>52909wS6=;<0bf?>53ty96=4<{<0bf?4>;279mo47d:?2>4663-9m=7;4}|`2e0<72:0969u+3b59bg=O<9<0D>h=;%327?0<,8im69j8;h:1>5<56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63=?6=93:1{t:091<77}Y:hh01kj52248yv5a;3:1>v3id;:a?827138?>6srb083>4<729q/?k?54:&0g2<68;1/8=k54068 4ea2=n<7b??1;29 16b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=1?1v5<50;0xZ=4<5;ki65<4}r094?5|5;ki6?7<;<0bf?>c34;1==?4$2d2>0=zuk;i:7>53;090~"4k>0mn6F;079K7c4<,8;86;5+1bd90a1>i5ik0;66l=ac83>0<52?q/?n95fe9'5f`=7>5;cdg>5<1290;w):?e;df?l7693:1(9>j:032?>o?j3:1(9>j:9`8?l>d290/8=k58b98m6df290/8=k53cc8?l5293:1(9>j:272?>i5;?0;6):?e;002>=zj=:26=4>:183!27m388=6a=4383>!27m388:65rs8494?4|V0<01kj51038yv>52909wS6=;=e7}Y:0901kj53cc8yv4fj3:1>vP=ac9>ba<5;?1v>h<:1818`c21h019>6:361?x{e93:1=7>50z&0b4<33-9h;7??2:&74`<39=1/=nh54e58k466290/8=k52248?xu5ik0;6?uQ2``897ge2;ki7p}66;296~X>>279mo466:p<7<72;qU4?522``9<7=z{;0;6>u22``96<5<5;ki65j4=08244=#;o;196srbba94?5=939pD>h=;%1`3?7c;2c2o7>5;h;g>5<5<4290;w)=l7;631>N38?1C?k<4$52f>1633-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xu>k3:1>vP6c:?757<68<1v4j50;0xZ;;|q0f<<72;qU?o74=531>74b3twioo4?:282>6}O;o80(>m8:0f0?l?d2900e4j50;9l7g?=831i8<<50;194?6|,:i<69>:;I632>N4n;1/8=k54168 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}r;`>5<5sW3h70:>2;331>{t1m0;6?uQ9e9>044=99>0q~=m9;296~X4j0168<<523g8yxddi3:1?7?53zJ0b7=#;j=1=i=4i8a94?=n1m0;66a>d39;0;6>4?:1y'7f1=<9?0D9>9;I1e6>"38l0?<95+1bd90a15$52f>47632e9>h4?:%63a?44>21v4m50;0xZ:;|q:`?6=:rT2h63;138241=z{:h26=4={_1a=>;39;09>h5r}ca:>5<42808wE=i2:&0g2<6l:1b5n4?::k:`?6=3f9i57>5;c626?6=;3:1h=;%63a?27<2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{t1j0;6?uQ9b9>044=99?0q~7k:181[?c34>:>7??4:p7g?=838pR>l6;<626?45m2wvn>:;:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb6:94?5=83:p(>m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi5o4?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a057=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn:k50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f62?29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo:81;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd3i00;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zjj=1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rbb:94?5=83:p(>m8:238L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=1098k74b290/8=k52248L16c32wio94?:283>5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xdd83:1?7>50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~ffc=8391<7>t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{el90;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=7>53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qoh8:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg1e29096=4?{%1`3?75=2B?<;5G3g08 16b2>l0(j:032?>i5:l0;6):?e;002>N38m10qo:91;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd3>;0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:310?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo?m9;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c3ae?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a5ge=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb0`f>5<4290;w)=l7;007>N38?1C?k<4$52f>7553-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd6jo0;694?:1y'7f1=;;1C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5$52f>75132wi=n>50;194?6|,:i<6?=<;I632>N4n;1/8=k52208 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c3b5?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl>a283>6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`2e1<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zjl<1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rbd594?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zjl21<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rbd;94?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zjlk1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rbd`94?1=83:p(>m8:278L1613A9m>6*;0d804>"6ko0?h:5f11694?"38l0:=<54i026>5<#<9o1=;:k242<72->;i7?>1:9j55>=83.?

1098m46>290/8=k51038?j45m3:1(9>j:315?>{e9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632c:<:4?:%63a?76921d>?k50;&74`<5;?10qo:l3;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c6`0?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a0f0=8391<7>t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi8n650;194?6|,:i<6>?4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm1`594?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj8kn6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a5g7=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg7e;3:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl>b583>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e9k?1<7=50;2x 6e02;987E:?6:J0b7=#<9o1>><4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo?n8;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c3b=?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<4:2B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=n99<1<7*;0d8254=5}#;j=1>>:4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76g>0783>!27m3;:=65`23g94?"38l09?;54}c3bg?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<5;:1C8=84H2d1?!27m388>6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi>44?:283>5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb3c94?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj;h1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi>n4?:283>5}#;j=1>>=4H525?M5a:2.?;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb50g>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=9i7>52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`76c<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zj=9;6=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn<5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg75k3:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl>2e83>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e9;o1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb00e>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`274<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zj8996=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn<=<:187>5<7s-9h;7==;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76g>0783>!27m3;:=65`23g94?"38l09?;54}c31f?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632c:<:4?:%63a?76921b==650;&74`<69810e<>6:18'05c=98;07b<=e;29 16b2;9=76sm4c;94?4=83:p(>m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj=hj6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a0gb=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn9lj:187>5<7s-9h;7==;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76g>0783>!27m3;:=65`23g94?"38l09?;54}c6ab?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632e9>h4?:%63a?44>21vnl>50;194?6|,:i<6?=<;I632>N4n;1/8=k52208 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}cc2>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~fd0=83<1<7>t$2a4>62<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?l77>3:1(9>j:032?>o68>0;6):?e;325>=n9921<7*;0d8254=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76smd583>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{el10;694?:1y'7f1=;;1C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5$52f>75132wih44?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a`d<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wiho4?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a`f<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wihi4?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a``<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xdcn3:187>50z&0g2<4:2B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=n99<1<7*;0d8254=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76smd783>1<729q/?n95339K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54i025>5<#<9o1=j:032?>i5:l0;6):?e;002>=zjk91<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rbc694?3=83:p(>m8:316?M27>2B8j?5+41g9664<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9j550=83.?

1098m460290/8=k51038?j45m3:1(9>j:315?>{ej<0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`a3?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?o68=0;6):?e;325>=n99?1<7*;0d8254=4?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a022=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn99::181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg20>3:1?7>50z&0g2<5;:1C8=84H2d1?!27m388>6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi8:950;694?6|,:i<6><4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07d??6;29 16b28;:76a=2d83>!27m388:65rb55;>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f11>29086=4?{%1`3?44;2B?<;5G3g08 16b2;997)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a560=8391<7>t$2a4>7543A>;:6Fj:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=52;294~"4k>0:>85G4148L6`53->;i79i;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?1C8=j4;|`27=<72:0;6=u+3b59665<@=:=7E=i2:&74`<5;;1/=nh54e58m463290/8=k51038?l77=3:1(9>j:032?>i5:l0;6):?e;002>=zj8926=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn<=n:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb01a>5<4290;w)=l7;007>N38?1C?k<4$52f>7553-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd6;o0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj8>=6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl>4883>7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e9=k1<7=50;2x 6e02;987E:?6:J0b7=#<9o1>><4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo?;b;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c37g?6=;3:1N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=54;294~"4k>08>6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921b==850;&74`<69810c?4383>1<729q/?n952268L1613A9m>6*;0d8177=#9jl18i94i027>5<#<9o1=;:k243<72->;i7?>1:9l67c=83.?50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=53;294~"4k>09?>5G4148L6`53->;i7<<2:&2gc<3l>1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76s|2483>7}Y:<16>84=ac9~w7>=838p1?;5979>6f<5:l1v?750;0x97?=:;o01?l51168yv4f2909w07p}=b;296~;5j389i63=c;331>{t>k0;69uQ6c9>034=999017p}87;297~;00389i63>ag8246=:9;n1===4}r5:>5<5s4=26?om;<5;>4623ty5az?4=?>534>j<76=;<65534>>;76=;<61f?>5349mi76=;<171?>534;=4<5>h1>?k4}r5`>5<5s4=h6?om;<5;>4633ty53z?4g??134=26484=6g967c5z\;7>;3j803>63m1;:1?8e221801n?5839>fa5348>65<4=00;>=4<5o814?523549<7=:?j03>63;>132970k;:90895d3=0;16=o85839>3g<68:1v5750;7xZ=?<5o=1===4=0`b>46434;i?7??3:?275<68:1v4650;1x9<>=:hh016}:1009mo521`g9555<588h6<><;|q:e?6=;r725779;<;;><0<50h1>?k4}r;f>5<5s43n6?om;<655?77;2wx5k4?:3y>=`<>>27j;7<=e:pe5<72;q6m=4=2d9>e0<68<1vl?50;0x9d7=:;o01l851168yvg52909w0o=:30f?8g128:=7p}n3;296~;f;389i63n6;333>{ti=0;6?u2a5816`=:i?0:<55rs`794?4|5h?1>?k4=`5955274b34k<6<>:;|qb;d133h70mj:026?8c128:870:l2;333>;3j10:<9524cc9555<5m21==:4=ec9555<5k?1===4}r`1>5<5s4h:6484=c:967c74b34h=6<>:;|qa0?6=:r7i87<=e:?a3?77<2wxn84?:3y>f0<5:l16n:4>049~wg0=838p1o8523g89g>=99>0q~l8:1818d02;8n70l7:026?xufl3:1mv3m9;0bf>;d133o70mj:027?8c?28:870:l3;330>;3j10:<8524c;9555<5m21==;4=e;9555<5k>1==:4}r`b>5<5s4h26484=ca967c74b34hh6<>:;|qba?6=1r7ih7;;46434>h?7??5:?7f=<68?168ol511189ab=99901o:51178yvdb2909w0lk:8489f6=:;o0q~li:1818da2;8n70m?:026?xufn3:14v3l1;0bf>;dj33o70j=:027?8c>28:870:l4;337>;3jm0:<>52db8246=:j=0:<;5rsb094?4|5j;15;52c5816`=z{j91<71==;4}r`3>56?om;46434>io7??3:?g27on7??3:?a0?77?2wxo;4?:3y>g0<>>27h47<=e:pg2<72;q6o:4=2d9>g=<68<1vnj50;1x9fg=1j16oh4=2d9>`5<68<1vnh50;1x9fd=1j16h=4=2d9>`7<68<1vi?50;0x9fe=1j16h?4=2d9~wa5=83?p1n9511189f5=99901oh511189gd=99901i9523g8yvb32909w0j;:30f?8bb28:>7p}k5;296~;c=389i63k6;331>{tl?0;6?u2d7816`=:l>0:<85rse:94?4|5m21>?k4=e7955274b34nm6<>:;|qge?6=:r7om7<=e:?gb?77<2wxho4?:3y>`g<5:l16hk4>079~wae=838p1im523g89a0=99>0q~jk:1818bc2;8n70j9:025?xucm3:1>v3ke;01a>;c?3;;86s|dg83>7}:lo09>h52d48240=z{l:1<75<5s4ij6>l6;4633tyn>7>52z?`f?5e127h87??4:pa6<72;q6on4g=<68=1vh:50;1x9`2=:hh017}:m=02:63jb;01a>{tm?0;6?u2e7816`=:mk0:<95rsd594?4|5l=1>?k4=d`955074b34oi6<>7;|qf=?6=:r7n57<=e:?ff?77?2wxil4?:3y>ad<5:l16io4>089~wc7=83>p1k<5979>b4<5ik16h94>029>f6<68:1vk<50;1x9c4=:hh01ik511689g0=99>0q~h7:1818`621801k9523g8yv7503:18vP>299>57>=:hh019m=:027?82e03;;;6s|13;94?2|588i6?029>51g=99>0q~?=a;296~;6:h09>h52123955252z?26f<5:l16=>?51178yv75l3:1>v3>2e816`=:9:91==:4}r31a?6=:r7:>h4=2d9>564=99>0q~?=f;296~;6:o09>h52120955352z?275<5:l16=?l51178yv7493:1>v3>30816`=:9:91==;4}r306?6=:r7:??4=2d9>565=99<0q~?<3;296~;6;:09>h5213`955252z?26=<>>27:?o4=2d9~w4522909w0?<5;01a>;6;10:<85rs015>5<5s4;8:7<=e:?27<<68=1v<=8:181874?389i63>388240=z{8936=4={<30049~w45>2909w0?<9;01a>;6;k0:<85rs01b>5<5s4;8m7<=e:?27g<68=1v<=k:180[74l27:?i4=ac9>515=99>0q~?45816`=z{89m6=4={<30b?45m27:8l4>049~w4272909w0?;0;01a>;6<;0:<85rs062>5<5s4;?=7<=e:?201<68<1v<:=:181873:389i63>428240=z{8>86=4={<377?45m27:894>059~w4222909w0?;5;01a>;65<5s4;?:7<=e:?207<68?1v<:8:181873?389i63>408240=z{8>36=4={<37049~w42>2909w0?;9;01a>;6<80:<;5rs06b>5<5s4;?m7<=e:?205<68<1v<:m:181873j389i63>438241=z{8>h6=4={<37g?45m27:8<4>059~w40c2909wS?9d:?72c<68=1v<9>:181[70927:;i466:p52b=83?p1<9k:3ca?87e13;;863=9;330>;f93;;?63>378241=z{83m6=4i{<3:b?4fj27?9l4>049>5g>=99>01b58246=::h0:<>5243d9552<5h81===4=557>46434><:7??4:?272<68:16=9;51118942028:?70?;0;330>{t9h:1<7<0<58k?6?a383>7}:9h81>?k4=0c0>4623ty:m>4?:3y>5d5=:;o01;;<3a029>5d5=99>01;f83;;863n3;337>;3?10:<95212c9552<589m6<><;<373?77=27:8o4>059~w4g12909w0?n5;;5?87fl389i6s|1`594?4|58k<6?{t9h31<774b34;jh7??5:p5dg=838p1{t9ho1<774b34;i97??5:p5d`=838p1{t9k81<774b34;jn7??4:p5g5=838p1{t9k<1<7??{<3a2?4fj27:nh4>059>5d7=99>01a88241=::00:<85243f9555<5h:1==;4=`69555<5==86<><;<643?77=27:?84>029>560=99?01<:9:020?873k3;;86s|1c594?4|58h=6484=0a3>74b3ty:n54?:3y>5g>=:;o01;;|q2fd<72;q6=oo523g894dc28:>7p}>bc83>7}:9kh1>?k4=0`e>4633ty:nn4?:3y>5ge=:;o01:;|q2f`<72;q6=ok523g894da28:>7p}>bg83>7}:9kl1>?k4=0a3>4623ty:on4?:3y]5fe<5=k<6<>;;|q2ga<72:qU=nj4=`79552<5==>6<><;|q16<<72;q68=95113891d621n0q~=;3;297~;4<=09>h521c39555<588m6<><;|q000<72;q6?9;52``8962328:>7p}<4783>7}:;=<1>ll4=267>4633ty88:4?:2y>710=1?16?9;5979>71>=:;o0q~=ie;297~;4nl09mo524b09550<5=h36<>7;|q0bc<72:q6?kh52``891e528:>70:m8;33=>{t<9:1<7=t=2de><0<5:ln6484=522>74b3ty?>o4?:3y]07d<5=8i6?om;|q76f<72;q68?l5979>066=:;o0q~:=d;296~;3:m09>h5243d95539i7>52z?76`<5:l168>>51178yv25n3:1>v3;2g816`=:<::1==:4}r600?6=;rT??9527d8246=:9=31===4}r67b?6=:rT?8k529c8246=z{=?<6=4={_663>;3=>09mo5rs57:>5<5s4>>;779;<66e?45m2wx8;>50;0xZ10734>=?7<=e:p037=838p198>:30f?821;3;;86s|47094?4|5=<96?=4774b3ty?;?4?:2y>03`=99?019o8:026?8201389i6s|46194?4|5==86?{t<>?1<774b34><;7??6:p020=838p1999:30f?82003;;96s|46594?4|5==<6?{t<0?1<7{t74b34>j57??3:p0d>=838p19o?:84891g>2;8n7p};b083>6}Y:3ca?8c028:87p};b483>7}:i;7>52z?7f4;3jo0:<95rs5`:>5<5s4>i57<=e:?7f`<68<1v9ln:18182ei389i63;bd8241=z{=hi6=4={<6af?45m27?nh4>079~w1dd2909w0:mc;01a>;3k90:<95rs5`g>5<5s4>ih7<=e:?7g5<68?1v9lj:18182em389i63;bg8240=z{=hm6=4={<6ab?45m27?o=4>049~w1e62909w0:m6;337>;3k109>h5rs5a1>5<5s4>h>7<=e:?7g=<68=1v9m<:18182d;389i63;c68241=z{=i?6=4={<6`0?45m27?o;4>059~w1e22909w0:l5;01a>;3k?0:<85rs5a5>5<5s4>h:7<=e:?7g2<68<1v9m8:18182d?389i63;c98240=z{=i26=4={_6`=>;3880:<>5r}c621?6=03i4j:0;66g7c;29?j5f>3:17b:2900c;l50;9a051=83;1<7>t$2a4>4653A>;:6F0(j:315?>{e;l:1<7;52;4x 6e02oi0D9>9;I1e6>"69:0=7)?lf;6g3>o?j3:17d6k:188m=4=831b5;4?::m1eg<722h9mo4?:783>5}#<9o1jh5f10394?"38l0:=<54i9`94?"38l03n65f8b83>!27m32h76g!27m39im65f34394?"38l089<54o315>5<#<9o1>>84;|`74g<7280;6=u+41g90425<1;29 16b28;:76g7b;29 16b21h07d6l:18'05c=0j10e>ln:18'05c=;kk07d=:1;29 16b2:?:76a=3783>!27m388:65rb52a>5<6290;w):?e;620>i5;?0;6):?e;002>=z{;ki6=4={_0bf>;5ik09?;5rs8494?4|V0<01?om:032?xu?l3:1>vP7d:?1eg<4=81v5l50;0xZ=d<5;ki65l4}r:1>5<5sW2970=83?1>78t$2a4>ce<@=:=7E=i2:&256<13-;hj7:k7:k;f?6=3`2o6=44i9094?=n1?0;66a=ac83>>d5ik0;6;4?:1y'05c=nl1b=d32c8nl4?:%63a?5ei21b?8?50;&74`<4=810c?=9:18'05c=::<07pl;0c83>4<729q/8=k54068k751290/8=k52248?xu5ik0;6?uQ2``897ge2;9=7p}66;296~X>>279mo4>109~w=b=838pR5j4=3ca>6363ty3n7>52z\;f>;5ik03n6s|8383>7}Y0;16>ll58b9~w4652909w0;38k09?;5r}c1g7?6==381:v*N38?1C?k<4$030>3=#9jl18i94i9`94?=n0m0;66g72;29?l?12900c?om:188f7ge290=6=4?{%63a?`b3`;:=7>5$52f>47632c3n7>5$52f>=d<3`2h6=4+41g9=7>5$52f>63632e9?;4?:%63a?44>21vn9>m:182>5<7s->;i7:>4:m173<72->;i7<<6:9~w7ge2909wS;|q;`?6=:rT3h63=ac8014=z{1h1<75348jn76l;|q247<72;q6>ll53cc8916e2;9=7psm1d:94?3=:3m8:ga8L1613A9m>6*>cg87`2=n0k0;66g7d;29?l>52900e4850;9l6dd=831i>ll50;494?6|,=:n6kk4i032>5<#<9o1=5<#<9o14o54i9a94?"38l03o65f3cc94?"38l08nl54i272>5<#<9o1?8?4;n002?6=,=:n6?=9;:a05d=83;1<7>t$52f>1733f88:7>5$52f>75132wx>ll50;0xZ7ge348jn7<<6:p=3<72;qU5;522``9547=6s|8c83>7}Y0k16>ll58c9~w=4=838pR5<4=3ca>=e7>52z?1eg<4jh168=l52248yxd6m00;684=:7y'7f1=nj1C8=84H2d1?!7dn3>o;6g7b;29?l>c2900e5<50;9j=3<722e9mo4?::`1eg<72?0;6=u+41g9b`=n98;1<7*;0d8254==n0j0;6):?e;:`?>o4jh0;6):?e;1ae>=n;<;1<7*;0d8014=5}#<9o18<:4o315>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7513ty2:7>52z\:2>;5ik0:=<5rs9f94?4|V1n01?om:272?xu?j3:1>vP7b:?1eg5<5s48jn7=ma:?74g<5;?1vqo?jd;291?4=>r.8o:4ic:J743=O;o80(e2900e5j50;9j<7<722c2:7>5;n0bf?6=3k8jn7>56;294~"38l0mi6g>1083>!27m3;:=65f8c83>!27m32i76g7c;29 16b21i07d=ma;29 16b2:hj76g<5083>!27m39>=65`22494?"38l09?;54}c63f?6=93:152z\1eg=::hh1>>84}r;5>5<5sW3=70{t0m0;6?uQ8e9>6dd=;<;0q~6m:181[>e348jn76m;|q;6?6=:rT3>63=ac8;g>{t9981<76df34>;n7<<6:~f4cb290>6?49{%1`3?`d3A>;:6F7>5;h;5>5<5<1290;w):?e;df?l7693:1(9>j:032?>o?j3:1(9>j:9`8?l>d290/8=k58b98m6df290/8=k53cc8?l5293:1(9>j:272?>i5;?0;6):?e;002>=zj=:i6=4>:183!27m3>:86a=3783>!27m388:65rs3ca>5<5sW8jn63=ac8173=z{0<1<7=d0383>7}::hh1?oo4=52a>7513twi=hh50;796?0|,:i<6km4H525?M5a:2.:ok4;d69j5;h:1>5<:18'05c=98;07d6m:18'05c=0k10e5m50;&74`;>:18'05c=;<;07b<<6;29 16b2;9=76sm41`94?7=83:p(9>j:537?j44>3:1(9>j:315?>{t:hh1<77}Y1?16>ll51038yv>c2909wS6k;<0bf?5292wx4o4?:3y]v3=ac80fd=:<9h1>>84}|`2b5<72<096;u+3b59bf=O<9<0D>h=;%3`b?2c?2c3n7>5;h:g>5<>i5ik0;66l=ac83>3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?0c?=9:18'05c=::<07p}=ac83>7}Y:hh01?om:315?xu>>3:1>vP66:?1eg<6981v5j50;0xZ=b<5;ki6>;>;|q;f?6=:rT3n63=ac8;f>{t0;0;6?uQ839>6dd=0j1v<>=:18184fj39im63;0c8173=zuk;m=7>55;092~"4k>0mo6F;079K7c4<,8im69j8;h:a>5<>o>>3:17b50z&74`e32c3o7>5$52f>=e<3`9im7>5$52f>6df32c89<4?:%63a?52921d>>850;&74`<5;?10qo:?b;295?6=8r.?vP=ac9>6dd=::<0q~79:181[?1348jn7?>1:p5<22;0=w)=l7;d`?M27>2B8j?5+1bd90a1>o?:3:17d79:188k7ge2900n?om:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16e290:6=4?{%63a?26<2e9?;4?:%63a?44>21v?om:181[4fj279mo4=379~w<0=838pR484=3ca>4763ty3h7>52z\;`>;5ik089<5rs9`94?4|V1h01?om:9`8yv>52909wS6=;<0bf?>d3ty:6dd=;kk019>m:315?x{e9o91<7;52;4x 6e02oi0D9>9;I1e6>"6ko0?h:5f8c83>>o?l3:17d6=:188m<0=831d>ll50;9a6dd=83<1<7>t$52f>cc=n;kk1<7*;0d80fd=5$52f>75132wi8=l50;394?6|,=:n69?;;n002?6=,=:n6?=9;:p6dd=838pR?om;<0bf?44>2wx5;4?:3y]=3=::hh1=5<5sW2o70{t0k0;6?uQ8c9>6dd=0k1v5<50;0xZ=4<5;ki65m4}r336?6=:r79mo405d=::<0qpl>f583>0<52?q/?n95fb9K050<@:l97)?lf;6g3>o?j3:17d6k:188m=4=831b5;4?::m1eg<722h9mo4?:783>5}#<9o1jh5f10394?"38l0:=<54i9`94?"38l03n65f8b83>!27m32h76g!27m39im65f34394?"38l089<54o315>5<#<9o1>>84;|`74g<7280;6=u+41g90425;c0bf?6=>3:1o6980;6):?e;325>=n0k0;6):?e;:a?>o?k3:1(9>j:9a8?l5ei3:1(9>j:2`b?>o4=80;6):?e;165>=h::<1<7*;0d8173=;n7>51;294~"38l0?=95`22494?"38l09?;54}r0bf?6=:rT9mo522``96607}Y0m16>ll53438yv>e2909wS6m;<0bf?>e3ty3>7>52z\;6>;5ik03o6s|11094?4|5;ki6>ln;<63f?44>2wvn7<1s-9h;7hl;I632>N4n;1/=nh54e58m=d=831b4i4?::k;6?6=3`3=6=44o3ca>5<1;29 16b28;:76g7b;29 16b21h07d6l:18'05c=0j10e>ln:18'05c=;kk07d=:1;29 16b2:?:76a=3783>!27m388:65rb52a>5<6290;w):?e;620>i5;?0;6):?e;002>=z{;ki6=4={_0bf>;5ik09?;5rs8494?4|V0<01?om:032?xu?l3:1>vP7d:?1eg<4=81v5l50;0xZ=d<5;ki65l4}r:1>5<5sW297078t$2a4>ce<@=:=7E=i2:&2gc<3l>1b4o4?::k;`?6=3`296=44i8494?=h:hh1<75m2``94?0=83:p(9>j:gg8m476290/8=k51038?l>e290/8=k58c98m=e=83.?3:1(9>j:315?>{e<9h1<7?50;2x 16b2=;?7b<<6;29 16b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=98;0q~6k:181[>c348jn7=:1:p3}#;j=1jn5G4148L6`53-;hj7:k7:k;f?6=3`2o6=44i9094?=n1?0;66a=ac83>>d5ik0;6;4?:1y'05c=nl1b=d32c8nl4?:%63a?5ei21b?8?50;&74`<4=810c?=9:18'05c=::<07pl;0c83>4<729q/8=k54068k751290/8=k52248?xu5ik0;6?uQ2``897ge2;9=7p}66;296~X>>279mo4>109~w=b=838pR5j4=3ca>6363ty3n7>52z\;f>;5ik03n6s|8383>7}Y0;16>ll58b9~w4652909w0;38k09?;5r}c1`g?6==381:v*N38?1C?k<4$0ae>1b03`2i6=44i9f94?=n0;0;66g66;29?j4fj3:17o;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<39=1d>>850;&74`<5;?10q~ll52248yv?12909wS79;<0bf?7692wx4i4?:3y]5<5sW2i70vP72:?1eg{zj:k=6=4::385!5d?3lh7E:?6:J0b7=#9jl18i94i9`94?=n0m0;66g72;29?l?12900c?om:188f7ge290=6=4?{%63a?`b3`;:=7>5$52f>47632c3n7>5$52f>=d<3`2h6=4+41g9=7>5$52f>63632e9?;4?:%63a?44>21vn9>m:182>5<7s->;i7:>4:m173<72->;i7<<6:9~w7ge2909wS;|q;`?6=:rT3h63=ac8014=z{1h1<75348jn76l;|q247<72;q6>ll53cc8916e2;9=7psmf783>6<52=q/?n95fc9K050<@:l97)?lf;6g3>o?:3:17d79:188k7ge2900n?om:186>7<1s-9h;7hk;%3`b?2c?2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/8=k5fd9j547=83.?

1098m=d=83.?;i76l;:k0fd<72->;i7=ma:9j707=83.?>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=81v?7<:181[4>;27mh7=ma:p6dd=838pR?om;7513ty8j>4?:3y>bat$2d2>1=#;j=1==<4$52f>1733-;hj7:k7:m244<72->;i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!5a93?0qpli5;297?4=52900e4850;9l6dd=831i>ll50;796?0|,:i<6kj4$0ae>1b03f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<5;81d>9<50;&74`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5292wx>4=50;0xZ7?434lo6>ln;|q1eg<72;qU>ll4=gf966052z?e`?>e34>;57<;2:~f4<7280;6=u+3g390>"4k>0:52900e4850;9l6dd=831i>ll50;796?0|,:i<6kj4$0ae>1b03f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<5;81d>9<50;&74`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5292wx>4=50;0xZ7?434lo6>ln;|q1eg<72;qU>ll4=gf966052z?e`?>e34>;57<;2:~f4<7280;6=u+3g390>"4k>0:52900e4850;9l6dd=831i>ll50;796?0|,:i<6kj4$0ae>1b03f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?;i7?>1:9j;i76m;:k;g?6=,=:n65m4;h1ae?6=,=:n6>ln;:k014<72->;i7=:1:9l660=83.?50z&74`<5;81d>9<50;&74`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5292wx>4=50;0xZ7?434lo6>ln;|q1eg<72;qU>ll4=gf966052z?e`?>e34>;57<;2:~f4<7280;6=u+3g390>"4k>0:7<3s-9h;7hm;I632>N4n;1/=nh54e58m=4=831b5;4?::m1eg<722h9mo4?:481>3}#;j=1ji5+1bd90a15<>o?:3:17ohk:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16>290:6=4?{%63a?4492e98?4?:%63a?44>21v4850;0xZ<0<5on1=5<5sW2970hk:9a8yv>c2909wS6k;6363ty95>4?:3y]6<5<5on1?oo4}r0bf?6=:rT9mo52fe8173=z{:l86=4={=d<5=:26?:=;|a5?6=93:16*;0d8751=#9jl18i94o022>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7ge3ty2:7>52z\:2>;5ik02:6s|8383>7}Y0;16>ll5839~w7<72:q6>ll5281897ge21n01<4>009'7c7==2wvn988:180>7<3s-9h;7hm;I632>N4n;1/=nh54e58m=4=831b5;4?::m1eg<722h9mo4?:481>3}#;j=1ji5+1bd90a15<>o?:3:17ohk:185>5<7s->;i7hj;h325?6=,=:n6;:k;f?6=,=:n65l4;h:`>5<#<9o14n54i2`b>5<#<9o1?oo4;h165?6=,=:n6>;>;:m173<72->;i7<<6:9~f16>290:6=4?{%63a?4492e98?4?:%63a?44>21v4850;0xZ<0<5on1=5<5sW2970hk:9a8yv>c2909wS6k;6363ty95>4?:3y]6<5<5on1?oo4}r0bf?6=:rT9mo52fe8173=z{:l86=4={=d<5=:26?:=;|a5?6=93:16*;0d8751=#9jl18i94o022>5<#<9o1>>84;|q1eg<72;qU>ll4=3ca>7ge3ty2:7>52z\:2>;5ik02:6s|8383>7}Y0;16>ll5839~w7<72:q6>ll5281897ge21n01<4>009'7c7==2wvn7<3s-9h;7hm;I632>N4n;1/=<=56:&2gc<3l>1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?h:5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$52f>cc=n;kk1<7*;0d80fd=5$52f>75132wi8=750;394?6|,=:n6?=>;n076?6=,=:n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80fd=z{;ki6=4={_0bf>;al388:6s|3g194?4|5on14o5241;9614:183!5a93>0(>m8:021?!27m3>:86*>cg87`2=h99;1<7*;0d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.8j<4:;|a54g=8391>7:t$2a4>cd<@=:=7E=i2:&256<13-;hj7:k7:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<9o1jh5f10394?"38l0:=<54i9`94?"38l03n65f8b83>!27m32h76g!27m39im65f34394?"38l089<54o315>5<#<9o1>>84;|`74<<7280;6=u+41g966796=4+41g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39im6s|2``94?4|V;ki70hk:315?xu4n:0;6?u2fe8;f>;380098?5r}c394?7=83:p(>h>:59'7f1=9980(9>j:537?!7dn3>o;6a>0083>!27m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%1e5?36}O;o80(>m8:0f0?l?d2900e4j50;9l7g?=831i8<<50;194?6|,:i<69>:;I632>N4n;1/8=k54168 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}r;`>5<5sW3h70:>2;331>{t1m0;6?uQ9e9>044=99>0q~=m9;296~X4j0168<<523g8yxd4m<0;6>4>:2yK7c4<,:i<65<7E:?6:J0b7=#<9o18=:4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10q~7l:181[?d34>:>7??5:p=a<72;qU5i52400955252z\0f<=:<881>?k4}|`0a1<72:0:6>uG3g08 6e028n87d7l:188mt$2a4>1623A>;:6F0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=7}Y1m168<<51168yv5e13:1>vP044=:;o0qpl>f683>6<62:qC?k<4$2a4>4b43`3h6=44i8f94?=h;k31<75m40094?5=83:p(>m8:526?M27>2B8j?5+41g9052<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?6}O;o80(>m8:0f0?l?d2900e4j50;9l7g?=831i8<<50;194?6|,:i<69>:;I632>N4n;1/8=k54168 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}r;`>5<5sW3h70:>2;331>{t1m0;6?uQ9e9>044=99>0q~=m9;296~X4j0168<<523g8yxd6n<0;6>4>:2yK7c4<,:i<65<7E:?6:J0b7=#<9o18=:4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10q~7l:181[?d34>:>7??5:p=a<72;qU5i52400955252z\0f<=:<881>?k4}|`145<72:0:6>uG3g08 6e028n87d7l:188mt$2a4>1623A>;:6F0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=7}Y1m168<<51168yv5e13:1>vP044=:;o0qpl>fg83>6<62:qC?k<4$2a4>4b43`3h6=44i8f94?=h;k31<75m40094?5=83:p(>m8:526?M27>2B8j?5+41g9052<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?6}O;o80(>m8:0f0?l?d2900e4j50;9l7g?=831i8<<50;194?6|,:i<69>:;I632>N4n;1/8=k54168 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}r;`>5<5sW3h70:>2;331>{t1m0;6?uQ9e9>044=99>0q~=m9;296~X4j0168<<523g8yxd6nm0;6>4>:2yK7c4<,:i<65<7E:?6:J0b7=#<9o18=:4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10q~7l:181[?d34>:>7??5:p=a<72;qU5i52400955252z\0f<=:<881>?k4}|`2bf<72:0:6>uG3g08 6e028n87d7l:188mt$2a4>1623A>;:6F0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=7}Y1m168<<51168yv5e13:1>vP044=:;o0qpl>fc83>6<62:qC?k<4$2a4>4b43`3h6=44i8f94?=h;k31<75m40094?5=83:p(>m8:526?M27>2B8j?5+41g9052<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?6}O;o80(>m8:0f0?l?d2900e4j50;9l7g?=831i8<<50;194?6|,:i<69>:;I632>N4n;1/8=k54168 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}r;`>5<5sW3h70:>2;331>{t1m0;6?uQ9e9>044=99>0q~=m9;296~X4j0168<<523g8yxd6n00;6>4>:2yK7c4<,:i<65<7E:?6:J0b7=#<9o18=:4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10q~7l:181[?d34>:>7??5:p=a<72;qU5i52400955252z\0f<=:<881>?k4}|`2b=<72:0:6>uG3g08 6e028n87d7l:188mt$2a4>1623A>;:6F0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=7}Y1m168<<51168yv5e13:1>vP044=:;o0qplna;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xdfj3:1?7>50z&0g2<492B?<;5G3g08 16b2::0(j:032?>o68<0;6):?e;325>=h:;o1<7*;0d8173=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo=nd;296?6=8r.8o:4>249K050<@:l97):?e;5e?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;5G41f8?xd58:0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`14<<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd58k0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`154<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd59:0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`144<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi?h<50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f6c429086=4?{%1`3?563A>;:6Fj:032?>i5:l0;6):?e;002>=zj:nn6=4=:183!5d?3;996F;079K7c4<,=:n6:h4$0ae>1b03`;;?7>5$52f>47632e9>h4?:%63a?44>2B?N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9l67c=83.?7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`0`0<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi?i850;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f6b029086=4?{%1`3?563A>;:6Fj:032?>i5:l0;6):?e;002>=zj:o36=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>kn:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb001>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo?>5;290?6=8r.8o:4<2:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65f11494?"38l0:=<54o30f>5<#<9o1>>84;|`0g`<72:0;6=u+3b5974=O<9<0D>h=;%63a?573-;hj7:k7:k241<72->;i7?>1:9j553=83.?

1098k74b290/8=k52248?xd4ko0;6?4?:1y'7f1=9;?0D9>9;I1e6>"38l0cg87`2=n9991<7*;0d8254=m8:006?M27>2B8j?5+41g93c=#9jl18i94i020>5<#<9o1==zj:n:6=4<:183!5d?39:7E:?6:J0b7=#<9o1?=5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>j=:180>5<7s-9h;7=>;I632>N4n;1/8=k5319'5f`=;:18'05c=98;07d??5;29 16b28;:76a=2d83>!27m388:65rb0g0>5<>290;w)=l7;15?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:k243<72->;i7?>1:9j551=83.?

1098m46?290/8=k51038?l7713:1(9>j:032?>o68h0;6):?e;325>=n99h1<7*;0d8254=5}#;j=1?>5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810e<>9:18'05c=98;07d??7;29 16b28;:76a=2d83>!27m388:65rb0g6>5<5290;w)=l7;311>N38?1C?k<4$52f>2`<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:J74a=53;294~"4k>08=6F;079K7c4<,=:n6>>4$0ae>1b03`;;87>5$52f>47632c:<84?:%63a?76921d>?k50;&74`<5;?10qo?j7;297?6=8r.8o:4<1:J743=O;o80(9>j:228 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c32g?6=:3:1h=;%63a?1a3-;hj7:k7:k246<72->;i7?>1:9l67c=83.?5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a54c=8391<7>t$2a4>67<@=:=7E=i2:&74`<482.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e98l1<7=50;2x 6e02:;0D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3f89i7>5$52f>75132wi=?>50;194?6|,:i<6?=<;I632>N4n;1/8=k52208 4ea2=n<7d??4;29 16b28;:76g>0483>!27m3;:=65`23g94?"38l09?;54}c32N38?1C?k<4$52f>66<,8im69j8;h330?6=,=:n6;:k240<72->;i7?>1:9j550=83.?

1098k74b290/8=k52248?xd6900;6>4?:1y'7f1=::90D9>9;I1e6>"38l09??5+1bd90a15$52f>47632e9>h4?:%63a?44>21vn>oi:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg5e83:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e;k81<7:50;2x 6e02:80D9>9;I1e6>"38l08<6*>cg87`2=n99>1<7*;0d8254=6=4+41g9547<3`;;:7>5$52f>47632e9>h4?:%63a?44>21vn;j50;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f3c=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn;h50;194?6|,:i<6>?4H525?M5a:2.?1b==:50;&74`<69810e<>::18'05c=98;07b<=e;29 16b2;9=76sm7183>6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`45?6=;3:1h=;%63a?44:2.:ok4;d69j552=83.?

1098m462290/8=k51038?j45m3:1(9>j:315?>{e?;0;6>4?:1y'7f1=;81C8=84H2d1?!27m39;7)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?7<729q/?n951378L1613A9m>6*;0d84b>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84H52g?>{e<=>1<7<50;2x 6e0288>7E:?6:J0b7=#<9o1;k5+1bd90a15$52f>7513A>;h65rb566>5<4290;w)=l7;12?M27>2B8j?5+41g975=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~f12129086=4?{%1`3?44;2B?<;5G3g08 16b2;997)?lf;6g3>o68=0;6):?e;325>=n99?1<7*;0d8254=5}#;j=1?<5G4148L6`53->;i7=?;%3`b?2c?2c:<94?:%63a?76921b==;50;&74`<69810c?6<729q/?n952218L1613A9m>6*;0d8177=#9jl18i94i027>5<#<9o1=;:m16`<72->;i7<<6:9~w3d=839pR;l4=7`96dd<5?l1==:4}r4`>5<5s474b34:;|q5a?6=:r7=i7<=e:?44?77=2wx:k4?:3y>2c<5:l16;<4>059~w26=838p1:>523g8927=99?0q~9>:1818162;8n709=:026?xu?k3:1=iuQ8b9>7`6=0;16?im5839>7a>=0;16?i=5839>5`>=0;16=h75839>5`b=0;16=hk5839>5``=0;16=k>5839>5c7=0;16=k<5839>5c5=0;16=k:5839>5`g=0;16=hl5839>5`e=0;16=h?5839>7fe=0;16?l85839>b3534>2576=;<4a>=4<5=<<65<4=035>=4<58;j65<4}rc;>5<5sWk370h::848yvg>2902w0=lc;:a?85f>32i70=k3;:a?85b832i70=kc;:a?85c032i70om:30f?85bn3;;963>148241=z{hk1<75<4s4l=6484=g796dd<5hk1===4}rd7>5<5s4l=6?om;4633ty:=94?:5y>543=:;o01198241=z{8;=6=48{<322?4fj27:>>4>059>54e=99901;3<:0:<>5rs034>5<5s4;::779;<32=?45m2wx=<650;0x947?2;8n70?>9;331>{t98k1<79t=03b>7ge34;9>7??3:?25c<68=16=<65114893b=99901:>51168912028:?7p}>1c83>7}:98k15;52132967c52z?25f<5:l16=v3>1e816`=:98l1==;4}r32a?6=:r7:=h4=2d9>576=99>0q~?>f;296~;69o09>h52132955352z?267<5:l16=?=51178yv75<3:1>v3;988:2>;6::09>h5rs0g2>5<5s4;n=7{t9l91<774b34;n;7??4:p5`2=838p13;;86s|1d794?4|58o>6?{t9m>1<7;t=0g;>7ge34;m477l;<037?77=279<<4>029>5`3=9990q~?k8;290~;6m009mo521g:9=a=::991==:4=0g0>4633ty:h84?:5y>5`g=:hh01{t9m<1<7:t=0ga>7ge34;m:77k;<023?77<27:i94>049~w4b02908w0?jc;0bf>;6n>02h63>e58242=z{8n26=4;{<3f`?4fj27:j446d:?140<68=16=h=51178yv7ci3:18v3>ed81eg=:9ok15i522159552<58o86<>9;|q2`g<72=q6=hh52``894`e20n01?>6:027?87b;3;;46s|1ea94?2|58l;6?om;<3eg??c348;n7??4:?2a6<68>1vfe8:`>;58m0:<9521d1955?54z?2b7<5ik16=kk59e9>65`=99>0146334;n?7??a:p5`6=83>p13;330>;6m=0:<95rs321>5<4s4;m577l;<037?45m279<84>049~w7632908w0?ia;;`?847=389i63=068240=z{;:=6=4<{<3ef??d348;;7<=e:?14<<68<1v?>7:18087ak33h70;58k0:<85rs32b>5<4s4;mh77l;<03f?45m279049~w76d2908w0?ie;;`?847l389i63=0g8240=z{;:n6=4<{<3eb??d348;j7<=e:?154<68<1v???:180847833h70<>1;01a>;59:0:<85rs331>5<4s4;m977l;<027?45m279=84>049~w7732908w0?i6;;`?846=389i63=168240=z{;;=6=4={<3e3??d348:;7<=e:p64>=838p17}:9lk15;521g797g?52z?2ag<>>27:j;46d>3ty9=i4?:3y>5`b=1?16=k753c;8yv46m3:1>v3>ed8:2>;6nh08n45rs33e>5<5s4;nj779;<3ef?5e12wx>?>50;0x94`720<01{t:;>1<7<0<5;:;6>l6;|q16<<728?p19>8:022?85b832o70=kc;:g?85c032o70=k3;:g?87b032o70?j9;:g?87bl32o70?je;:g?87bn32o70?i0;:g?87a932o70?i2;:g?87a;32o70?i4;:g?87bi32o70?jb;:g?87bk32o70?j1;:g?85dk32o70=n6;:g?xu4i?0;6?uQ3`4896g12;ki7p}7}:;h<15;523`f967c52z?0ea<68:16?o<523g8yv5fn3:1>v37g4=99>0q~=m1;296~;4j809>h523c0955053z?0gf<5ik16?hh51168947228:>7p}7}:;ji15;523e0967c52z?0g`<5:l16?i<51168yv5dn3:1>v37a7=99?0q~=k1;296~;4l809>h523e0955355z?0`6<5ik16?h:59b9>7a0=99901>k7:026?85dm3;;86s|3e694?4|5:n86484=2f4>74b3ty8h84?:3y>7a3=:;o01>j8:027?xu4l?0;6?u23e4967c<5:n<6<>:;|q0g<<72k7:027?85dn3;;?63jn:30f?85cj3;;96s|3bc94?3|5:nh6?om;<1f1??c349nm7??4:?0`5<68:16?lh51118yv5cl3:1>v3;4lo09>h5rs2ff>5<5s49oi7<=e:?0`c<68<1v>mm:186[5e;278i=4=ac9>7`0=1m16?nk5117896d628:87p}7}:;l:15;523d1967c7>52z?0a7<5:l16?h=51178yv5b?3:1?v3;4m109>h523dc955352z?0a3<>k278il4=2d9~w6ce2909w0=j4;1a=>;4lk0:<95rs2g`>5<5s49n97=m9:?0`c<68=1v>kk:18185b>39i5633z?2a=;4l<0:<>5rs51e>5<2sW>8j63;3g81eg=:>l0:<>524509553<5=>=6<>;;|q704<72;q68>h5979>01>=:;o0q~:;2;296~;3<;09>h5245:9552??7>52z?706<5:l1689;51168yv23<3:1>v3;45816`=:<=?1==;4}r671?6=:r7?884=2d9>010=99?0q~:;6;296~;3h524559553?;7>52z?702<5:l1689651178yv21=3:1>vP;649>031=1?1v988:180821?38jn63>198240=:<=>1===4}r6:=?6=:rT?545248;96dd>4?:383>5}#;j=1=?;4H525?M5a:2.?1b===50;&74`<69810c?k;:a064=8381<7>t$2a4>4423A>;:6Fj:315?M27l21vn9?i:181>5<7s-9h;7?=5:J743=O;o80(9>j:6d8 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:6F;0e98yg2583:1>7>50z&0g2<6:<1C8=84H2d1?!27m3=m7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=O<9n07pl;2083>6<729q/?n95309K050<@:l97):?e;13?!7dn3>o;6g>0583>!27m3;:=65f11794?"38l0:=<54o30f>5<#<9o1>>84;|`75d<72;0;6=u+3b59573<@=:=7E=i2:&74`<0n2.:ok4;d69j555=83.?

1098k74b290/8=k52248L16c32wi84650;094?6|,:i<6<<:;I632>N4n;1/8=k57g9'5f`=<:18'05c=98;07b<=e;29 16b2;9=7E:?d:9~f14029096=4?{%1`3?7682B?<;5G3g08 16b2>l0D<;:;%3`5?7692.:ok4;d69j555=83.?

1098k74b290/8=k52248?xd3i;0;6?4?:1y'7f1=98:0D9>9;I1e6>"38l0549'5f7=98;0(j:032?>i5:l0;6):?e;002>=zj=9h6=4=:183!5d?3;:<6F;079K7c4<,=:n6:h4H076?!7d93;:=6*>cg87`2=n9991<7*;0d8254=52;294~"4k>0:==5G4148L6`53->;i79i;I361>"6k80:=<5+1bd90a15$52f>75132wijl4?:383>5}#;j=1=<>4H525?M5a:2.?1b03`;;?7>5$52f>47632e9>h4?:%63a?44>21vn9;<:181>5<7s-9h;7?>0:J743=O;o80(9>j:6d8L4323-;h=7?>1:&2gc<3l>1b===50;&74`<69810c?7<729q/?n951028L1613A9m>6*;0d84b>N6=<1/=n?51038 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:65rb5ae>5<5290;w)=l7;324>N38?1C?k<4$52f>2`<@8?>7)?l1;325>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84;|`;e?6=:3:1h=;%63a?1a3A;>96*>c08254=#9jl18i94i020>5<#<9o1=;:m16`<72->;i7<<6:9~f10f29096=4?{%1`3?7682B?<;5G3g08 16b2>l0D<;:;%3`5?7692.:ok4;d69j555=83.?

1098k74b290/8=k52248?xd3l;0;6?4?:1y'7f1=98:0D9>9;I1e6>"38l0549'5f7=98;0(j:032?>i5:l0;6):?e;002>=zj?81<7<50;2x 6e028;;7E:?6:J0b7=#<9o1;k5G1478 4e628;:7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=cg87`2=n9991<7*;0d8254=52;294~"4k>0:==5G4148L6`53->;i79i;I361>"6k80:=<5+1bd90a15$52f>75132wi9k4?:383>5}#;j=1=<>4H525?M5a:2.?1b03`;;?7>5$52f>47632e9>h4?:%63a?44>21vn8j50;094?6|,:i<6N4n;1/8=k57g9K503<,8i:6;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo;l:181>5<7s-9h;7?>0:J743=O;o80(9>j:6d8L4323-;h=7?>1:&2gc<3l>1b===50;&74`<69810c?119K050<@:l97):?e;5e?M72=2.:o<4>109'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm5`83>7<729q/?n951028L1613A9m>6*;0d84b>N6=<1/=n?51038 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:65rb4;94?4=83:p(>m8:033?M27>2B8j?5+41g93c=O9:032?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;54}c7;>5<5290;w)=l7;324>N38?1C?k<4$52f>2`<@8?>7)?l1;325>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84;|`63?6=:3:1h=;%63a?1a3A;>96*>c08254=#9jl18i94i020>5<#<9o1=;:m16`<72->;i7<<6:9~f03=8381<7>t$2a4>4773A>;:6F4763-;hj7:k7:k246<72->;i7?>1:9l67c=83.?l0D<;:;%3`5?7692.:ok4;d69j555=83.?

1098k74b290/8=k52248?xd1i3:1>7>50z&0g2<6991C8=84H2d1?!27m3=m7E?:5:&2g4<6981/=nh54e58m464290/8=k51038?j45m3:1(9>j:315?>{e>00;6?4?:1y'7f1=98:0D9>9;I1e6>"38l0549'5f7=98;0(j:032?>i5:l0;6):?e;002>=zj?21<7<50;2x 6e028;;7E:?6:J0b7=#<9o1;k5G1478 4e628;:7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=cg87`2=n9991<7*;0d8254=52;294~"4k>0:==5G4148L6`53->;i79i;I361>"6k80:=<5+1bd90a15$52f>75132wi:84?:383>5}#;j=1=<>4H525?M5a:2.?1b03`;;?7>5$52f>47632e9>h4?:%63a?44>21vn;:50;094?6|,:i<6N4n;1/8=k57g9K503<,8i:6;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo8<:181>5<7s-9h;7?>0:J743=O;o80(9>j:6d8L4323-;h=7?>1:&2gc<3l>1b===50;&74`<69810c?119K050<@:l97):?e;5e?M72=2.:o<4>109'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm48494?2=:3>p(>m8:077?M27>2B8j?5+1bd90a1109'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm22294?5=939p(>m8:313?M72=2.:o<4=379'5f`=<:188md>=831d>?k50;9a05g=8391<7>t$52f>6e33`;;?7>5$52f>47632c8o>4?:%63a??532e9>h4?:%63a?44>2B8o854}r337?6=:rT:<>5241c9555{z{;8n6=4={_01a>;69909>h5rs004>5<4sW;9;63>118246=::::1>?k4}r337?6=:rT:<>5222295557<729q/?n9523d8L1613A9m>6*;0d84b>N6=<1/=n?52248 4ea2=n<7d??3;29 16b28;:76a=2d83>!27m388:65rb572>5<5290;w)=l7;01b>N38?1C?k<4$52f>2`<@8?>7)?l1;002>"6ko0?h:5f11194?"38l0:=<54o30f>5<#<9o1>>84;|`7ga<72;0;6=u+3b5967`<@=:=7E=i2:&74`<0n2B:985+1b39660<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:9~f1?a29096=4?{%1`3?45n2B?<;5G3g08 16b2>l0D<;:;%3`5?44>2.:ok4;d69j555=83.?

1098k74b290/8=k52248?xd?03:1>7>50z&0g2<5:o1C8=84H2d1?!27m3=m7E?:5:&2g4<5;?1/=nh54e58m464290/8=k51038?j45m3:1(9>j:315?>{e<0>1<7<50;2x 6e02;8m7E:?6:J0b7=#<9o1;k5G1478 4e62;9=7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=2<7>52;294~"4k>09>k5G4148L6`53->;i79i;I361>"6k809?;5+1bd90a15$52f>75132wi89m50;094?6|,:i<6?N4n;1/8=k57g9K503<,8i:6?=9;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo:;0;296?6=8r.8o:4=2g9K050<@:l97):?e;5e?M72=2.:o<4=379'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm43;94?5=939p(>m8:313?M27>2B8j?5G1478 4e62;9=7)?lf;6g3>o68:0;66gn8;29?j45m3:17o:?a;297?6=8r.?1098m6e4290/8=k59398k74b290/8=k52248L6e232wx===50;0xZ46434>;m7??3:pe=<72;qUm55241c97f552z\16`=:<9k1>?k4}|`776<72;0;6=u+3b5967`<@=:=7E=i2:&74`<0n2B:985+1b39660<,8im69j8;h337?6=,=:n6;:m16`<72->;i7<<6:9~f1?529096=4?{%1`3?45n2B?<;5G3g08 16b2>l0D<;:;%3`5?44>2.:ok4;d69j555=83.?

1098k74b290/8=k52248?xd3i=0;6>4>:2y'7f1=:::0D9>9;I1e6>N6=<1/=n?52248 4ea2=n<7d??3;29?lg?2900c?4?:%63a?76921b?n=50;&74`<>:21d>?k50;&74`<5;?1C?n;4;|q246<72;qU===4=52b>4643tyj47>52z\b<>;38h08o>5rs30f>5<5sW89i63;0`816`=zuk>387>52;294~"4k>09>k5G4148L6`53->;i79i;I361>"6k809?;5+1bd90a15$52f>75132wi8N4n;1/8=k57g9K503<,8i:6?=9;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo:=4;296?6=8r.8o:4=2g9K050<@:l97):?e;5e?M72=2.:o<4=379'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm48a94?4=83:p(>m8:30e?M27>2B8j?5+41g93c=O9:315?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;54}c66h=;%63a?1a3A;>96*>c08173=#9jl18i94i020>5<#<9o1=7573A>;:6F4?::kb5;c63e?6=;3:176s|11194?4|V8:870:?a;337>{ti10;6?uQa99>05g=;j90q~<=e;296~X5:l168=o523g8yxd3j:0;6>4>:2y'7f1=:::0D9>9;I1e6>N6=<1/=n?52248 4ea2=n<7d??3;29?lg?2900c?4?:%63a?76921b?n=50;&74`<>:21d>?k50;&74`<5;?1C?n;4;|q246<72;qU===4=52b>4643tyj47>52z\b<>;38h08o>5rs30f>5<5sW89i63;0`816`=zuk>>j7>52;294~"4k>09>k5G4148L6`53->;i79i;I361>"6k809?;5+1bd90a15$52f>75132wi8;:50;094?6|,:i<6?N4n;1/8=k57g9K503<,8i:6?=9;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo:<6;296?6=8r.8o:4=2g9K050<@:l97):?e;5e?M72=2.:o<4=379'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm31;94?4=83:p(>m8:30e?M27>2B8j?5+41g93c=O9:315?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;54}c13h=;%63a?1a3A;>96*>c08173=#9jl18i94i020>5<#<9o1=t$2a4>74a3A>;:6F7513-;hj7:k7:k246<72->;i7?>1:9l67c=83.?7>50z&0g2<5:o1C8=84H2d1?!27m3=m7E?:5:&2g4<5;?1/=nh54e58m464290/8=k51038?j45m3:1(9>j:315?>{e;;o1<7<50;2x 6e02;8m7E:?6:J0b7=#<9o1;k5G1478 4e62;9=7)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=52;294~"4k>09>k5G4148L6`53->;i79i;I361>"6k809?;5+1bd90a15$52f>75132wi??<50;094?6|,:i<6?N4n;1/8=k57g9K503<,8i:6?=9;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo=>c;296?6=8r.8o:4=2g9K050<@:l97):?e;5e?M72=2.:o<4=379'5f`=<:18'05c=98;07b<=e;29 16b2;9=76sm30494?4=83:p(>m8:30e?M27>2B8j?5+41g93c=O9:315?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;54}c124?6=:3:1h=;%63a?1a3A;>96*>c08173=#9jl18i94i020>5<#<9o1=t$2a4>74a3A>;:6F7513-;hj7:k7:k246<72->;i7?>1:9l67c=83.?7>50z&0g2<5:o1C8=84H2d1?!27m3=m7E?:5:&2g4<5;?1/=nh54e58m464290/8=k51038?j45m3:1(9>j:315?>{e;j0;6<4?:1y'05c=:1l0D>h=;I326>"6k80:=<5+1e3962c51;294~"38l094k5G3g08L4753-;h=7?>1:&2`4<50?1b>5h50;&74`<50o10qo=j:182>5<7s->;i7<7f:J0b7=O9880(:032?!7c938?86g=8g83>!27m383j65rb2d94?7=83:p(9>j:3:e?M5a:2B:=?5+1b39547<,8n:6?::;h0;b?6=,=:n6?6i;:a05<7280;6=u+41g96=`<@:l97E?>2:&2g4<6981/=i?52548m7>a290/8=k529d8?xd393:1=7>50z&74`<50o1C?k<4H031?!7d93;:=6*>d08102=n:1l1<7*;0d8196=4>:183!27m383j6F;%3g5?4302c94k4?:%63a?4?n21vn9=50;394?6|,=:n6?6i;I1e6>N69;1/=n?51038 4b62;>27d<7f;29 16b2;2m76sm4583>4<729q/8=k529d8L6`53A;:>6*>c08254=#9m;1>9o4i3:e>5<#<9o1>5h4;|`71?6=93:1o50o0;6):?e;0;b>=zj==1<7?50;2x 16b2;2m7E=i2:J257=#9j;1=72d3`83j7>5$52f>7>a32wi854?:083>5}#<9o1>5h4H2d1?M76:2.:o<4>109'5a7=:=n0e?6i:18'05c=:1l07pl;9;295?6=8r.?"6l8098k5f29d94?"38l094k54}c6a>5<6290;w):?e;0;b>N4n;1C=<<4$0a2>4763-;o=7<:2:k1;i7<7f:9~f1e=83;1<7>t$52f>7>a3A9m>6F>139'5f7=98;0(:3:`?l4?n3:1(9>j:3:e?>{eh=;I326>"6k80:=<5+1e396=b51;294~"38l094k5G3g08L4753-;h=7?>1:&2`4<50l1b>5h50;&74`<50o10qo:i:182>5<7s->;i7<7f:J0b7=O9880(:032?!7c938?i6g=8g83>!27m383j65rb4294?7=83:p(9>j:3:e?M5a:2B:=?5+1b39547<,8n:6?;8;h0;b?6=,=:n6?6i;:a14<7280;6=u+41g96=`<@:l97E?>2:&2g4<6981/=i?524d8m7>a290/8=k529d8?xd2:3:1=7>50z&74`<50o1C?k<4H031?!7d93;:=6*>d0812a=n:1l1<7*;0d81:183!27m383j6F;%3g5?40=2c94k4?:%63a?4?n21vn5=50;394?6|,=:n6?6i;I1e6>N69;1/=n?51038 4b62;?;7d<7f;29 16b2;2m76sm8483>4<729q/8=k529d8L6`53A;:>6*>c08173=#9m;1>;m4o3:e>5<#<9o1>5h4;|`;3?6=93:12.:h<4=6c9l6=`=83.?>290:6=4?{%63a?4?n2B8j?5G1008 4e628;:7)?k1;065>o50o0;6):?e;0;b>=zjh21<7?50;2x 16b2;2m7E=i2:J257=#9j;1=7343`83j7>5$52f>7>a32wij44?:083>5}#<9o1>5h4H2d1?M76:2.:o<4>109'5a7=:<>0e?6i:18'05c=:1l07pl=ab83>4<729q/8=k529d8L6`53A;:>6*>c08173=#9m;1>;94o3:e>5<#<9o1>5h4;|`1ea<7280;6=u+41g96=`<@:l97E?>2:&2g4<5;?1/=i?527;8k7>a290/8=k529d8?xd5io0;6<4?:1y'05c=:1l0D>h=;I326>"6k809?;5+1e396265}#<9o1>5h4H2d1?M76:2.:o<4=379'5a7=:??0c?6i:18'05c=:1l07pl=b083>4<729q/8=k529d8L6`53A;:>6*>c08173=#9m;1>;=4o3:e>5<#<9o1>5h4;|`1f7<7280;6=u+41g96=`<@:l97E?>2:&2g4<5;?1/=i?52738k7>a290/8=k529d8?xd5j:0;6<4?:1y'05c=:1l0D>h=;I326>"6k809?;5+1e396365}#<9o1>5h4H2d1?M76:2.:o<4=379'5a7=:?80c?6i:18'05c=:1l07pl=b483>4<729q/8=k529d8L6`53A;:>6*>c08173=#9m;1>;:4o3:e>5<#<9o1>5h4;|`1f3<7280;6=u+41g96=`<@:l97E?>2:&2g4<5;?1/=i?52748k7>a290/8=k529d8?xd5j>0;6<4?:1y'05c=:1l0D>h=;I326>"6k809?;5+1e3963>5}#<9o1>5h4H2d1?M76:2.:o<4=379'5a7=:?k0c?6i:18'05c=:1l07pl;1b83>4<729q/8=k529d8L6`53A;:>6*>c08173=#9m;1>5<4o3:e>5<#<9o1>5h4;|`767<7280;6=u+41g96=`<@:l97E?>2:&2g4<5;?1/=i?52938k7>a290/8=k529d8?xd3:?0;6<4?:1y'05c=:1l0D>h=;I326>"6k80:=<5+1e3960?54?:083>5}#<9o1>5h4H2d1?M76:2.:o<4=379'5a7=:1:0c?6i:18'05c=:1l0D>m:;:a067=83;1<7>t$52f>7>a3A9m>6F>139'5f7=::<0(:3:7?j4?n3:1(9>j:3:e?>{e<:?1<7?50;2x 16b2;2m7E=i2:J257=#9j;1>>84$0f2>7113f83j7>5$52f>7>a32wi8>l50;394?6|,=:n6?6i;I1e6>N69;1/=n?51038 4b62;=j7d<7f;29 16b2;2m76sm42d94?7=83:p(9>j:3:e?M5a:2B:=?5+1b39660<,8n:6?98;n0;b?6=,=:n6?6i;:a01?=83;1<7>t$52f>7>a3A9m>6F>139'5f7=::<0(:37g?j4?n3:1(9>j:3:e?>{e<<:1<7?50;2x 16b2;2m7E=i2:J257=#9j;1>>84$0f2>7323f83j7>5$52f>7>a32wi88<50;394?6|,=:n6?6i;I1e6>N69;1/=n?51038 4b62;=h7d<7f;29 16b2;2m76sm44594?7=83:p(9>j:3:e?M5a:2B:=?5+1b39660<,8n:6?6<;n0;b?6=,=:n6?6i;:a00d=83;1<7>t$52f>7>a3A9m>6F>139'5f7=::<0(:3:4?j4?n3:1(9>j:3:e?>{e>84$0f2>7>23f83j7>5$52f>7>a32wi8;;50;394?6|,=:n6?6i;I1e6>N69;1/=n?51038 4b62;227d<7f;29 16b2;2m76sm47;94?7=83:p(9>j:3:e?M5a:2B:=?5+1b39547<,8n:6?;7;h0;b?6=,=:n6?6i;:a03d=83;1<7>t$52f>7>a3A9m>6F>139'5f7=::<0(:35g?j4?n3:1(9>j:3:e?M5d=21vn96>:182>5<7s->;i7<7f:J0b7=O9880(:315?!7c938>i6a=8g83>!27m383j65rb5:e>5<6290;w):?e;0;b>N4n;1C=<<4$0a2>7513-;o=7<82:m1;i7<7f:9~f1?6290:6=4?{%63a?4?n2B8j?5G1008 4e62;9=7)?k1;047>i50o0;6):?e;0;b>=zj=386=4>:183!27m383j6F5<7s->;i7<7f:J0b7=O9880(:3:;?.4?n3:1(9>j:3:e?>{e<0k1<7?50;2x 16b2;2m7E=i2:J257=#9j;1>>84$0f2>73d3f83j7>5$52f>7>a32wi84j50;394?6|,=:n6?6i;I1e6>N69;1/=n?52248 4b62;?i7b<7f;29 16b2;2m76sm4`394?7=83:p(9>j:3:e?M5a:2B:=?5+1b39547<,8n:6?;n;h0;b?6=,=:n6?6i;:a0d5=83;1<7>t$52f>7>a3A9m>6F>139'5f7=::<0(:35e?j4?n3:1(9>j:3:e?M5d=21vn9l=:182>5<7s->;i7<7f:J0b7=O9880(:315?!7c938<56a=8g83>!27m383j6F50z&74`<50o1C?k<4H031?!7d9388:6*>d081hi7>51;294~"38l094k5G3g08L4753-;h=7?>1:&2`4<5?k1b>5h50;&74`<50o10qo:k1;295?6=8r.?"6l8099;5f29d94?"38l094k54}c60`?6=93:12.:o<4>109'5a7=:>20e?6i:18'05c=:1l07pl;3d83>7<729q/8=k57g9'7f1=98:0(:032?!5a839h:6*<4380g3=O;o80D<;:;%3`b?2c?2c:<>4?:%63a?76921d>?k50;&74`<5;?10qo=l0;295?6=8r.?"6k80:=<5+1e396225}#<9o1;k5+3b59546<,8i:6;%1e4?5d>2.88?47)?lf;6g3>o68:0;6):?e;325>=h:;o1<7*;0d8173=51;294~"38l094k5G3g08L4753-9m<7=l6:&2g4<6981/=i?527g8m7>a290/8=k529d8?xd4jl0;6?4?:1y'05c=?o1/?n951028 4e628;:7)=i0;1`2>"4<;08o;5G3g08L4323-;hj7:k7:k246<72->;i7?>1:9l67c=83.?50z&74`<50o1C?k<4H031?!5a839h:6*>c08254=#9m;1>;h4i3:e>5<#<9o1>5h4;|`0ff<72;0;6=u+41g93c=#;j=1=<>4$0a2>4763-9m<7=l6:&007<4k?1C?k<4H076?!7dn3>o;6g>0283>!27m3;:=65`23g94?"38l09?;54}r05>5<5s4>:47<:;<62b?77;2wx>:4?:3y>04d=:<168?>51118yv4c2909w0:>b;0g?825;3;;?6s|3b83>6}Y;j16994>029>7f<50o1v>j50;1xZ6b<5<;<61>7>a3ty??7>53z\77>;2j3;;?63;3;0;b>{t<=0;6>uQ459>1f<68:16894=8g9~w13=839pR9;4=4f9555<5=?1>5h4}r65>5<4sW>=70;j:020?8212;2m7p};7;297~X3?27>j7??3:?73?4?n2wx854?:2y]0==:>90:<>52498146434>i6?6i;|q7g?6=;rT?o6393;337>;3k383j6s|4e83>6}Y029>0a<50o1v9k50;1xZ1c<5??1===4=5g96=`m6=4<{_6e?80128:870:i:3:e?xu283:1?vP:0:?53?77;27><7<7f:p14<72:qU9<52698246=:=8094k5rs4094?5|V<801;751118904=:1l0q~;<:180[3434<;<70>7>a3ty>87>53z?753o87m4=46967c6=4<{<622?b<5=n?6i5254816`=z{<<1<7=t=535>`=:1i63:6;01a>{t=>0;6>u24049b>;3l=0m70;8:30f?xu203:1?v3;17824>;3l=0:<63:8;01a>{t=00;6>u2404954=:1=<5258816`=z{44<5=n?6<<4=4c967co87?<;<7a>74b3ty>o7>53z?753<6<27?h94>4:?6g?45m2wx9i4?:2y>040=9<168i:5149>1a<5:l1v8k50;0x91b328<018k523g8yv3a2908w0:>6;34?82c<3;<70;i:30f?xu183:1?v3;1782=>;3l=0:56390;01a>{t>80;6?u240495d=:>809>h5rs7094?4|5=;=6e:?50?45m2wx:84?:3y>0a2=9o16:84=2d9~w30=838p19j;:328930=:;o0q~88:18182c<38:7088:30f?xu103:1>v3;d5816>;10389i6s|6883>6}:<8<1>>524e6966=:>009>h5rs7c94?5|5=;=6?:4=5f7>72<5?k1>?k4}r4a>5<0s4>:478m;<621?0e34>8>7??3:?76<4n8:p36<72=q68<85729>0a2=?:168041=?:1v5=50;1xZ=5<51>1===4=9196=`4342?6?;?=383j6s|8683>6}Y0>16454=2d9><2<50o1v5650;6x916?2;>970:>7;1;2>;?>3;;?6378;337>{t000;6>uQ889><;7>a3tym<7>53z?75=52f881=e<5=n?65m4=53a>=e<5=;<6k74=536>=e<5ok1>?k4}r33g?6=:r7?=;4>0b9>041=99i0q~?=8;296~;39k0:>55240:957>52z?75g<6;m168<6512f8yv73n3:1>v3;1c820c=:<8=1?5?4}r362?6=:r7?=;4>579>04d=9<<0q~?93;296~;39k0::>5240:953b52z?753<6>l168v3;1c8234=:<821=:?4}r34a?6=:r7?=o4>7d9>04g=9990q~?l2;296~;39k0:o?5240:95fe52z?742<6881684851118yv45i3:1?v3;97816`=:<8<184;4=53;>1?23ty9mn4?:2y]6de<5::96?lj50;1xZ7gc349;47<=e:?1ea<50o1v?oi:180[4fn278<44=2d9>6d`=:1l0q~6}Y:k;01>??:30f?84e9383j6s|2c094?5|V;h970=>6;01a>;5j;094k5rs3`0>5<4sW8i?63<1b816`=::k91>5h4}r0a0?6=;rT9n952330967c<5;h?6?6i;|q1f0<72:qU>o;4=20;>74b348i97<7f:p6g0=839pR?l9;<11a?45m279n;4=8g9~w7d02908wSo9529d8yv4e03:1?vP=b99>76e=:;o01?l7:3:e?xu5jh0;6?u240496gg<5=n?6?ln;|q1a=<72;q68i:52d:891702:=h7p}<0383>7}:1>lm4=221>4643ty8<54?:3y>0a2=:hn01>>7:020?xu4800;6?u24e696d`<5::26<><;|q04d<72;q68i:52c28966f28:87p}<1183>7}:1>o?4=233>4643ty8=;4?:3y>0a2=:k801>?9:020?xu49j0;6?u24e696g5<5:;h6<><;|q067<72;q68i:52c68964528:87p}<2983>7}:1>o;4=20;>4643ty8>h4?:3y>0a2=:k<01><;|q07f<72;q68i:52c:8965d28:87p}<4883>7}:<8<1?974=5f7>62>3ty8m;4?:3y>0a2=;h=019?::2c5?xu4j:0;6?u24e697g2<5=;>6>l<;|q75<<72;q68<651bf8917f2;8n7p};1b83>6}Y<8i019?k:30f?826k383j6s|40f94?4|5=8:6?{t<8l1<774b34>9=7??5:p074=839pR9<=;<610?45m27?>?4=8g9~w1432909w0:=3;01a>;3:=0:<>5rs505>5<4sW>9:63;268246=:<;<1>5h4}r613?6=04d=<;?019?8:506?825?389i6s|43:94?5|V=8370:=9;01a>;3:1094k5rs50b>5<5s4>:47:=b:?76<<68:1v9=>:180[24927??>4=2d9>067=:1l0q~:<3;296~;3;;09>h524219555897>53z\770=:<:<1>?k4=516>7>a3ty??;4?:3y>04d=<:?019=9:020?xu3;k0;6>uQ42`8915d28:870:{t<:i1<715334>8o7<=e:p06`=839pR9=i;<674?45m27??k4=8g9~w1272909w0:>5;60b>;3<90:<>5rs56:>5<4sW>?563;4b816`=:<=31>5h4}r67g?6=:r7?h94;489>01e=9990q~::0;297~X3=91688?523g891372;2m7p};5083>7}:<8=189h4=572>4643ty?9?4?:2y]004<5=?86<><;<666?4?n2wx88=50;0x917?2=>m70::3;01a>{t<<=1<7=t^574?8220389i63;5681029~w13e2908wS::b:?71c<5:l1688l529d8yv22n3:1>v3;1c871g=:<6}Y698:;<652?45m2wx8;750;1xZ10>34>=m7??3:?72<<50o1v98n:18082c<3>=463;1c872==:?k4}r65f?6=;rT?:o5247a967c<5=6}Y<1;0196;:30f?82?9383j6s|49694?4|5=n?696>;<6;0?77;2wx85h50;1xZ1>a34>2<7<=e:?7;31;09>h5248396=`2>7>52z?7`1<3ih1684<51118yv2>;3:1?vP;929>0<2=:;o0197<:3:e?xu31=0;6?u24e690<5<5=3?6<><;|q7=0<72:qU84;4=5;5>44034>297<7f:p0<1=838p1977:30f?82>>3k37p};9883>7}:<8?18474=5;;>4643ty?5l4?:2y]0{t<0n1<7=t^5;g?82>n389i63;9e81l27?5k4>029~w1g62908wS:n1:?7e7<68:168l?529d8yv2f:3:1?v3;d587e5=:<8h18l>4=5c1>74b3ty?m>4?:2y]0d5<5=k?6?{t4>029~w1ed2908wS:lc:?7ga<5:l168nm529d8yv2dl3:1>v3;1687g<=:6}Y0a4=:;o0q~:j529d8915b28:87p}6}Y;j:01>m?:3:e?85d93;;?6s|3cf94?5|V:ho70=md;0;b>;4jl0:<>5rs2`a>5<4sW9in63{t;ko1<7?t=2`f>74b3ty8nn4?:0y>7ge=:;o0qp`>81f94?2|@:l97p`>81g94?2|@:l97p`>81d94?5|@:l97p`>80294?7|@:l97D<7f;3x5?{]no0:w:|m5=76290:wE=i2:K17>51zJ0b7=N:1l1=v?5}[de>4}6l80vqc?71283>4}O;o80E?6i:0y2>x\an3;p=i?5}|l2<42=83;pD>h=;H0;b?7|93wQjk4>{0f2>x{i91;>6=4>{I1e6>O50o0:w<4rZgd95~7c93wvb<6>6;295~N4n;1B>5h51z39y_`a28q:h<4r}o3;52<728qC?k<4I3:e>4}62tPmj7?t1e39yxh60821<7?tH2d1?L4?n3;p=7sUfg824b62twe=5?6:182M5a:2C94k4>{08~^c`=9r;o=7srn0:2e?6=9rB8j?5F29d95~7=uSlm6d08~yk7?9k0;6a28q:6pTif;3x5a7=utd:45<6sA9m>6G=8g8246m3:1=vF:|Xeb?7|9m;1qp`>83294?7|@:l97D<7f;3x5?{]no0:w:|m5=46290:wE=i2:K17>51zJ0b7=N:1l1=v?5}[de>4}6l80vqc?72283>4}O;o80E?6i:0y2>x\an3;p=i?5}|l2<72=83;pD>h=;H0;b?7|93wQjk4>{0f2>x{i918>6=4>{I1e6>O50o0:w<4rZgd95~7c93wvb<6=6;295~N4n;1B>5h51z39y_`a28q:h<4r}o3;62<72:qC?k<4}o3;6=<72:qC?k<4}o3;6<<72:qC?k<4}o3;6d<72:qC?k<4}o3;6g<72:qC?k<4}o3;6f<72:qC?k<4}o3;6a<72:qC?k<4}o3;6`<72:qC?k<4}o3;6c<72:qC?k<4}o3;75<72=qC?k<4}o3;74<72;qC?k<4}o3;77<72:qC?k<4}o3;76<72=qC?k<4}o3;71<72;qC?k<4}o3;70<72;qC?k<4}o3;73<72;qC?k<4}o3;72<72;qC?k<4}o3;7=<72;qC?k<4}o3;7<<72;qC?k<4}o3;7d<72;qC?k<4}o3;7g<72;qC?k<4}o3;7f<72:qC?k<4}o3;7a<72:qC?k<4}o3;7`<720qC?k<4}o3;7c<72hqC?k<4}o3;05<728qC?k<4I3:e>4}62tPmj7?t1e39yxh60=;1<7<8{I1e6>{i91>96=4>{I1e6>O50o0:w?4rZgd95~7c93wvb<6;3;295~N4n;1B>5h51z09y_`a28q:h<4r}o3;01<728qC?k<4}o3;00<728qC?k<4I3:e>4}62tPmj7?t1e39yxh60=<1<7{08~^c`=9r;o=7srn0:7e?6==lqC?k<4}o3;0g<72:qC?k<4}o3;0f<72>qC?k<4}o3;0a<72qC?k<4}o3;16<72:qC?k<4}o3;11<72=qC?k<4}o3;10<72:qC?k<4}o3;13<72=qC?k<4}o3;12<728qC?k<4I3:e>4}52tPmj7?t1e39yxh60<21<7?tH2d1?L4?n3;p>7sUfg824b62twe=5;6:182M5a:2C94k4>{38~^c`=9r;o=7srn0:6e?6=9rB8j?5F29d95~4=uSlm6d08~yk7?=k0;6a28q96pTif;3x5a7=utd:48m50;3xL6`53@83j7?t2;Ybc<6s8n:6psa197g>5<6sA9m>6G=8g8272m3:1=vF87294?7|@:l97D<7f;3x6?{]no0:w:|m5=06290:wE=i2:K17>51zJ0b7=N:1l1=v<5}[de>4}6l80vqc?76283>6}O;o80qc?76583>6}O;o80qc?76483>7}O;o80qc?76983>7}O;o80qc?76883>7}O;o80qc?76`83>7}O;o80qc?76c83>7}O;o80qc?76b83>7}O;o80qc?76e83>7}O;o80qc?76d83>7}O;o80qc?76g83>7}O;o80qc?77183>7}O;o80qc?77083>6}O;o80qc?77383>3}O;o80qc?77283>2}O;o80qc?77583>7}O;o80qc?77483>4}O;o80E?6i:0y1>x\an3;p=i?5}|l2<20=83;pD>h=;|l2<21=83;pD>h=;|l2<2>=83;pD>h=;|l2<2?=83;pD>h=;H0;b?7|:3wQjk4>{0f2>x{i91=j6=4>{I1e6>{i91=i6=4>{I1e6>O50o0:w<4rZgd95~7c93wvb<68c;290~N4n;1vb<68d;296~N4n;1B>5h51z19y_`a28q:h<4r}o3;3`<724}52tPmj7?t1e39yxh601:1<7?tH2d1?xh601;1<7?tH2d1?L4?n3;p>7sUfg824b62twe=56=:180M5a:2we=56<:182M5a:2C94k4>{08~^c`=9r;o=7srn0:;0?6=:rB8j?5rn0:;1?6=9rB8j?5F29d95~4=uSlm6d08~yk7?0?0;69uG3g08yk7?0>0;6a28q96pTif;3x5a7=utd:45650;6xL6`53td:45750;3xL6`53@83j7?t2;Ybc<6s8n:6psa19:b>5<4sA9m>6sa19:a>5<6sA9m>6G=8g824?k3:1>vF?l3:1=vF88294?4|@:l97p`>88394?7|@:l97D<7f;3x6?{]no0:w:|m5=?52909wE=i2:m5=?4290:wE=i2:K154zJ0b7=zf82297>51zJ0b7=N:1l1=v?5}[de>4}6l80vqc?79783>6}O;o80qc?79683>7}O;o80E?6i:0y0>x\an3;p=i?5}|l2<<>=83?pD>h=;|l2<h=;H0;b?7|:3wQjk4>{0f2>x{i913j6=4={I1e6>{i913i6=4>{I1e6>O50o0:w?4rZgd95~7c93wvb<66c;296~N4n;1vb<66d;295~N4n;1B>5h51z09y_`a28q:h<4r}o3;=`<72;qC?k<4}o3;=c<728qC?k<4I3:e>4}52tPmj7?t1e39yxh60h:1<75<4sA9m>6sa19c0>5<3sA9m>6sa19c7>5<6sA9m>6G=8g827f=3:1>vFf>3:1=vF:|Xeb?7|9m;1qp`>8`;94?5|@:l97p`>8`c94?4|@:l97D<7f;3x7?{]no0:w:|m5=ge290>wE=i2:m5=gd2909wE=i2:K154zJ0b7=zf82ji7>51zJ0b7=N:1l1=v<5}[de>4}6l80vqc?7ag83>7}O;o80qc?7b183>4}O;o80E?6i:0y2>x\an3;p=i?5}|l2h=;|l2h=;H0;b?7|93wQjk4>{0f2>x{i91h86=4:{I1e6>{i9;i1<7?tH2d1?xh6:m0;6h=;|l277<728qC?k<4}o307?6=9rB8j?5rn017>5<6sA9m>6sa12794?7|@:l97p`>3783>4}O;o80qc?<7;295~N4n;1vb<=7:182M5a:2we=>750;3xL6`53td:?o4?:0yK7c452zJ0b7=zf89n6=4>{I1e6>{i9:l1<7?tH2d1?xh6<80;6h=;|l200<728qC?k<4}o372?6=9rB8j?5rn064>5<5sA9m>6sa15:94?4|@:l97p`>4883>7}O;o80qc?;a;295~N4n;1vb<:m:182M5a:2we=9m50;3xL6`53td:8i4?:0yK7c451zJ0b7=zf{i=m<1<7uG3g08yk3b=3:1?vFh=;|l6a=<728qC?k<4}o7f=?6=9rB8j?5rn4gb>5<6sA9m>6sa5d`94?7|@:l97p`:eb83>4}O;o80qc;jd;295~N4n;1vb8kj:182M5a:2we9hh50;3xL6`53td>j=4?:0yK7c451zJ0b7=zf{I1e6>{i=o91<7?tH2d1?xh2n=0;6h=;|l6b=<728qC?k<4}o7e=?6=9rB8j?5rn4db>5<6sA9m>6sa5g`94?7|@:l97p`:fb83>4}O;o80qc;id;297~N4n;1vb8hj:182M5a:2we9kh50;1xL6`53td=<=4?:0yK7c451zJ0b7=zf?:96=4>{I1e6>{i>991<7?tH2d1?xh18=0;6h=;|l54=<728qC?k<4}o43=?6=9rB8j?5rn72b>5<6sA9m>6sa61a94?7|@:l97p`90e83>6}O;o80qc8?e;297~N4n;1vb;>i:182M5a:2we:<>50;3xL6`53td==<4?:0yK7c47>51zJ0b7=zf?;86=4>{I1e6>{i>8>1<7?tH2d1?xh19<0;63:1=vF=83;pD>h=;|l55<<728qC?k<4}o42e?6=9rB8j?5rn73a>5<6sA9m>6sa60a94?7|@:l97p`91e83>4}O;o80qc8>e;295~N4n;1vb;?i:182M5a:2we:?>50;3xL6`53td=><4?:0yK7c47>51zJ0b7=zf?886=4>{I1e6>{i>;>1<7?tH2d1?xh1:<0;63:1=vF=83;pD>h=;|l56<<728qC?k<4}o41e?6=9rB8j?5rn70a>5<6sA9m>6sa63a94?7|@:l97p`92e83>4}O;o80qc8=e;295~N4n;1vb;>50;3xL6`53td=?<4?:0yK7c47>51zJ0b7=zf?986=4>{I1e6>{i>:>1<7?tH2d1?xh1;<0;63:1=vF=83;pD>h=;|l57<<728qC?k<4}o40e?6=9rB8j?5rn71a>5<6sA9m>6sa62a94?7|@:l97p`93e83>4}O;o80qc850;3xL6`53td=8<4?:0yK7c47>51zJ0b7=zf?>?6=4<{I1e6>{i>=?1<7=tH2d1?xh1h=;|l50d<728qC?k<4}o47f?6=9rB8j?5rn76`>5<6sA9m>6sa65f94?7|@:l97p`94d83>4}O;o80qc8;f;295~N4n;1vb;;?:182M5a:2we:8?50;3xL6`53td=9?4?:0yK7c4?7>51zJ0b7=zf???6=4<{I1e6>{i>h=;|l51d<728qC?k<4}o46f?6=9rB8j?5rn77`>5<6sA9m>6sa64f94?7|@:l97p`95d83>4}O;o80qc8:f;295~N4n;1vb;8?:182M5a:2we:;?50;3xL6`53td=:?4?:0yK7c451zJ0b7=zf?{I1e6>{i>??1<7?tH2d1?xh1>?0;6h=;|l52d<728qC?k<4}o45f?6=:rB8j?5rn74`>5<5sA9m>6sa67f94?7|@:l97p`96d83>4}O;o80qc89f;295~N4n;1vb;9?:182M5a:2we::?50;3xL6`53td=;?4?:0yK7c451zJ0b7=zf?=?6=4>{I1e6>{i>>?1<7?tH2d1?xh1??0;6h=;|l53d<728qC?k<4}o44f?6=9rB8j?5rn75`>5<6sA9m>6sa66f94?7|@:l97p`97d83>4}O;o80qc88f;295~N4n;1vb;6?:182M5a:2we:5?50;3xL6`53td=4?4?:0yK7c451zJ0b7=zf?2?6=4>{I1e6>{i>1?1<7?tH2d1?xh10?0;6>290?wE=i2:m2=g=839pD>h=;|l55<4sA9m>6sa69d94?7|@:l97p`99183>6}O;o80qc863;297~N4n;1vb;7;:180M5a:2we:4950;3xL6`53td=554?:0yK7c451zJ0b7=zf?3j6=4>{I1e6>{i>0i1<7?tH2d1?xh1i;0;6h=;|l:e7<72;qC?k<4}o;b1?6=;rB8j?5rn8c5>5<4sA9m>6sa9`:94?5|@:l97p`6ac83>4}O;o80qc7nc;295~N4n;1vb4ok:182M5a:2we5o>50;0xL6`53td2n<4?:0yK7c47>51zJ0b7=zf0h86=4>{I1e6>{i1k>1<7?tH2d1?xh>j<0;6?uG3g08yk?e>3:1>vF2908wE=i2:m=gg=83;pD>h=;|l:fg<728qC?k<4}o;ag?6=9rB8j?5rn8`g>5<6sA9m>6sa9cg94?7|@:l97p`6bg83>4}O;o80qc7l0;295~N4n;1vb4m>:182M5a:2we5n<50;3xL6`53td2o94?:3yK7c451zJ0b7=zf0i=6=4>{I1e6>{i1j=1<7?tH2d1?xh>k10;6?uG3g08yk?d13:1=vFh=;|l:gf<728qC?k<4}o;``?6=9rB8j?5rn8af>5<6sA9m>6sa9bd94?4|@:l97p`6d183>4}O;o80qc7k1;295~N4n;1vb4j=:182M5a:2we5i=50;3xL6`53td2h94?:0yK7c452zJ0b7=zf0n=6=4={I1e6>{i1m=1<7?tH2d1?xh>l10;6>uG3g08yk?c13:1=vFh=;|l:`f<728qC?k<4}o;g`?6=9rB8j?5rn8fe>5<5sA9m>6sa9d294?7|@:l97p`6e083>4}O;o80qc7j2;295~N4n;1vb4k<:182M5a:2we5h:50;0xL6`53td2i84?:3yK7c456zJ0b7=zf0o<6=4;{I1e6>{i1l21<7ltH2d1?xh>m00;6?uG3g08yk?bi3:1>vFh=;|l:aa<728qC?k<4}o;fa?6=9rB8j?5rn8ge>5<6sA9m>6sa9g294?7|@:l97p`6f083>4}O;o80qc7i2;295~N4n;1vb4h<:180M5a:2we5k:50;1xL6`53td2j84?:0yK7c453zJ0b7=zf0l<6=4<{I1e6>{i1o21<7?tH2d1?xh>n00;6h=;|l:ba<728qC?k<4}o;ea?6=9rB8j?5rn8de>5<6sA9m>6saa1294?7|@:l97p`n0083>4}O;o80qco?2;295~N4n;1vbl><:182M5a:2wem=:50;3xL6`53tdj<84?:4yK7c452zJ0b7=zfh:26=4>{I1e6>{ii9k1<7?tH2d1?xhf8j0;6h=;|lb`7<72:qC?k<4}ocg0?6=9rB8j?5rn`f4>5<2sA9m>6saae;94?4|@:l97p`nd`83>7}O;o80qcokb;295~N4n;1vbljk:181M5a:2wemik50;0xL6`53tdjhk4?:0yK7c452zJ0b7=zfho:6=4={I1e6>{iil81<7=tH2d1?xhfm:0;6h=;|lba<<72;qC?k<4}ocfe?6=9rB8j?5rn`g`>5<6sA9m>6sa11f;>5<4sA9m>6sa11f:>5<6sA9m>6sa11fb>5<6sA9m>6sa11fa>5<6sA9m>6sa11fg>5<6sA9m>6sa11ff>5<4sA9m>6sa11fe>5<6;rB8j?5rn02f4?6=;rB8j?5rn02f5?6==rB8j?5rn02f0?6=;rB8j?5rn02f1?6=;rB8j?5rn02f2?6==rB8j?5rn02f3?6=;rB8j?5rn02f5<6std:5i4?:0y~j4dd2908wp`>b783>4}zf8ni6=4<{|l2`0<728qvb5<4std9=>4?:0y~j75?2908wp`=3383>4}zf;?<6=4<{|l114<728qvb?99:180xh5?90;65<4std94k4?:0y~j7d32908wp`=ad83>4}zf;n86=4<{|l1ga<728qvb?h=:180xh5mj0;65<4std84}zf?li6=4>{|l45d<72:qvb:?;:182xh0;00;6>urn610>5<6std<8h4?:0y~j204290:wp`87983>4}zf>2o6=4>{|l4e7<728qvb:l8:182xh0kj0;65<4std4}zf1826=4<{|l;66<728qvb5:7:180xh?<;0;65<4std3:<4?:0y~jd56290:wp`n4783>4}zfh?i6=4>{|lb35<728qvbl6i:180xhf000;65<6stdi?54?:2y~jg55290:wp`m5683>6}zfk?:6=4>{|la33<72:qvbo9?:182xhe1<0;6>urnc:e>5<6stdin94?:2y~jggb290:wp`md283>6}zfkio6=4>{|lab7<72:qvbokl:182xhd980;6>urnb2a>5<6stdh?=4?:2y~jf4f290:wp`l4g83>6}zfj>26=4>{|l`2`<72:qvbn87:182xhd0m0;6>urnb:4>5<6stdhmn4?:2y~jfg1290:wp`lcc83>6}zfji>6=4>{|l`ad<72:qvbnk;:182xhc800;6>urne20>5<6stdo>54?:2y~ja45290:wp`k4683>6}zfm>:6=4>{|lg23<72:qvbi8?:182xhc0<0;6>urne5e>5<6stdom94?:2y~ja?b290:wp`kc283>6}zfmho6=4>{|lga7<72:qvbijl:182xhb880;6>urneda>5<6stdn>=4?:2y~j`7f290:wp`j3g83>6}zfl926=4>{|lf1`<72:qvbh;7:182xhb?m0;6>urnd54>5<6std:=oo50;3xyk76ko0;6o6=4>{|l2634=83;pqc?=7683>4}zf883o7>51zm57g6290:wp`>2c494?7|ug;9oo4?:0y~j44b83:1=vsa13d6>5<6std:?=o50;3xyk749o0;6:6:182xh6;?21<7=t}o3027<728qvb<=77;297~{i9:2:6=4>{|l27d0=839pqc?4}zf89h97>53zm56da290:wp`>3d694?5|ug;8hh4?:0y~j427;3:1?vsa12dg>5<6std:8?<50;1xyk739j0;61<7?tZgd95~7c93wC>?j4}o3;f0<728qQjk4>{0f2>xN5:m1vb<6m6;295~\an3;p=i?5}I01`>{i91h<6=4>{[de>4}6l80vD?=83;pqc?7b883>4}zf82im7>51zm5=de290:wpsr}AB@4e2k3h35ihl5bCDG}7uIJ[wpNO \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngr b/cpld/XC95144XL/WarpSE.ngr index e3124c6..2080054 100644 --- a/cpld/XC95144XL/WarpSE.ngr +++ b/cpld/XC95144XL/WarpSE.ngr @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$543==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;97<>=;031?446:2;96B[[PTV9VD:693:5n6?=:SC?54<76;1:?l5>4;F?56<76l1:87Jnt`C?56<768:0=94FNQWW>A:6;3:5=95>4;KMTPR=Lh~jM1?<:13;2=54=6<3E^X][[:P>27?699?1:87AZTQWW>Wct}e~7=>4?>`920?W;9:0;2k5>4;Pfwpjs4891<3?>;069[dbc89:;0<=50?c853>47>091e>462H69=7?111915?OIX\^1M1<>:0442@D[YY4N_GQA875=97;0?k5<:HLSQQ2=AGZ^X7J33;2=57=32@D[YY4KaucB86<76o1?6B[[PTV9U95=87;?794@UURVP?Tb{|f0>4?>997>T:4294o794]erwop95=87i087Zfmdp?7?69n2>1Sljk012386<7681>46;5D=694;e<=3NjxlO34;2==>3=MZ6?6=0j;48JJUSS2M6?6=0>2:79MKVR\3NjxlO34;2=b>3=G\^[YY4^<583:42<=3E^X][[:Sgpqir;<3:546;5Q=694;b<=3Xnxb{<583:c=22Vkoh=>?0=694;7<>81<=66>;878E9776<1J049B84?9<2K7=3;4A=03:2=F4;;1=3;4A=02:0=F4;85;6O3228;:0=F4;9586O32?68E959<2K783:4A=7=0>G;>7>0M1914:C?<;2\c:COA5Yj}q:;<=j4AMG3[hs89:;=h5NLD2\ip~789::=i5NLD2\ip~789:9==5NLD2\ip~789:Te`~;;@NF51=FFM8=7L@K2C35?DHC:K8?7L@K379BJA5E9?1JBI=M279BJA5E;=1JBI:9;@LG0G713HDO8O<9;@LG0G513HDO8O:9;@LG1G713HDO9O<9;@LG1G513HDO9O:9;@LG1G333HDO495NSsd`?DUunVddx=>?10g8EVtaWge<=>>_hos[DUunVddx=>?10;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8<7?Dekz8<0Mnb}_HLU[lkwWHig~RGAV048EjssGLo0Mb{{OD]nq}6789897LaztNG\ip~789:Te`~PAnwwK@Yj}q:;<=?;;CC@P`=EIJ^Te`~PB@AW50=EIJ^y86LJRSg8F@TUW`g{SOK]R048F@TUzo<0NH\]rsg8F@TUz{Uecy>?0001?GCUZ{xTbbz?013\mhvXJLXY~Qaou2344733K_MI55MUR]JJCI63J>0O<8B6:A14HCI>2I99@KA3:A;I7=DM:1H@F=4CMP0?FHS12IDA@G[TDF4?FTBI]OO>6M\6:Aoadt6m2Igil|>_`lg4567:;1H`ho}1^cm`5678Vcf|RMce`p2[dhc89:;=>5Llj78GimBf820OaeJn^KMRZojxVIggH`PIOT23>EkcVLXA<:4Cmi\MKPXadzTOaePIOT25>B33M6;285K<02=1>B;984>7I2>2?58@974294>7I2>3?68@979<2N7>384D=194;2B;<7>0H1;14:F?2;20:FWEFMXadzTHYOLK058@QGDC{l<7IZNCJpqb>BSIJAy~R``t1235723:2=Ci}k7=3;4D`vbE==Ci}kJ0=06;EcweD:68730HlznA=32:<=Ci}kJ0<<1b:FbpdG;9:0;245KaucB845902NjxlO31?:8@drfI585m6Jnt`C?7?6902NjxlO33?c8@drfI5>1<364D`vbE92902NjxlO35?:8@drfI5<546Jnt`C?3;>?0101?Air|FOTmcj?012\mhvXLfCHQnne23457c3Me~xBKPos2345473Me~xBKPos2345YneyUOcxz@E^mq4567981N>6K\5:GP85823LY7=3;4ER>1:0=B[595;6K\<583:0=B[5>5m6K\_`lg45679h1N_Road1234ZojxVOXSl`k01235d=B[Vkeh=>?10c8AVYffm:;<4ER]`iuYby9:;>d:GP[fkwWl{;<=?=0:GP[fkwWl{;<=?Pilr\AVYdeyUn}=>?10;8AVYhz9:;<<64ER]lv5678Vcf|RK\_np34566=2O_MNEk;DVBGNYffm:;<=?1028AQGDCVif|Rk~012363=B\HI@Snc_ds3456XadzTIYOLK^antZcv89:;=55Jn``oaQt53Lx87H|=4:D@HN40JIMJ2:DE0>@Al8=0JKj>-Hl;?C@c9$Ce=45IFe3.Mk7602LMh<#Fn3;8BCb6%@d9=55IFe3.Mk5>3OLo= Ga30;8BCb6%@d8>45IFe3.Mk5412LMh<#Fn53;?C@c9$Ce9o5IFe3.MkYney>0JKj=7:DE`7+Nf11MJi<"Io3:?C@c:$Ce=<64FGf1)Lh512LMh?#Fn33;?C@c:$Ce?45IFe0.Mk5602LMh?#Fn5;8BCb5%@d?=55IFe0.Mk3>3OLo> Ga50:8BCb5%@d=n6HId3/JjZojx=1MJi=8;GDg7(Oi02LMh>#Fn0;8BCb4%@d:=55IFe1.Mk4>3OLo? Ga20:8BCb4%@d856HId2/Jj67?3OLo? Ga489EBa5*Ag>:46HId2/Jj0d#asgmp<=Aiz~YC@KA1:E0?BHC92C97D>=;H31?L453@997D:=;H71?L053@=?7DOLS49JEFU6=2CJO^<:;HC@W6>7DANCUf8MJGD\Vkeh=>?0328MJGD\Vkeh=>?0^kntZOHIJ^Tmcj?0122`>OHIJ^Taxv?012f?LIFK]Ufyu>?013e?LIFK]Ufyu>?0132b>OHIJ^Taxv?01215`=NGHI_S`{w01237472CDMNZ}6:KLF@TUm2CDNH\]_lw{4567n2CDNH\]_lw{456798:0EBLJRS]nq}6789;;==5FOCGQVZkrp9:;<=119JKGCUZVg~t=>?033e?LIEM[XTaxv?012055=NGKOY^Rczx123467a3@EII_\Pmtz34563991BCOK]R^ov|5678=;m7DAMESP\ip~789:>==5FOCGQVZkrp9:;<8?>0:KLF@TUWds<=>?6033?LIEM[XTaxv?01245c=NGKOY^Rczx1234=773@EII_\Pmtz3456?98:0EBLJRS]nq}67893:=?5FOCGQVZkrp9:;?219JKGCUZVey<=>?_hos[LIEM[XTc>?0137?LIEE=1BCO]n;HMAWZTbims:>6G@BR]Qadb~Whdo<=>?2`9JKGUXZlkouRoad1234ZojxVCDN^Q]e`fz[dhc89:;=0EBM\5:KLGV723@EH_?;4INAP7f=NGJYTmcj?010e?LID[Vif|Rk~012361=NGJYTo`~Pep2345YneyUBCN]Pclr\at6789;m7DALS^antZcv89::>95FOBQ\ghvXmx:;<?003e?LID[Vif|Rk~012161=NGJYTo`~Pep2347YneyUBCN]Pclr\at678;;m7DALS^antZcv89:8>95FOBQ\ghvXmx:;<>Qfmq]JKFUXkdzTi|>?023e?LID[Vif|Rk~012761=NGJYTo`~Pep2341YneyUBCN]Pclr\at678=;m7DALS^antZcv89:>>95FOBQ\ghvXmx:;<8Qfmq]JKFUXkdzTi|>?043e?LID[Vif|Rk~012561=NGJYTo`~Pep2343YneyUBCN]Pclr\at678?;m7DALS^antZcv89:<>95FOBQ\ghvXmx:;<:Qfmq]JKFUXkdzTi|>?063e?LID[Vif|Rk~012;61=NGJYTo`~Pep234=YneyUBCN]Pclr\at6781;37DALS^knt1=NGE:h7DAC0^ov|5678m1BCA>Pmtz34566m2CD@=Qbuy234576m2CD@=Qbuy234546l2CD@=Qbuy23455682CD@=Qbuy2345Yney>0EBB>c:KLH4Yig}:;<1:KLV@WXe|r;<=>Pilr5?LIUMXx>7DA]W1f8MJTP8Vkeh=>?0328MJTP8Vkeh=>?0^kntZOHZ^:Tmcj?0122`>OHZ^:Taxv?012f?LIU_9Ufyu>?013e?LIU_9Ufyu>?0132b>OHZ^:Taxv?01215`=NG[];S`{w0123747d:KLVR7Xign;<=>=0:KLVR7Xign;<=>Pilr\MJTP9Vkeh=>?00a8MJTP9Vey<=>?1d9JKWQ6Wfx;<=>Pilr\MJTP9Vey<=>?169JKWcflpl0EB\jae{\ekb789:986G@Rdcg}Zgil9:;?00d8MJTbimsTbbz?01310>OHZlkouR``t1235ZojxVCD^hoky^llp56798o0EB\jae{\kw6789897DA]e`fz[jt789:Te`~PINPfeaXg{:;<=?<;HMPa>OH[Vif|Rk~0123a>OH[Vif|Rk~0122a>OH[Vif|Rk~0121a>OH[Vif|Rk~0120a>OH[Vif|Rk~0127a>OH[Vif|Rk~0126a>OH[Vif|Rk~01250>OH]9i0EB[?_lw{4567l2CDY=Qbuy23457b3@E^7D^C2sf8MUJ5zVddx=>?1328MUJ5zVddx=>?1^kntZOWD;xTbbz?01327>Oi8:1Bb<=4Io00?Lh4;2Ce8>5Fn418Mk0b3BON\RFFESCQGM_03EELENOCd:NSIZGCLVY^NR?k;MRN[DBCWZ_IS?j4LQO\EABX[\HT?o5CPL]AQVRUA8n0@]CPCNWMP@TX9m1G\@QLOTLWAWY5l2F[ARM@UOVFVZ5?3EZFSIHI_89OTHYCNOU:m6B_M^FEBZ77i2F[ARJIF^32e>JWEVNMJR?=a:NSIZBANV;8m6B_M^FEBZ73i2F[ARJIF^36e>JWEVNMJR?9a:NSIZBANV;JWEVNMJRj7A^B_EDE[73f3EZFSIHI_34b?IVJWMLMS?9n;MRN[A@AW;2j7A^B_EDE[7?>3EZFSIHI_2c8HUKXLOLT?=74LQO\@C@X<01G\@QKFG]6=>JWEVNMJR86;MRN[A@AW>30@]CPDGD\<<=KXDUOJKQ6a:NSIZ^HZ;U::6B[ilgqg>JSadoy0<=50?c8HQojm{6:?3k4LUknawYffm:;<=<=;MVji`tXign;<=>Pilr\HQojm{Ujbi>?0136?Iifl8n0@bok1^llp5679;:0@bok1^llp5679Vcf|RB`ae3\jjr789;:h6Cnde]\eab789:m7@v`r^Pfwpjs48:5j6Cwos]Qavsk|5;:2k5Bxnp\V`urd}6:>3h4Mymq[Wct}e~7=>0j;LzlvZTb{|f0<0j;LzlvZTb{|f0?0j;LzlvZTb{|f0>0j;LzlvZTb{|f090j;LzlvZTb{|f080j;LzlvZTb{|f0;0j;LzlvZTb{|f0:0j;LzlvZTb{|f050j;LzlvZTb{|f040=;O21?K753G897C=>;N68KAETm2EOO^Qfmq]L@FU6;2EY>85@R3@27>IU;<1D^>L>5:MQ7G443FX??6A]529LV35?013`?JhdmVey<=>?_hos[JhdmVey<=>?159Lqq6b3Fb3F4Rgbp^Mvp=763Yi0\#<2:RP`>VTWjg{Sh?012g?UUXkdzTi|>?00f8TVYdeyUn}=>?2e9SWZejxVoz<=>>;P68U969=2[7==0:;P>25;34?>59R86813X6?6=0;;P>7:1=V4<4?7\29>59R82833X63295^<8>a:S\5Ziu89:;Sdc_P]2[jt789::96\N<1<5?WG;99437_O31083:3=UI5;:285]A=3=1>TF4;4>7_O33?78VD:36<1YM1;15:PB83823[K7;3;4R@>;:0=UI535?6\NM49QEHET991YM@M\_Ntfvig~Gol9:6\NMBQ\KscudhsDjkQfmq]QEHETWF|n~aovOgd2b>TFEJYTC{k}l`{Lj724R@O@WZgil9:;=Rgbp^PBIFUXign;<=?>119QEHETW`g{S_OBCR36?WGJMGn0^LCJN^ov|5678l1YM@KA_lw{45679o1YM@KA_lw{456798l0^LCJN^ov|5678;;n7_OBEO]nq}67899m7_OBEO]nq}67899:i6\NMDL\ip~789:?j6\NMDL\ip~789:?=k5]ALGM[hs89:;9;SCNAKYj}q:;<=Qfmqa8VDKBFVey<=>?1d9QEHCIWfx;<=>Pilr\VDKBFVey<=>?199QEHTbims:<6\NMSgb`|Yffm:;<=<9;SCNV`gcqVkeh=>?0^kntZTFE[ojhtQnne23457682XJA_kndx]bja67888=7_OBRdcg}Zgil9:;=Rgbp^PBIWcflpUjbi>?00324>TFE[ojhtQbuy2345763[KF^hoky^ov|56788;97_OBRdcg}Zkrp9:;<2:PBIWcflpUfyu>?010254=UIDXnmiwPmtz345649=1YM@\jae{\ip~789:Te`~6;SCN[Wcflp;37_OB_Sgb`|YneyUYM@Q]e`fz50=UIZOGh6\NSDN\ip~789:n7_O\EM]nq}6789;m7_O\EM]nq}6789;:j6\NSDN\ip~789:9=h5]ARGO[hs89:;?k5]ARGO[hs89:;?1:PBW@JXe|r;<=>Pilr`?WGX8Vg~t=>?0e9QEZ6Xe|r;<=>>e:PB[5Yj}q:;<=?>e:PB[5Yj}q:;<=<>d:PB[5Yj}q:;<==>0:PB[5Yj}q:;<=Qfmqa8VDY6Wds<=>?d:PB[4Yj}q:;<=?j;SC\5Zkrp9:;<??;SC\5Zkrp9:;k;SC\6Zkrp9:;<4R@]1[hs89:;Sdcc:PB[6Yj}q:;<=j4R@]0[hs89:;=h5]A^1\ip~789::=h5]A^1\ip~789:9=i5]A^1\ip~789:8==5]A^1\ip~789:Te`~l;SC\0Zkrp9:;7_K\rg78V@Uuzm1YI^|}_omw4566:91YI^|}_omw4566W`g{S_K\rs]mkq6788;>7_ABCRf8VJKD[Vkeh=>?0328VJKD[Vkeh=>?0^kntZTHEJYTmcj?012255=UGDIXSnc_ds34565>2XDAN]Pclr\at6789Uba}Q]OLAP[fkwWl{;<=>>279QKHETWjg{Sh?013\mhvXZFGH_Rmbp^gr456698:0^BCLS^kntZTHEJY:>6\\d:PP[fkwWl{;<=>k;SQ\ghvXmx:;<i5]S^antZcv89:8h6\\_bos[`w789>o7_]Pclr\at678?90^YB:;Sgb`|02Xnmiw=119Qadb~W`g{S_kndx3`?WcflpUd~=>?00g8V`gcqVey<=>?_hos[WcflpUd~=>?00a8V`gcqVey<=>>1d9Qadb~Wfx;<=?Pilr\V`gcqVey<=>>169QacBhflo0^hhKoog\kw6789897_kiDnlf[jt789:Te`~PRddGkkcXg{:;<=?n;SgeBwijZZ:n7_kiFsmnVV6Imq~::6\jfGpliWU7FlrSl`k012377=UmoLyc`\\0Og{pZgil9:;?0011?WcaN{ef^^>Aeyv\ekb789;Te`~PRddEvjkU[9DntyQnne234476>2XnjK|`mSQ3J`~sWhdo<=>=339Qac@ugdXX7_kiFsmnVV6Imq~Tc>?0113?WcaN{ef^^>Aeyv\kw6789Uba}Q]egDqkhTT8GosxRa}01235a=UmoLyc`\\0Qpf65=UmoLyc`\\0Qpf[lkwW[omJabRR2Sv`76i2XnjK|`mSQ3[lkwW[omJabRR22e>TbnOxda_]81`9Qac@ugdXX;Rgbp^PfbCthe[Y<=;5]egPBW3=UmoXn}55]egPfuLhf3[om^h\yoaf?WcaZl{Tmcj?01216>Tbn[ozSl`k0123[lkwW[om^hPaof34566981Yik\jq^antZcv89:;>55]egPfuZejxVoz<=>?_hos[WcaZl{To`~Pep23457692Xnj_k~_bos[`w789;946\jfSgr[fkwWl{;<=?Pilr\V``UmxUha}Qjq12354763[om^hPclr\at678;837_kiRds\ghvXmx:;?05325>Tbn[ozSnc_ds3453502Xnj_k~_bos[`w789?Te`~PRddQatYdeyUn}=>?50f8V``UmxUd~=>?0328V``UmxUd~=>?0^kntZTbn[ozSb|?0122=>Tbn\xliczm;SgeQwabf}Ce=<5]egWqc`hsWhdo<=>?299QacSuoldSl`k0123[lkwW[omYijnu]bja6789;:<6\jfTpdakrXg{:;<=<9;SgeQwabf}Ud~=>?0^kntZTbn\xliczPos23457c3[olYijnuQzjf0>`9Qavsk|5;92n5]erwop974294j7_k|umv?568>3[oxyaz31?;8V`urd}692o5]erwop95=8730^h}zlu>0:g=Umzgx1:50?;8V`urd}6?245]erwop93912Xnxb{<7<:?Wct}e~7;374Rdqvhq:?601Yi~{ct=;=63=UmzgxR>Pnnv3456XadzT^h}zlu]3[kis89:;=;5\CRK@W44<[JYBO^Qfmq]PGVOD[8h0_DIMUR]JJCIf3ZCLSO[\IEZa?VO@WK_XBLCJ5:QT84823Z]7>394SV>0>4823Z]7?3:4Sbnq0>Ud{}k0_cj]ALAPSW2<[zo86ZVPD78Plkbz11_e`k}<1<;?Qojm{6:255[ilgq878f3]cfi2<:1<;?Qojm{682:5[ilgqPF753]cfiZL_bos[`w789:9m6ZfmdpWGZejxVoz<=>?_hos[Qojm{^HSnc_ds34566?2^yeIo{a29UGF?<^@O\SYW_E29UTDe<^YKTe`~PVQC21>PWI{l>7[^Nrsf8RUGuzVddx=>?1328RUGuzVddx=>?1^kntZPWI{xTbbz?0132e>Pnl[KFO^Y]d:Tj`WGJKZ]Y:9e>8:Tj`WGJKZ]Y:9ePclr\at67899=7[gkR@O@WRT1?0132<>Pnl[KFO^Y]65i\ghvXmx:;<<=9;WkgVDKD[^X=8fQlmq]fu5679Vcf|RXfdSCNGVQU>=aTo`~Pep23447582\bh_OBCRUQ21mXadzTZdj]ALAPSW03c8;>7[gkR@O@WRTXkdzTi|>?0113?SocZHGH_Z\Pclr\at6789Uba}QYiePBIFUPZVif|Rk~0123543<^`nYM@M\WS]`iuYby9:;=>>4VhfQEHET_[Uha}Qjq1235ZojxV\bh_OBCRUQ[fkwWl{;<=?>149UmaTFEJY\^Rmbp^gr4565;91]ei\NMBQTVZejxVoz<=>=_hos[SocZHGH_Z\Pclr\at678;;:96XfdSCNGVQUWjg{Sh?01104>Pnl[KFO^Y]_bos[`w7899Te`~PVhfQEHET_[Uha}Qjq12374723_co^LCLSVP\ghvXmx:;<9=?;WkgVDKD[^XTo`~Pep2341YneyU]ei\NMBQTVZejxVoz<=>;1078RlbUIDIX[_Qlmq]fu567=::0Zdj]ALAPSWYdeyUn}=>?5^kntZPnl[KFO^Y]_bos[`w789?:=85YiePBIFUPZVif|Rk~012575=QamXJAN]XR^antZcv89:=Sdc_WkgVDKD[^XTo`~Pep234376=2\bh_OBCRUQ[fkwWl{;<=9<0:Tj`WGJKZ]YSnc_ds3451XadzTZdj]ALAPSWYdeyUn}=>?7036?SocZHGH_Z\Pclr\at67819;7[gkR@O@WRTXkdzTi|>?09]jiuYQamXJAN]XR^antZcv89:3=<;4VhfQEHET_[Uha}Qjq123=66<^`nYM@M\WS]`iuYby9:;5Rgbp^Tj`WGJKZ]YSnc_ds345?69<1]ei\NMBQTVZejxVoz<=??319UmaTFEJY\^Rmbp^gr4577W`g{S[gkR@O@WRTXkdzTi|>?11321>Pnl[KFO^Y]_bos[`w788;8<6XfdSCNGVQUWjg{Sh?003\mhvX^`nYM@M\WS]`iuYby9::=>2:Tj`WGJKZ]YSb|?012257=QamXJAN]XR^mq4567:880Zdj]ALAPSWYhz9:;<>?:;WkgVDKD[^XTc>?01]jiu0<_hx{_H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84XRVOMG1e:ZPPZOJXEOFICZNTHMM[FII[]XJECZ\119[WQYU\EU[^B^JRU[4?]USW_IH:6Vkb^Kg55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg?0Tb2?>79[k9699?1Sc1>1279[k969;01Sc1>1_hos7>^t|01SyIBoegof>Yflm:;<=2?>b9\eab789:7==0l;^cg`56785;:2n5Paef3456;9;4n7Rokd1234974294h7Rokd12349746k1Tmij?012?5;d?<30k;^cg`56785>1<3l4_`fg45674=4i7Rokd1234939j2Ujhi>?01>5:g=Ximn;<=>37?`8[dbc89:;050m;^cg`567853546Qnne2345713Vkeh=>?0^kntZYffm:;<=?7;^cm`56798<0Sl`k0122[lkwWVkeh=>?10:8[dhc89:9=;5Paof3454XadzTSl`k01215==Xign;<==>6:]bja678:Uba}QPaof3455602Ujbi>?0535?Zgil9:;8Rgbp^]bja678=;37Road123140:_hos[Zgil9:;9<64_`lg45619?1Tmcj?014\mhvXWhdo<=>9199\ekb789=::6Qnne2342YneyUTmcj?0152<>Yffm:;<5?9;^cm`5670Vcf|RQnne234=7?3Vkeh=>?9048[dhc89:2Sdc_^cm`5671820Sl`k013353=Xign;<<>Pilr\[dhc89;;=55Paof34476>2Ujbi>?10]jiuYXign;<8:]nq}6789=0Sb|?01220>Yhz9:;Yhz9:;=Rgbp^]lv56798?0mijjrd9bja6789Ud~=>?0308ekb789:Tc>?01]jiuYffm:;<=Q`r123445et;2nmj45kisg`plii;2lxn95foco7?lie{01eMIaztMG35==iIMe~xAK?_hos[kGCg|~GI=?>0:lB@jssDL:Tc>?0105?kGCg|~GI=Q`r1234ZojxVdJHb{{LD2\kw6789;27cOKotvOA47?3gKOcxzCE0]jiuYiIMe~xAK>129mEV1?1308jDUh}}Uecy>?00]jiuYiIZe~xR``t12354b1:lAVZOHJVg~t=>?03324>hEZVCDNRczx12346743gHYSDAM_lw{4567W`g{i6`MR^KLFZiu89:;>?5aBS]JKGYhz9:;?1d9mFWYNGKUd~=>?1308jGTXAFHTc>?00]jiuYiJ[UBCOQ`r123542?0103?kBnfEOTc>?01]jiuYiL`dGIRa}012353=iL`dDI<<4nEkmK@YneyUeHd`@E058jAir|FOm7cJ`uuMF[dhc89:;>95aDnwwK@Yffm:;<=Qfmq]m@jssGLUjbi>?01320>hCg|~DIRgbp^lGkprHM8?0bD^C259mHAU?3gFO_RH\B99mHAUXAFH:86`CDR]JKGYiDMYTEBL`uu`8jIBTW@EIcxzl;oNGWZgil9:;<hK_Li0bAYJ_`lg45679l1e@ZKPaof3456XadzTbAYJ_`lg45679l1e@ZKPilr\jIQB9:1eCHl4nNG\ekb789::o6`@E^cm`5678Vcf|R`@E^cm`56788i0bBKPilr\jJC612dDzh|cax225>hH~lxgmt>Paof3456502dDzh|cax2\ekb789:Te`~PnNtfvig~8Vkeh=>?00;8jJpbzekr=:5aR@OOS@1hUIZUjbi>?01]jiuYiZHYTmcj?0122a>hUIZUba}QaR@Q2f>hUIZUd~=>?00a8jWGTWfx;<=>Pilr\jWGTWfx;<=>>4:lQAVe>0:lQAVir|Vg~t=>?0032?kTB[fS`{w012354763gXN_b{{_lw{4567:8;;7c\JSnww[hs89:;?<=4nSGPkprXe|r;<=>Pilr5?kTHEJY:>6`]OLAP[lkwWgXDAN]>6:lQKHQBm2dYC@YJ_`lg4567:;1e^BCXE^cm`5678Vcf|R`]OLUF[dhc89:;=<<4nSMNS@YneyUe^BCXE068jPBT02d^H^QISC:8jPBTW@EI=95aUEQ\MJDXf\NXSDAMotva?kSC[VCDNb{{c:lV@VYffm:;<=?j;oWGWZgil9:;hR_LUjbi>?013f?kSPMVkeh=>?0^kntZhR_LUjbi>?013f?kSPMVcf|R`ZWD37?kPJI11eZ@OPIN@20>hQEHUBCOQaVLC\MJDh}}h0b[CN_HMAkprd3g\FMRoad12344cvhdVigg45om]`hnci02zd`R}lls:8tjjX{zo46~`ru]`hng3yeyxR}|eu38v6=uid20~ybPtxrfb>uh}{inSkgceocnaa=tg|xhiRbfndla6>sw12|j`xkPchl:?sgk}lUd|o64xb;645~km2rh58=9xmr`01+2uIJ{=56?;AB{7=b=N381=v]n8;1;g?44i3;88o>m5;`3=a}i;1?1=6`<8785?!5?<39:0yPe=<40j09?l4>35`3f00(?=m:57e?g50l3:18o4>1;44I5?93;p(?=m:57f?xJ40;0:w)::9;48y!53=391q&:>b;29?jg72900c>kl:188m6eb2900c9:<:188m14d2900c9<8:188kd7=831d8<;50;9j7ad=831d8<=50;9l7`>=831d?ij50;9ja6<722cmh7>5;h1f`?6=3f9hj7>5;n1g3?6=3`9m;7>5;n620?6=3`>?87>5;n61`?6=3f>;n7>5;hc1>5<=h:=h:?=1<7*=3e811`=i::i1?65`27494?"5;m099h5a22a90>=h:??1<7*=3e811`=i::i1965`27694?"5;m099h5a22a92>=h:?91<7*=3e811`=i::i1;65`27094?"5;m099h5a22a9<>=h:?;1<7*=3e811`=i::i1565`27294?"5;m099h5a22a9e>=h:!44l32?7c<4290/>>j5859m66e=921b4?4?:%00`?>33g88o7<4;h:2>5<#::n1495a22a97>=n?o0;6)<07d9j:18'66b=0=1e>>m55:9j3a<72-88h76;;o00g?0<3`=h6=4+22f9<1=i::i1;65f7c83>!44l32?7c<>j5859m66e=121b;44?:%00`?>33g88o7o4;h5;>5<#::n1495a22a9f>=n?>0;6)<>m5d:9j!44l32?7c<o?i3:1(?=k:968j75d28;07d66:18'66b=0=1e>>m51398m=>=83.9?i474:l17f<6;21b4:4?:%00`?>33g88o7?;;:k;2?6=,;9o65:4n31`>43<3`2;6=4+22f9<1=i::i1=;54i263>5<#::n1?>h4n31`>5=5<#::n1?>h4n31`>7=:183!53=3;8<6F<7g9l57`=831vn>m>:182g?4d2=:p@>6>:0yK5a576d<,=?26;5r$266>d?e2900c>=7:188k6242900e4=50;9j04d=831b=?k50;9l53>=831b89650;9l63g=831d>ij50;9l56g=831b8k4?:%00`?2b3g88o7>4;h6g>5<#::n18h5a22a95>=n>m53:9j0=<72-88h7:j;o00g?2<3`><6=4+22f90`=i::i1965f4783>!44l3>n7c<>j54d9m66e=?21b894?:%00`?2b3g88o764;h60>5<#::n18h5a22a9=>=n<;0;6)<:18'66b=>m5b:9j05<72-88h7:j;o00g?e<3`9m6=4+22f90`=i::i1h65f5683>!44l3>n7c<>j54d9m66e=n21i?5=50;394?6|,:>>6>;?;I14b>i5;00;66sm41d94?3=:36>:0yK5a57?t$57:>4=z,:>>65<36=44i`f94?=nil0;66g7e;29?g1=83?1<7>t$266>c`<@:=m7A=71;3x 45a2=?=7pgn3;29?lg22900e4k50;9jf7<722e9854?::a3:17p}nd;296~Xfl27<64k4}r:f>5<5sW2n706i:012?xu59;0;6?u27;c6?8>a2;;=7p}ne;296~Xfm27<6l=4}r027?6=:r7<6?:7;_07<>{t:8>1<76<1sE93=7?tH0f0?xJ40;0:w)::9;38y!53=3;n46gm2;29?j4303:17dok:188mdc=831bm84?::`4>5<2290;w)=;5;de?M50n2F84<4>{%30b?22>2wbm>4?::kb1?6=3`3n6=44ic094?=h:=21<75rb2:3>5<6290;w)=;5;304>N4?o1d=?h50;9~fd`=8381<7>t$266>4273A93083>>i59?0;66s|ae83>7}Yim16;77j;|qba?6=:rTji63nf;305>{t:881<7;fn38::6s|20194?4|5:2;6<37p}=1483>7}Yj;16;7l=;|a56c=83;:6>4>3z&000<6;l1d=>o50;9j13<722c>;7>5;h1e>5<>o3:3:17d:<:188m12=831b884?::k72?6=3`><6=44i5:94?=nt$266>24<@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17d?<8;29?l7413:17b<>6;29?xd6;j0;644?:1y'713=?;1C?:h4i011>5<5<6=44i015>5<5<5<53;294~"4<<0==6F<7g9j564=831b=>=50;9l640=831v<=n:181[74i27:?i4=179~w00=838pR884=01a>4553ty>;7>52z\63>;6;k0:?>5rs2d94?4|V:l01<=m:017?xu383:1>vP;0:?27g<6;<1v9?50;0xZ17<589i6<=9;|q76?6=:rT?>63>3c8272=z{=91<7389~w13=838pR9;4=01`>4553ty?:7>52z\72>;6;j0:?>5rs5594?4|V==01<=l:017?xu303:1>vP;8:?27f<6;<1v9o50;0xZ1g<589h6<=9;|q7g?6=:rT?o63>3b8272=z{=n1<7389~w45e2909w0?;6;m0:??5rs01`>5<5s4;8o7<>6:?27a<6;:1vqo?8f;29`?5=nr.8884>7g9l53>=831b?>650;9j53b=831b=;h50;9j527=831b=:=50;9j523=831b=:950;9j53e=831b=:750;9j52d=831b=:j50;9j70>=831i=;750;;94?6|,:>>6?<=;I14b>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>o6;10;66g>3883>>i59?0;66sm17c94?3=83:p(>:::33f?M50n2c:??4?::k276<722c:?94?::k270<722e9=;4?::a53d=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{t9?21<7{t9?n1<76s|17d94?4|V8{t9>;1<7{t9>?1<7{t9?i1<7{t9>h1<7{t;<21<7{zj:=h6=4k:28e!53=39>o4=o0;66g<6083>>o4>:0;66g<6483>>o4>>0;66g<6883>>o4>k0;66g<6e83>>o4>o0;66g<7083>>o4?:0;66g<7483>>d4?00;644?:1y'713=:;80D>9i;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3`;8;7>5;h305;n022?6=3th8;l4?:483>5}#;=?1>3:17pl<7c83>6<729q/?9;520;8L61a3`;8>7>5;h307?6=3f8::7>5;|q03=<72;qU?:64=25a>7713ty89k4?:3y]70`<5:=26<=<;|q024<72;qU?;?4=25:>4553ty8:>4?:3y]735<5:=26<=;;|q020<72;qU?;;4=25:>4513ty8::4?:3y]731<5:=26<=:;|q02<<72;qU?;74=25:>4503ty8:o4?:3y]73d<5:=26<=6;|q02a<72;qU?;j4=25:>45?3ty8:k4?:3y]73`<5:=j6<==;|q034<72;qU?:?4=25b>4533ty8;>4?:3y]725<5:=j6<=<;|q030<72;qU?:;4=25b>4523ty8;44?:3y>72?=:8<01>9m:011?xu4?h0;6?u236c9640<5:=i6<=<;|a64>=83?1<7>t$266>3d<@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17b<>6;29?xd5>j0;6>4?:1y'713=>:1C?:h4i011>5<5<54;294~"4<<0=96F<7g9j564=831b=>=50;9j562=831d><850;9~f71429086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=zj:?n6=4::183!53=3<27E=8f:k277<722c:?>4?::k271<722c:?84?::m153<722wi?:950;194?6|,:>>6;?4H25e?l74:3:17d?<3;29?j46>3:17pl=e283>6<729q/?9;520;8L61a3`;8>7>5;h307?6=3f8::7>5;|`07<<72<0;6=u+35792d=O;>l0e<==:188m4542900e<=;:188m4522900c??9:188yg7?k3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj=;:6=4<:183!53=3<:7E=8f:k277<722c:?>4?::m153<722wi?8l50;194?6|,:>>6;?4H25e?l74:3:17d?<3;29?j46>3:17pl<5e83>0<729q/?9;5699K72`5<5<N4?o1b=><50;9j565=831b=>:50;9j563=831d><850;9~f605290>6=4?{%171?0f3A93383>>o6;:0;66g>3583>>o6;<0;66a=1783>>{e;?>1<7;50;2x 6222?h0D>9i;h306?6=3`;8?7>5;h300?6=3`;897>5;n022?6=3th8:;4?:483>5}#;=?1:l5G36d8m4552900e<=<:188m4532900e<=::188k7712900qo=98;291?6=8r.88849a:J03c=n9:81<75f12194?=n9:>1<75f12794?=h:8<1<75rb24b>5<2290;w)=;5;4:?M50n2c:??4?::k276<722c:?94?::k270<722e9=;4?::a73e=83?1<7>t$266>3g<@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17b<>6;29?xd4>l0;684?:1y'713=>01C?:h4i011>5<5<6=44o335>5<55;294~"4<<0=m6F<7g9j564=831b=>=50;9j562=831b=>;50;9l640=831vn>9=:186>5<7s-9?9786;I14b>o6;;0;66g>3283>>o6;=0;66g>3483>>i59?0;66sm36694?3=83:p(>:::7;8L61a3`;8>7>5;h307?6=3`;887>5;h301?6=3f8::7>5;|`033<72<0;6=u+35792==O;>l0e<==:188m4542900e<=;:188m4522900c??9:188yg4b93:197>50z&000<1k2B8;k5f12094?=n9:91<75f12694?=n9:?1<75`20494?=zj;o96=4::183!53=34?::k271<722c:?84?::m153<722wi=;k50;794?6|,:>>6;o4H25e?l74:3:17d?<3;29?l74<3:17d?<5;29?j46>3:17pl>7183>0<729q/?9;5689K72`5<5<N4?o1b=><50;9j565=831b=>:50;9j563=831d><850;9~f413290>6=4?{%171?0f3A93383>>o6;:0;66g>3583>>o6;<0;66a=1783>>{e9><1<7;50;2x 6222?k0D>9i;h306?6=3`;8?7>5;h300?6=3`;897>5;n022?6=3th:;54?:483>5}#;=?1:45G36d8m4552900e<=<:188m4532900e<=::188k7712900qo?8a;291?6=8r.888499:J03c=n9:81<75f12194?=n9:>1<75f12794?=h:8<1<75rb05`>5<2290;w)=;5;4:?M50n2c:??4?::k276<722c:?94?::k270<722e9=;4?::a52c=83?1<7>t$266>3><@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17b<>6;29?xd5?90;6>4?:1y'713=>81C?:h4i011>5<5<7>53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn?ji:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66s|a583>6}Yi=168=h5ae9>044=im1v<6m:181[7?j27:4n4=179~w65?2908wS=<8:?23c<4;116?>752048yv?42908wS7<;<626?gb34>:=7?<2:p04d=838pR9?m;<63b?>b3ty::54?:3y]53><58=m6<87;|q70=<72:qU8964=27f>45534;3o7?<2:p63g=839pR?8n;<047?46>2789h4>329~w7bc2909wS3`9~w40c2909w0?8f;35`>;6>l09=;5rs04e>5<5s4;:181870n3;<=63>738153=z{8=86=4={<34b?70;27:;94=179~w4122909w0?8f;341>;6??09=;5rs054>5<5s4;7`8153=z{8=i6=4={<34b?70j27:;n4=179~w41c2909w0?8f;34`>;6?l09=;5rs3ff>5<5s48n?7?<2:?1`c<59?1v??8:180846038::63=e28276=:<8;1=>=4}r63b?6=:r7?044=j;1v9?=:187826:38?463=718276=::>81=><4=3fe>4553ty9i=4?:3y>6`7=:8<01?ji:010?xu4=h0;6?u234g9562<5:?i6??9;|q01f<72;q6?8k51278963c2;;=7p}>6b83>7}:9>l1=;m4=3g1>7713ty9:k4?:3y>625=9:801?9?:335?xu5?80;6?u22619565<5;=96??9;|q03=<72;q6?:m536:8961028987p};1183>7}:<9l1mh52403964057>52z?01`<59?16?:951208yv52n3:1>v3<7b801c=:;?:1><84}r05`?6=:r79:h4=179>624=9:90q~=91;296~;4?j08:<52370964052z?03f<4>:16?;:52048yv51=3:1>v3<7b8020=:;?<1><84}r153?6=:r78;n4<669>73>=:8<0q~=99;296~;4?j08:45237c964052z?03f<4?:16?::52048yv5203:1>v3>7g801==:;>=1><84}r141?6=:r78;n4<749>720=:8<0q~=9b;296~;4?j08:o5237a964052z?03f<4>m16?;k52048yv51n3:1>v3<7b802c=:;>:1><84}r145?6=:r78;n4<709>724=:8<0q~<9b;297~;5>j09=;5219a9565<5;=;6<==;|q7b?6=98qU8k5212g90c=::821=>:4=34`>454348=i7?<2:?07<<6;:16>h?5127897c5289>70?9e;307>;6?90:??521609563<58=?6<=:;<342?74=27:;54>349>52g=9:?01<9l:016?870m3;896*<3b87a>h4;k0;7p};d;2954}Yk54e9>64>=9:?01?8l:011?841m3;8?63<388270=::l;1=>:4=3g1>45334;=i7?<5:?235<6;<16=:<512689413289870?86;307>;6?10:??5216c9562<58=h6<=;;<34a?74<2.8?n4;e:l07g<63ty?o7>510y]0f=:9:o18n5220:9565<5;329>6`7=9:901?k=:010?871m3;8863>718271=:9>81=>=4=057>45534;<:7?<4:?23=<6;=16=:o51208941d289870?8e;307>"4;j0?i6`<3c81?xu3i3:1==uQ4`9>56c=<651208965>289?70=:b;306>;5m80:??522d09564<58339>522=9:>01<99:011?87003;8?63>7`8276=:9>i1=><4=05f>4553-98o7:j;o10f?536=4<{_6;?874m3>370=:d;301>"4;j0?i6`<3c87?xu3?3:1?vP;7:?27`<3?2789i4>359'76e=l55:p03<72:qU8;5212g903=:;=4$21`>1c329>732=9:>01>89:010?85103;8?63<6`8277=:;?i1=>;4=24f>452349<<7?<5:?037<6;<16?::512789611289>7)=339>732=9:?01>89:016?85103;8963<6`8270=:;?i1=>=4=24f>455349<<7?<4:?037<6;=16?::512689611289?7)=349>732=9:901>89:011?85103;8863<6`8271=:;?i1=>:4=24f>453349<<7?<3:?037<6;;16?::51218961128987)=:18f[2634;8i7:>;<154?74:278:?4>359>732=9:801>89:017?85103;8>63<6`8276=:;?i1=><4=24f>454349<<7?<2:?037<6;:16?::51208961128997)=5<5sW9m70?n7c=3;296~;40:09?45241d9f7=zuk>>;7>532802?3esE93=7?tH0f0?xJ40;09wc::a;65?!2213<0q)=;5;05=>\>l38pi7?i:|X07d<5so0:i7sfa583>>i3;=0;66g>i3:>0;66a;1483>>i4m10;66g<1g83>>o>;3:17b<7d;29?j27j3:17d=i8;29?l57k3:17d:;8;29?l25j3:17d<9a;29?j25=3:17d>m50:9j0d<72-88h7:m;o00g?7<3`>36=4+22f90g=i::i1>65f4683>!44l3>i7c<>j54c9m66e=<21b884?:%00`?2e3g88o7;4;h67>5<#::n18o5a22a92>=n<:0;6)<>m58:9j04<72-88h7:m;o00g??<3`>;6=4+22f90g=i::i1m65f3g83>!44l3>i7c<>j54c9m66e=k21b9;4?:%00`?2e3g88o7j4;h76>5<#::n18o5a22a9a>=n==0;6)<>m51198m04=83.9?i4;b:l17f<6921b9<4?:%00`?2e3g88o7?=;:k64?6=,;9o69l4n31`>45<3`>26=4+22f90g=i::i1=954b3g7>5<683;1==uC39395~N6l:1v@>6=:0y'00?==2w/?9;513c8mdb=831d>?650;9l67g=831d>?m50;9l67c=831d>>>50;9l664=831d>>:50;9l660=831d>>950;9j5fe=831b=nj50;9j5fc=831b=nh50;9j5a6=831b=i?50;9a57?=83;;6i4>4zN0<4<6sA;o?6s+35796`25<5<5<628qC=i=4}%171?7782ci>7>5;n076<729q/?9;5fd9K72`52z\a6>;02k80qpl>0d83>6<62:qG?5?51zJ2`6=z,:>>6<>?;h`1>5<36=44i`f94?=e?3:1?7>50z&000>{tim0;6?uQae9>3??b3ty9=?4?:3y>3?4302T9855rs330>5<5sWh97095b39~yg76k3:1?7?53zN0<4<6sA;o?6s+35795565<7s-9?97hj;I14b>J4080:w)?{n1l0;66gm2;29?j4303:17p}nd;296~Xfl27<64k4}r026?6=:r7<6?:7;_07<>{t:891<7k:18;>2l0e<==:188m4542900e<=;:188m4522900c??9:188yg77<3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj8:=6=4<:183!53=3<:7E=8f:k277<722c:?>4?::m153<722wi==650;794?6|,:>>6;74H25e?l74:3:17d?<3;29?l74<3:17d?<5;29?j46>3:17pl>0883>6<729q/?9;5629K72`5<N4?o1b=><50;9j565=831d><850;9~f46e290>6=4?{%171?46m2B8;k5f12094?=n9:91<75f12694?=n9:?1<75`20494?=z{8ih6=4<{_3`g>;68j0:?>5211:956253z\2`5=:99i1=>:4=02;>4523ty:=n4?:2y]54e<58:h6<==;<330?74;2wx==?50;7xZ46634;;o7?<5:?241<6;;16==851218946>28987p}>0d83>6}Y99o01<>9:011?87703;8>6s|1bg94?4|V8in70??8;307>{t9m;1<76s|11094?4|V8:970??b;022>{t9991<777134;;n7?<2:p552=838p1<>;:335?877j3;8?6s|11794?4|58:=6??9;<33f?74<2wx==950;0x946f2;;=70??b;301>{t9921<777134;;m7?<2:p55?=838p1<>6:335?877i3;8?6srb03a>5<>2?0nw)=;5;32f>o6880;66g>d183>>o6kl0;66g>cb83>>o6km0;66g>cg83>>o69j0;66g>0d83>>i68o0;66l>1`83>1<729q/?9;5679K72`5<5<56;294~"4<<0=j6F<7g9j564=831b=>=50;9j562=831b=>;50;9j560=831d><850;9~f47429086=4?{%171?053A93383>>o6;:0;66a=1783>>{e98?1<7=50;2x 6222?80D>9i;h306?6=3`;8?7>5;n022?6=3th:=:4?:583>5}#;=?1:;5G36d8m4552900e<=<:188m4532900c??9:188yg7613:1:7>50z&000<59o1C?:h4i011>5<5<6=44i015>5<50;1xZ4b734;:m7?<4:?252<6;=1v339>547=9:80q~?lc;297~X6kj16=ce83>7}Y9jn01:017?xu6ko0;6?uQ1bd89476289=7p}>1b83>7}Y98i015;307>;69>0:??5rs02e>5<5sW;;j63>188153=z{8;;6=4={<32e?46>27:=44>339~w4762909w0?>1;022>;6900:?>5rs031>5<5s4;:?7<>6:?25<<6;=1v188270=z{8;=6=4={<323?46>27:=44>379~yg75?3:147;5cz&000<6:>1b=nm50;9j5a6=831b=>6;k4H25e?l74:3:17d?<3;29?l74<3:17d?<5;29?l74>3:17b<>6;29?xd69o0;6;4?:1y'713=>l1C?:h4i011>5<5<6=44i015>5<N4?o1b=><50;9j565=831d><850;9~f444290=6=4?{%171?0b3A93383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i59?0;66sm13794?3=83:p(>:::33f?M50n2c:??4?::k276<722c:?94?::k270<722e9=;4?::p5fe=83>pR349>575=9:?0q~?k0;297~X6l916=?8512689444289=7p}>1b83>1}Y98i01<<9:010?876n3;8?63>228276=z{8:n6=4<{_33a>;6:?0:??52133956555z\244=:9;<1=>84=03e>45534;9=7?<2:?266<6;;1v359>575=9:>0q~?k1;296~X6l816=vP>1e9>573=:8<0q~?>e;296~;6:?09=;52137956452z?25c<59?16=?;51218yv7583:1>v3>208153=:9;?1=>:4}r316?6=:r7:>>4=179>573=9:?0qpl=2883>1<729q/?9;5679K72`5<5<54;294~"4<<0=96F<7g9j564=831b=>=50;9j562=831d><850;9~f74c290?6=4?{%171?003A93383>>o6;:0;66g>3583>>i59?0;66sm23d94?2=83:p(>:::748L61a3`;8>7>5;h307?6=3`;887>5;n022?6=3th9?<4?:583>5}#;=?1:85G36d8m4552900e<=<:188m4532900c??9:188yg44=3:187>50z&000<1>2B8;k5f12094?=n9:91<75f12694?=h:8<1<75rb31;>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::p557=83hp1<>>:36;?877l3;;=63>1c8244=:9;=1==?4=30:>4553489n7?<2:?16a<6;=16>?h512689756289870<<5;306>;5;10:??5rs02f>5;5:k0:?>5223f9565<5;8m6<=<;<005?74:279?84>329>66>=9:90q~?>c;29e~;69j09855211f954e<58;i644>329>67d=9:>01?63=308271=:::?1=>:4}r3`g?6=pRcd9>571=9jo0q~?lf;296~X6ko16=d19>55b=9m:01;6:>0:h<5rsc294?2|Vk:01<>>:`f8946b2hn01vP=299>67?=:8<0q~<=a;296~X5:h16>?l52048yv45k3:1>vP=2b9>67b=:8<0q~<=e;296~X5:l16>?h52048yv4483:1>vP=319>667=:8<0q~<<4;296~X5;=16>>;52048yv44?3:1>vP=369>66>=:8<0q~??2;296~;6880i>63>0e8247=z{8:m6=4={<33a?d534;:n7??f:p54b=838p17}Yim16=?75b19~w74?2909wS<=8:?26<<5:11v?44=2`9~w74d2909wS<=c:?26<<5:j1v?44=2d9~w7572909wS<<0:?26<<5;91v?==:181[44:27:>44=339~w7532909wS<<4:?26<<5;=1v?=9:181[44>27:>44=379~w7502909wS<<7:?26<<5;>1v44>cb9~w4ec2909wS?ld:?26<<6km1v44>cd9~w4ea2909wS?lf:?26<<6ko1v44>d19~w4b62909wS?k1:?26<<6l81vqo7::180>4<4sE93=7?tH0f0?xJ40;0:w)::9;78y!53=3;m?6gm2;29?j4303:17dok:188f2<72:0;6=u+3579b`=O;>l0e4k50;9jf7<722e9854?::pea<72;qUmi527;;f?xu59;0;6?u27;07<>X5<11v??<:181[d534=1n?5r}c13`?6=;3;1?vB<8082M7c;2wG?5<51z&71<<23t.8884>f29jf7<722e9854?::kb`?6=3k=1<7=50;2x 6222oo0D>9i;h;f>5<m2wx><<50;0x92<5<11U>964}r027?6=:rTi>638:c08yxd4:=0;6>4>:2yO7=7=9rB:h>5rL2:1>4}#<<3196s+35795c55<7s-9?97hj;I14b>o>m3:17dl=:188k72?2900q~ok:181[gc34=15h5rs331>5<5s4=1>964^36;?xu59:0;6?uQb39>3?d53twi?=l50;195?5|D:2:6N4?o1b5h4?::ka6?6=3f8?47>5;|qb`?6=:rTjh638:8g8yv46:3:1>v38:36;?[4302wx><=50;0xZg4<5>0i>6srb23f>5<42808wA=71;3xL4b43tF84?4>{%66=?35;hcg>5<0;6>4?:1y'713=nl1C?:h4i8g94?=nj;0;66a=4983>>{tim0;6?uQae9>3??b3ty9=?4?:3y>3?4302T9855rs330>5<5sWh97095b39~yg4a?3:197<55zN0<4<6sA;o?6sC3909e~"3=00>7)::8;1`6>"3=90i>6*;53810==#<=o1mi5a45c95>"3>ofm3:17dl=:188k72?2900e>:>:188f2<72<0968uC39395~N6l:1v(>:::0f`?ld52900c?:7:188mdb=831bmh4?::kb1?6=3k=1<7;50;2x 6222ol0D>9i;hc0>5<>oe:3:17b<;8;29?xd4090;6<4?:1y'713=9::0D>9i;n31b?6=3tyjh7>52z\b`>;020o0q~oj:181[gb34=1m>5rs331>5<5s493<7?=f:?4>d352z?4>72?3W8?46s|20694?4|Vk801:4m2:~f6d>29086=4?{%171?46i2B8;k5f12094?=n9:91<75`20494?=z{hn1<7=4}r07{t;=;1<7=t^262?81=j;16?o751208yv5e03:1>v38:`g896d>2;;=7psm26694?5=939p@>6>:0yK5a57?t$57:>0=z,:>>65<36=44i`f94?=e?3:1?7>50z&000>{tim0;6?uQae9>3??b3ty9=?4?:3y>3?4302T9855rs330>5<5sWh97095b39~yg4?:3:1?7?53zN0<4<6sA;o?6sC39095~"3=00>7p*<4482b2=nj;0;66a=4983>>ofl3:17o950;194?6|,:>>6kk4H25e?I5?93;p(<=i:575?xo>m3:17dl=:188k72?2900q~ok:181[gc34=15h5rs331>5<5s4=1>964^36;?xu59:0;6?uQb39>3?d53twi>5h50;195?5|D:2:6N4?o1G?5?51z&27c<3=?1ve4k50;9jf7<722e9854?::pea<72;qUmi527;;f?xu59;0;6?u27;07<>X5<11v??<:181[d534=1n?5r}c13e?6=;3;1?vB<8082M7c;2wG?5<51z&71<<23t.8884>f89jf7<722e9854?::kb`?6=3k=1<7=50;2x 6222oo0D>9i;M1;5?7|,89m69;9;|k:a?6=3`h96=44o36;>5<628qC=i=4}M1;6?7|,=?2685r$266>4`f3`h96=44o36;>5<419K72`5<7}:?33n70l>:335?xu59:0;6?u27;07<>X5<11v??;:181[d534=1n?5r}c04b?6=03<1hv*<44813c=h:>?1<75f2d594?=n:ok1<75f9283>>o5?=0;66g=e983>>o4810;66g=8183>>d5?l0;6>4?:1y'713=>81C?:h4i011>5<5<53;294~"4<<09=l5G36d8m4552900e<=<:188k7712900qo<88;290?6=8r.888495:J03c=n9:81<75f12194?=n9:>1<75`20494?=zj;=j6=4;:183!53=3<=7E=8f:k277<722c:?>4?::k271<722e9=;4?::a62e=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd5?m0;694?:1y'713=:8h0D>9i;h306?6=3`;8?7>5;h300?6=3f8::7>5;|q130<72;qU>:;4=35g>7713ty9i:4?:3y]6`1<5;=36<=;;|q1bd<72;qU>ko4=35;>4553ty2?7>54z\:7>;5?l0:??522659564<5;=j6<==;|q131<72:qU>::4=35f>454348=838pR?k7;<04e?74<2wx?=650;0xZ66?348:850;0x971b2;;=70<87;307>{t:881<7771348<47?<3:p645=838p1?97:335?840l3;8>6s|26;94?4|5;=j6??9;<04`?74;2wx>:l50;0x971d2;;=70<8d;300>{zj;2h6=47:28b!53=383o6a=8683>>o5m>0;66g=fc83>>o48<0;66g=8283>>o5m10;66g=fd83>>o50<0;66l=8c83>0<729q/?9;56c9K72`5<5<N4?o1b=><50;9j565=831b=>:50;9l640=831vn?6n:180>5<7s-9?97<>9:J03c=n9:81<75f12194?=h:8<1<75rs3:4>5<5sW83;63=8`8153=z{;o<6=4={_0f3>;50k0:?85rs3da>5<5sW8mn63=8c8277=z{::>6=4={_131>;50k0:?>5rs3:0>5<5sW83?63=8c8271=z{;o36=4={_0f<>;5000:?95rs3df>5<5sW8mi63=888276=z{;2>6=4={_0;1>;5000:??5rs3:;>5<5s483n7<>6:?15a;79a~"4<<09555`28294?=n:l=1<75f2g`94?=n;9?1<75f29194?=n:l31<75f2d794?=n:lk1<75f2d:94?=n:oo1<75m28594?2=83:p(>:::748L61a3`;8>7>5;h307?6=3`;887>5;n022?6=3th95?4?:283>5}#;=?1><74H25e?l74:3:17d?<3;29?j46>3:17pl=9283>6<729q/?9;5609K72`5<N4?o1b=><50;9j565=831d><850;9~f7?1290=6=4?{%171?46n2B8;k5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h:8<1<75rs3;3>5<5sW82<63=978153=z{;o<6=4={_0f3>;51:0:??5rs3da>5<4sW8mn63=968277=::081=><4}r131?6=:rT8<852285956552z\1<6=::0=1=>:4}r0f=?6=:rT9i452284956552z\1a0=::0<1=>:4}r0fe?6=:rT9il52284956352z\1a==::0?1=><4}r0ea?6=:rT9jh52287956552z?1=2<59?16>4<51218yv46:3:1>v3=938153=::091=>=4}r027?6=:r795>4=179>6<0=9:80q~<64;296~;51<09=;5228495603}#;=?1>4k4o37`>5<6<729q/?9;5609K72`5<N4?o1b=><50;9j565=831d><850;9~f7?d29086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{;?h6=4={_06g>;51j09=;5rs3:e>5<4sW83j63=9e8277=::0h1=><4}r6:>5<5sW>270<6d;307>{t;o0;6?uQ3g9>652z?1=g<59?16>4m51218yxd5i=0;694<:7y'713=:h>0c?8?:188m7>a2900e8>50;9j05<722h9m>4?:283>5}#;=?1:<5G36d8m4552900e<=<:188k7712900qo5<4290;w)=;5;02=>N4?o1b=><50;9j565=831d><850;9~w7072909wS<90:?1e7<59?1v?6i:180[4?n279m>4>339>6d7=9:80q~;?:181[37348j?7?<3:p05<72;qU8=522`3956552z?1e6<59?16>l<51208yv4f83:1>v3=a08153=::h81=>=4}|`1ed<72=086;u+35796dg5<>d5i00;6>4?:1y'713=>81C?:h4i011>5<5<53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn?o7:180>5<7s-9?97<>9:J03c=n9:81<75f12194?=h:8<1<75rs342>5<5sW8==63=a98153=z{;2m6=4<{_0;b>;5i00:??522`595647}Y<816>l951218yv4f=3:1>v3=a88153=::h21=><4}r0b2?6=:r79m:4=179>6d>=9:90qpl=b183>1<42?q/?9;52c28k7052900e?6i:188m04=831b8?4?::`1ec<72:0;6=u+357924=O;>l0e<==:188m4542900c??9:188yg4fl3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj;kn6=4<:183!53=38:56F<7g9j564=831b=>=50;9l640=831v?8=:181[41:279mh4=179~w7>a2908wS<7f:?1ec<6;;16>lj51208yv352909wS;=;<0bb?74;2wx8?4?:3y]07=::hn1=>=4}r0bf?6=:r79mk4=179>6dc=9:80q~3}#;=?1>o84o340>5<6<729q/?9;5609K72`5<N4?o1b=><50;9j565=831d><850;9~f7d329086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{;<86=4={_057>;5j=09=;5rs3:e>5<4sW83j63=b48277=::k91=><4}r70>5<5sW?870{t<:0;6?uQ429>6g5=9:90q~7>52z?1f6<59?16>o:51218yxd5jj0;694<:7y'713=:ki0c?8;:188m7>a2900e8:50;9j01<722h9no4?:283>5}#;=?1:<5G36d8m4552900e<=<:188k7712900qo5<4290;w)=;5;02=>N4?o1b=><50;9j565=831d><850;9~w7032909wS<94:?1fd<59?1v?6i:180[4?n279no4>339>6g?=9:80q~;;:181[33348in7?<3:p01<72;qU89522c;956552z?1fg<59?16>oo51208yv4e03:1>v3=b88153=::kk1=>=4}|`1g7<72=086;u+35796f46=44i3:e>5<>d5k80;6>4?:1y'713=>81C?:h4i011>5<5<53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn?m?:180>5<7s-9?97<>9:J03c=n9:81<75f12194?=h:8<1<75rs346>5<5sW8=963=c18153=z{;2m6=4<{_0;b>;5k80:??522cd95646=4={_76?84d93;8?6s|4483>7}Y<<16>oh51218yv4el3:1>v3=c08153=::j:1=><4}r0aa?6=:r79nk4=179>6f6=9:90qpl=c983>1<42?q/?9;52b:8k7012900e?6i:188m00=831b8;4?::`1g2<72:0;6=u+357924=O;>l0e<==:188m4542900c??9:188yg4d=3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj;i=6=4<:183!53=38:56F<7g9j564=831b=>=50;9l640=831v?89:181[41>279o;4=179~w7>a2908wS<7f:?1g2<6;;16>n;51208yv312909wS;9;<0`3?74;2wx8;4?:3y]03=::j?1=>=4}r0`7?6=:r79o:4=179>6f0=9:80q~3}#;=?1>i>4o344>5<0;66l=cg83>6<729q/?9;5609K72`5<N4?o1b=><50;9j565=831d><850;9~f7eb29086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{;<<6=4={_053>;5kl09=;5rs3a:>5<4sW8h563=cg8277=::jn1=><4}r74>5<5sW?<70{t<>0;6?uQ469>6fb=9:90q~52z?1ga<59?16>nk51218yxd5l?0;694<:7y'713=:m<0c?87:188m7>a2900e9o50;9j0=<722h9h84?:283>5}#;=?1:<5G36d8m4552900e<=<:188k7712900qo5<4290;w)=;5;02=>N4?o1b=><50;9j565=831d><850;9~w70?2909wS<98:?1`1<59?1v?6i:180[4?n279h84>339>6a5=9:80q~:n:181[2f348o97?<3:p0=<72;qU85522e1956552z?1`0<59?16>i:51208yv4c:3:1>v3=d28153=::m>1=>=4}|`1<`<72:0;6=u+357964g<@:=m7d?<2;29?l74;3:17b<>6;29?xd3:h0;6?4?:1y'713=9=:0D>9i;h305?6=3f8::7>5;|`772<72;0;6=u+3579516<@:=m7d?<1;29?j46>3:17pl;0`83>7<729q/?9;51528L61a3`;8=7>5;n022?6=3th?5}#;=?1=9>4H25e?l7493:17b<>6;29?xd3910;6?4?:1y'713=9=:0D>9i;h305?6=3f8::7>5;|`763<72;0;6=u+3579516<@:=m7d?<1;29?j46>3:17pl<0883>6<729q/?9;5609K72`5<l0e<==:188m4542900c??9:188yg57>3:1:7>50z&000<1l2B8;k5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h:8<1<75rb221>5<4290;w)=;5;42?M50n2c:??4?::k276<722e9=;4?::a75`=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd4:80;6>4?:1y'713=>;1C?:h4i011>5<5<53;294~"4<<09=45G36d8m4552900e<=<:188k7712900qo5<4290;w)=;5;40?M50n2c:??4?::k276<722e9=;4?::a060=83?1<7>t$266>3d<@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17b<>6;29?xd3800;684?:1y'713=>k1C?:h4i011>5<5<6=44o335>5<;h7>53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn9?8:187>5<7s-9?978:;I14b>o6;;0;66g>3283>>o6;=0;66a=1783>>{e<8k1<7=50;2x 6222;;27E=8f:k277<722c:?>4?::m153<722wi>kj50;794?6|,:>>6;o4H25e?l74:3:17d?<3;29?l74<3:17d?<5;29?j46>3:17pl=fg83>6<729q/?9;5639K72`5<N4?o1b=><50;9j565=831b=>:50;9l640=831vn>>;:187>5<7s-9?97<>b:J03c=n9:81<75f12194?=n9:>1<75`20494?=zj;2:6=4<:183!53=38:56F<7g9j564=831b=>=50;9l640=831vn?6;:187>5<7s-9?978;;I14b>o6;;0;66g>3283>>o6;=0;66a=1783>>{e:1<1<7=50;2x 6222?;0D>9i;h306?6=3`;8?7>5;n022?6=3th8il4?:383>5}#;=?1=9>4H25e?l7493:17b<>6;29?xuf<3:1hvPn4:?1a1:4=514>7713ty8oi4?:7y]7fb<5=826<==;<602?74:27?<44>339>05b=9:8019?8:011?xu3:>0;6?uQ4358914f2;;=7p};1483>7}Y<8?019?7:335?xu4m10;6?uQ3d:896c?2;>37p}<1g83>7}Y;8l01><;:c08yv?4290jwS7<;<0f0?7dk27297l=;<04b??4349;:7?<3:?1ba<6;=16>kh512089666289?70<74;306>;50?0:??5rs3:g>5<5sW83h63=8d8153=z{=:i6=4={_63f>;38l09=;5rs2d;>5<5sW9m463;088271=z{::h6=4={_13g>;48m0i>6s|45:94?3|V=>370:=9;307>;3;?0:?>5241;9565<5=:o6<=<;|q76g<72;qU8?l4=515>4533ty9:l4?:8y]63g<5;o?6349>6fg=9:9019?8:010?84al3;8?63<008277=::1>1=>=4}r611?6=:rT?>852434964052z\1`a=:<;<1=>?4}r633?6=:rT?<:5241c964057z?1a1<6l916?289870=?6;302>;5no0:?>523139565<5;2=6<=<;|q065<72;q6?777=:8<0q~<70;296~;5?o094=5229396406=4<{<0f0?7dl27297<;8:?1ba<6;;1v?h8:18084a?38?463<0g8277=:;;;1=><4}r13e?6=:r7804g=9:90q~:?c;296~;38l0:?<5241f9640897>52z?772<6;8168>852048yv4d13:1>v3=d181g<=::jk1><84}r131?6=6<>=;9?01>>8:010?857>38::6s|2d794?5|5;o?6?<7;<0:339~w66e2908w0;48k0985522gf956352z?1a1<5:h16>k751218yv4b?3:1;v3=e5816f=::>l1>h94=3:`>7c0348247628997p}=8283>6}::1i1>5=4=3;;>7>4348387<>6:p6`>=83h64=22:>4553483=7?<3:p6=3=838p1?6l:3:6?84?>38::6s|2d;94?4|5;o?6?=?;<0:ho50;0x97c32;9?70<68;0fe>{t;k=1<7750349;m7l=;|q74=<72;q68=o51238916>2;;=7p};1783>7}:<8=1><84=53b>4553ty8i44?:3y>7`>=j;16?ho52048yv4?n3:1ov3=8g810==::0o1>5h4=3c7>7>a348jm7<7f:?1f5<50o16>o8529d897dd2;2m70;5k1094k522e496=`<5;ij6<==;<1fe?7492wx>4>50;0x97>a2k801?77:3;3?xu48:0;6?u23109565<5::?6??9;|q1bd<72;q6>:h52gc896602;;=7p}<0983>7}::>l1?=64=22:>7713ty9j54?:3y>6c1=il16>k752048yv4aj3:18v3=8b81bg=::021>kl4=224>455349;>7<>6:p622=832p1?k;:0f2?840<38?463=7g8131=:;9<1=><4=515>45234>;57?<5:?752<6;=16>5:51268yv57m3:1>v3<0c8a6>;48o09=;5rs53:>5<5s4>:47?<1:?75d<59?1v><;:181855<38?463<208276=z{::o6=4<{<13`?430279j:4<409>75`=9:90q~54z?14652gg897`a2;;=70=?4;307>{t;9:1<7771349;87?<4:p6=4=838p1?6=:36;?84?m3;8?6s|29594?4|5;296o<4=3:`>7>03ty?>54?:3y>07g=9:;019<6:335?xu5?<0;6?u22669f7=::>l1>:;4}r05<,:9h6?;j;o10f?452z\122=::m:1>;94$21`>73b3g98n7=4}r052?6=:rT9:;522b:9630<,:9h6?;j;o10f?252z\120=::j81>;;4$21`>73b3g98n7;4}r050?6=:rT9:9522ca9632<,:9h6?;j;o10f?052z\126=::k<1>;=4$21`>73b3g98n794}r056?6=:rT9:?522c29634<,:9h6?;j;o10f?>52z\124=::hk1>;?4$21`>73b3g98n774}r054?6=:rT9:=522`69636<,:9h6?;j;o10f?go7>52z\11f=::0o1>8m4$21`>73b3g98n7l4}r6`>5<5sW>h7S<:d:&07f<3j2d8?o4?;|q7e?6=:rT?m63=d787e>"4;j0?n6`<3c82?xu303:1?vP;8:\11c=::m<1855+32a90g=i;:h1>6s|4683>7}Y<>16>i>5469'76e=l53:p03<72;qU8;522b:903=#;:i18o5a32`90>{t<<0;6?uQ449>6f4=<<1/?>m54c9m76d==2wx894?:3y]01=::ki1895+32a90g=i;:h1:6s|4283>7}Y<:16>o85429'76e=l57:p07<72;qU8?522c2907=#;:i18o5a32`9<>{t<80;6?uQ409>6dg=<81/?>m54c9m76d=12wx8=4?:3y]05=::h>18=5+32a90g=i;:h1m6s|3g83>7}Y;o16>4k53g9'76e=l5b:p12<72;qU9:522e2912=#;:i18o5a32`9g>{t=?0;6?uQ579>6f>==?1/?>m54c9m76d=l2wx984?:3y]10=::j81985+32a90g=i;:h1i6s|5583>7}Y==16>om5559'76e=l5f:p16<72;qU9>522c4916=#;:i18o5a32`955=z{<81<7=l:5`8j65e28;0q~;>:181[36348jm7;>;%10g?2e3g98n7?=;|q64?6=:rT><63=a5864>"4;j0?n6`<3c827>{t<00;6?uQ489>6m54c9m76d=9=1vqo=l6;2956<5?39>wA=71;3xL4b43tF84?4={o66e?3>3->>5784}%171?71;2cj87>5;h37`?6=3`;3n7>5;h375?6=3`9hh7>5;h;0>5<5<5<36=44i50a>5<5<5<>i4lh0;66l=4383>g<62kqG?5?51zJ2`6=zD:296{#;=?1=?l4i`f94?=h:;21<75`23c94?=h:;i1<75`23g94?=h:::1<75f1ba94?=n9jn1<75f1bg94?=n9jl1<75f1e294?=e9;31<7l59;dxH6>628qC=i=4}%171?43:2c:on4?::k2ga<722c:oh4?::k2gc<722c:h=4?::ka4?6=3f8947>5;n01e?6=3f89o7>5;n01a?6=3f88<7>5;c335?6=;3;1?vB<8082M7c;2w/?9;51128mg4=831d>9650;9jea<722h<6=4<:183!53=3ln7E=8f:N0<4<6s-;8j7::6:j=`<722ci>7>5;n0752z\b`>;020o0q~<>2;296~;02;>37S<;8:p645=838pRo<4=68a6>{zj8:n6=4<:080I5?93;pD5;c594?5=83:p(>:::gg8L61a3E93=7?t$01e>1313tc2i7>5;h`1>5<36=44}rcg>5<5sWko70959d9~w7752909w09525:8Z72?3ty9=>4?:3y]f7=:?3h97psm11f94?2=:3?p(>:::02g?l7793:17d?k0;29?l77m3:17b??2;29?g77=3:1?7>50z&000<192B8;k5f12094?=n9:91<75`20494?=zj8:?6=4<:183!53=38:56F<7g9j564=831b=>=50;9l640=831v<>>:181[77927:<84>339~w4b72909wS?k0:?240<6;:1v<>j:181[77m27:<94>329~w4652909wS??2:?241<59?1v<><:181877=38::63>058277=zuk;:n7>58;49`~"4<<0:=o5f1ba94?=n99;1<75f11g94?=n9m:1<75f1bf94?=n9jo1<75f1bd94?=h99l1<75m10c94?5=83:p(>:::708L61a3`;8>7>5;h307?6=3f8::7>5;|`254<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg76;3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj8;>6=4;:183!53=3<>7E=8f:k277<722c:?>4?::k271<722e9=;4?::a541=83?1<7>t$266>3g<@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17b<>6;29?xd6900;6;4?:1y'713=:8l0D>9i;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f8::7>5;|q2gf<72;qU=nm4=03b>4543ty:<<4?:4y]557<58;j6<==;<325?74:27:=84>329>541=9:90q~??e;290~X68l16=5;306>{t9m:1<7=t^0f3?876;3;8>63>148271=z{8io6=4={_3``>;69>0:?95rs0af>5<5sW;hi63>168270=z{8im6=4={_3`b>;69>0:??5rs02e>5<5sW;;j63>188153=z{8;;6=4={<32e?46>27:=44>339~w4762909w0?>1;022>;6900:?>5rs031>5<5s4;:?7<>6:?25<<6;=1v188270=z{8;=6=4={<323?46>27:=44>379~yg4513:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj;8i6=4<:183!53=3<:7E=8f:k277<722c:?>4?::m153<722wi>?j50;194?6|,:>>6;<4H25e?l74:3:17d?<3;29?j46>3:17pl=2g83>6<729q/?9;5629K72`5<l0e<==:188m4542900c??9:188yv7793:14v3>00810==:99n1==?4=03a>466348957?<2:?16g<6;:16>?j51218974a289870<<1;307>{t99o1<76t=02f>72?34;;h7??e:?25g<68l16>?751218974e289970<=d;306>;5:o0:??52223956452z\2gf=:98h1=nm4}r3``?6=:rT:oi5210`95fb52z\2g`=:98h1=nk4}r3`b?6=:rT:ok5210`95f`53z\2`5=:99n1=i>4=03a>4b73tyi<7>53z\a4>;6880jh63>0d8b`>{t:;21<7{t:;i1<7{t:::1<74653ty:55c=j;16=vPnd:?26<?650;0xZ74?34;957<=8:p67g=838pR??m50;0xZ74d34;957<=c:p67c=838pR?>>50;0xZ75734;957<<0:p5fe=838pR4<4sE93=7?tH0f0?xJ40;0:w)::9;68y!53=3;oi6gm2;29?j4303:17dok:188f2<72:0;6=u+3579b`=O;>l0@>6>:0y'56`=<<<0qd7j:188mg4=831d>9650;9~wdb=838pRlj4=68:a>{t:881<73;296~Xe:27<6o<4}|`2e<<72<0968uC39395~N6l:1v@>6=:0y'00?=<2w/?9;51ed8mg4=831d>9650;9jea<722cji7>5;hc6>5<0;684?:1y'713=no1C?:h4i`194?=ni<0;66g6e;29?ld52900c?:7:188yg5?83:1=7>50z&000<6;91C?:h4o00e>5<0j?6s|20094?4|5:2;6<37p}=1583>7}Yj;16;7l=;|a657=83>1>7:tL2:2>4}O9m90qA=72;:x 13>2=1/88653b08 1372k80(9;=:36;?!23m3ko7c:;a;38 1332:>:7c:;c;38y!53=3;n<6gnd;29?ld52900c?:7:188m6262900n:4?:282>6}K;1;1=vF>d29~ 62228nh7dl=:188k72?2900elj50;9a3?6=;3:1N4?o1b5h4?::ka6?6=3f8?47>5;|qb`?6=:rTjh638:8g8yv46:3:1>v38:36;?[4302wx><=50;0xZg4<5>0i>6srb2c3>5<5290;w)=;5;374>N4?o1b=>?50;9l640=831vlj50;0xZdb<5>0jh6s|25:94?4|V;>3709525:8yv5393:1>vP<409>7d6=9:;0q~=6f;296~;02k801>o?:335?x{eih0;694>:2yO7=7=9rB:h>5rL2:1>=}#<<3186*;5980g7=#<<:1n?5+440961><,=>n6lj4n56b>4=#<<>1?9?4n56`>5=z,:>>6;hcg>5<{I3g7>{#;=?1=im4ic094?=h:=21<75fae83>>d029086=4?{%171?`b3A937p}=1283>7}Yj;16;7l=;|pea<72;qUmi527;cg?xu5<10;6?uQ25:892<5<11v>:>:181[53927<6o<4}|`54?6==3819vB<8082M7c;2wG?5<5az&71<<33->>47=l2:&715;%661?53<2d?8i4>;|&000<6m;1bmi4?::kba?6=3`h96=44o36;>5<?6=44b683>0<52>65<36=44i`f94?=nil0;66gn5;29?g1=83?1<7>t$266>c`<@:=m7do<:188md3=831b5h4?::ka6?6=3f8?47>5;|`0<5<7280;6=u+3579566<@:=m7b?=f;29?xufl3:1>vPnd:?4>v38:36;?[4302wx><:50;0xZg4<5>0i>6srb2`a>5<4290;w)=;5;02=>N4?o1b=><50;9j565=831d><850;9~wdb=838pRlj4=68b`>{til0;6?uQad9>7gd=9:90q~<;8;296~X5<116;7<;8:p712=839pR>:;;<59f7=:;kh1=><4}r1ae?6=:r7<6lk4=2`a>7713twi=5950;796?3|D:2:65;c594?3=83:p(>:::gd8L61a3`k86=44i`794?=n1l0;66gm2;29?j4303:17pl<8183>4<729q/?9;51228L61a3f;9j7>5;|qb`?6=:rTjh638:8g8yvgb2909wSoj;<59e6=z{;;96=4={<1;4?75n27<6l;4}r027?6=:r7<6?:7;_07<>{t:8>1<77<2sE93=7?tH0f0?xJ40;0:w)::9;68y!53=3;oj6gm2;29?j4303:17dok:188mdc=831bm84?::`4>5<2290;w)=;5;de?M50n2cj?7>5;hc6>5<>i5<10;66sm39294?7=83:p(>:::013?M50n2e:>k4?::pea<72;qUmi527;;f?xufm3:1>vPne:?4>d57>52z?0<5<6:o16;7o:;|q156<72;q6;7<;8:\10==z{;;?6=4={_`1?81=j;1vqo?7d;297?7=;rF84<4>{I3g7>{K;181=v*;5887?x"4<<0:j>5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7d7j:188mg4=831d>9650;9~wdb=838pRlj4=68:a>{t:881<73;296~Xe:27<6o<4}|`2=d<72<0968uC39395~N6l:1v@>6=:0y'00?=<2w/?9;51ed8mg4=831d>9650;9jea<722cji7>5;hc6>5<0;684?:1y'713=no1C?:h4i`194?=ni<0;66g6e;29?ld52900c?:7:188yg5?83:1=7>50z&000<6;91C?:h4o00e>5<0j?6s|20094?4|5:2;6<37p}=1583>7}Yj;16;7l=;|a1=<72:0:6>uC39395~N6l:1v@>6=:0y'00?=<2w/?9;51g18mg4=831d>9650;9jea<722h<6=4<:183!53=3ln7E=8f:k:a?6=3`h96=44o36;>5<628qC=i=4}M1;6?7|,=?2695r$266>4ba3`h96=44o36;>5<>of=3:17o950;794?6|,:>>6kh4H25e?lg42900el;50;9j=`<722ci>7>5;n075}#;=?1=>>4H25e?j75n3:17p}nd;296~Xfl27<64k4}rcf>5<5sWkn7095a29~w7752909w0=70;31b>;02h?0q~<>3;296~;02;>37S<;8:p642=838pRo<4=68a6>{zj8ho6=4::386I5?93;pD>57:4}%171?7cn2ci>7>5;n075<7s-9?97hi;I14b>of;3:17do::188m50;394?6|,:>>6<=?;I14b>i6:o0;66s|ae83>7}Yim16;77j;|qba?6=:rTji638:`18yv46:3:1>v3<81826c=:?3k>7p}=1283>7}:?38?46P=499~w7732909wSl=;<59f7=zuk89?7>55;091~J4080:wE?k3:O7=4=ir.?944;;%66>87=;1:l70f<73t.8884>e29jea<722cji7>5;h`1>5<36=44i262>5<0;684=:4yO7=7=9rB:h>5r$266>4bd3`h96=44o36;>5<>of=3:17o950;794?6|,:>>6kh4H25e?I5?93;p(<=i:575?xof;3:17do::188m50;394?6|,:>>6<=?;I14b>i6:o0;66s|ae83>7}Yim16;77j;|qba?6=:rTji638:`18yv46:3:1>v3<81826c=:?3k>7p}=1283>7}:?38?46P=499~w7732909wSl=;<59f7=zuk9i57>53;294~"4<<09=l5G36d8m4552900e<=<:188k7712900q~ok:181[gc34=1mi5rs`g94?4|Vho01>l6:010?xu5<10;6?uQ25:892<5<11v>:>:180[53927<6o<4=2`:>4553ty8n54?:3y>3?gb349i57<>6:~f4gb290>6>48{M1;5?7|@8n87pB<838b!2213>0(9;7:2a1?!2283h97)::2;07<>"3:7c:;c;28y!53=3;m96gnd;29?lgb2900eo<50;9l61>=831b?9?50;9a3?6==3819vB<8082M7c;2w/?9;51ea8mg4=831d>9650;9jea<722cji7>5;hc6>5<0;684?:1y'713=no1C?:h4i`194?=ni<0;66g6e;29?ld52900c?:7:188yg5?83:1=7>50z&000<6;91C?:h4o00e>5<0j?6s|20094?4|5:2;6<37p}=1583>7}Yj;16;7l=;|a7d6=8391<7>t$266>37<@:=m7d?<2;29?l74;3:17b<>6;29?xd4j00;6>4?:1y'713=:8k0D>9i;h306?6=3`;8?7>5;n022?6=3tyjh7>52z\b`>;02hn0q~oj:181[gb349i57?<3:pf7<72;qUn?523`2956552z\10==:?38?46s|35394?5|V:>:70=n0;306>;4j00:??5rs2;e>5<5s4=1n?523`2964052z?4>dc<5:h26??9;|a7ag=83?1?79tL2:2>4}O9m90qA=72;cx 13>2=1/88653b08 1372k80(9;=:36;?!23m3ko7c:;a;38 12a2ho0b9:m:09'003=;=>0b9:k:19~ 62228o?7dok:188mdc=831bn?4?::m10=<722c8894?::`4>5<22;0>wA=71;3xL4b43t.8884>db9jf7<722e9854?::kb`?6=3`kn6=44i`794?=e?3:197>50z&000>of=3:17d7j:188mg4=831d>9650;9~f6>7290:6=4?{%171?7482B8;k5`13d94?=z{hn1<7m2wxmh4?:3y]e`=:?3k87p}=1383>7}:;1:1=?h4=68b1>{t:891<74;296~Xe:27<6o<4}|`0f<<72:0;6=u+357964g<@:=m7d?<2;29?l74;3:17b<>6;29?xd4jk0;6>4?:1y'713=:8k0D>9i;h306?6=3`;8?7>5;n022?6=3tyjh7>52z\b`>;02hn0q~oj:181[gb349in7?<3:pf7<72;qUn?523c;956552z\10==:?38?46s|35694?5|V:>?70=m9;306>;4jk0:??5rs2`b>5<5s4=1mh523c`964052z?4>g4<5:h26??9;|a5<>=83=197lt$266>4??3f;2<7>5;h072?6=3`<;6=44i0:f>5<86=44i367>5<h6=44b0;4>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a5<4=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{e9091<7=50;2x 6222?;0D>9i;h306?6=3`;8?7>5;n022?6=3th:584?:283>5}#;=?1:?5G36d8m4552900e<=<:188k7712900qo?66;290?6=8r.8884=1c9K72`5<5<52z\2=5=:90<1><84}r072?6=:rT98;521819564?3;8>63>938277=z{82n6=4={_3;a>;61>0:?>5rs360>5<5sW8??63>978276=z{;>?6=4={_070>;61<0:?>5rs06`>5<5sW;?o63>948277=z{83:6=4={<3:3?46>27:5?4>329~w7752909w0?62;022>;61:0:?>5rs330>5<5s4;2?7<>6:?2=3<6;;1v<7;:18187>=38::63>978271=zuk;j>7>54;192~"4<<0:m?5`18f94?=n>90;66g>a883>>o3<10;66l>a083>6<729q/?9;5609K72`5<N4?o1b=><50;9j565=831d><850;9~f4g729086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{83o6=4={_3:`>;6i909=;5rs7294?5|V?:01:011?87>n3;8>6s|1`;94?4|V8k270?n1;307>{t<=21<7n3;8?6s|18g94?4|58k:6??9;<3b4?74:2wx=4h50;0x94?a2;;=70?n0;307>{zj82=6=4;:285!53=3;3:6a>8083>>o183:17d?77;29?l5a03:17o?75;297?6=8r.888491:J03c=n9:81<75f12194?=h:8<1<75rb0:0>5<4290;w)=;5;40?M50n2c:??4?::k276<722e9=;4?::a5=2=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{t91;1<76}Y>916=5;5120894>428997p}>8683>7}Y91=01<6::010?xu4n10;6?uQ3g:894>428987p}>8383>7}:91?1><84=0:7>4553ty:4>4?:3y>5=5=:8<01<6;:010?x{e9j91<7:53;4x 62228i87b?me;29?l072900e5<7s-9?978>;I14b>o6;;0;66g>3283>>i59?0;66sm1b294?5=83:p(>:::718L61a3`;8>7>5;h307?6=3f8::7>5;|`2g4<72:0;6=u+357964?<@:=m7d?<2;29?l74;3:17b<>6;29?xu6jl0;6?uQ1cg894e62;;=7p}90;297~X1827:o?4>339>5f6=9:80q~?l4;296~X6k=16=n<51218yv25j3:1>vP;2c9>5f6=9:90q~?mf;296~;6k;09=;521b3956452z?2g5<59?16=n?51218yxd6>>0;694?:1y'713=:8i0D>9i;h306?6=3`;8?7>5;h300?6=3f8::7>5;|`0b7<72=0;6=u+357920=O;>l0e<==:188m4542900e<=;:188k7712900qo?nb;292?6=8r.88849e:J03c=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`20494?=zjhi1<7=50;2x 6222?;0D>9i;h306?6=3`;8?7>5;n022?6=3th9>84?:283>5}#;=?1:<5G36d8m4552900e<=<:188k7712900qo?m0;291?6=8r.88849a:J03c=n9:81<75f12194?=n9:>1<75f12794?=h:8<1<75rb045>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a5db=83>1<7>t$266>77e3A93383>>o6;:0;66g>3583>>i59?0;66sm19d94?2=83:p(>:::778L61a3`;8>7>5;h307?6=3`;887>5;n022?6=3th:m;4?:583>5}#;=?1>6;29?xd61j0;6>4?:1y'713=>;1C?:h4i011>5<5<53;294~"4<<09=45G36d8m4552900e<=<:188k7712900qo<=7;297?6=8r.8884=189K72`5<l0e<==:188m4542900c??9:188yg47;3:1>7>50z&000<6<91C?:h4i012>5<l0e<=>:188k7712900qo?l6;296?6=8r.8884>419K72`5<52;294~"4<<0:8=5G36d8m4562900c??9:188yg7e:3:1>7>50z&000<6<91C?:h4i012>5<5<69rTj863=438b`>;6a88b`>;5880jh63na;cg?8072hn01<68:`f894e32hn01<6k:`f894?f2hn01865ae9>5=6=im16=oj5ae9>675=im16=lk5ae9>7ag=im1v<:k:181[73l27:n?4>309~w4>e2909wS?7b:?223<6;:1v<:>:181[73927:8n4m2:p7fb=838pR>mk;<1e6?74:2wx5>4?:9y]=6=::=81=nj4=300>62634;ji7=;1:?0`d<4<=16=ll5126894>a289?70?n6;306>{t91n1<7;6000:?<5rs04;>5<4=2d1>45434;jn7?<6:?160<6;;16=5h5121894g128987p}>9`83>7}Y90k01<7n:36;?xu3<10;69uQ45:894g>2k801;6k?0:?<5rs0:3>5<5sW;3<63>81810==z{8;6>>09=;5rs2d2>5<5sW9m=63;6jm09855rs4:94?4|V<20186525:8yv07290hwS8?;<076?7dk27=<7<;8:?2==<1827:m?490:?2<3<1827:o>490:?2eg<6;;16mn4>329>5g6=9:901<89:011?87>k3;8>6s|3ec94?4|V:nj70=ka;07<>{t9k;1<7=t=0cf>g4<5:nj6o<4=0`1>7713ty:nh4?:3y>5gb=j;16=n=51cg8yv7fi3:1?v3>a88ba>;6ik09=;52211956752z?2=f<59?16=l651208yv73k3:18v3=4382`5=:9=i1>964=0;;>42d34;i<7?<2:p5=c=838p1<77:0:f?87?n38::6s|ac83>7}:ih088<52ab8153=z{;886=49{<076?7dn279>>4=499>5dd=9:90163>a78271=z{hk1<7<5?:1mh5rs046>5<4s4;=;7?<4:?223<59?16>?951218yv47:3:1>v3=008004=::991><84}r012?6=:r79>84>329>671=:8<0q~<;7;296~;5<;09?=521`:956553z?2e`{t9k91<745234;i87<>6:p5d5=838p1<7n:`g894g32;;=7p}=4283>2}::=81>?64=4:9f7=:91:1mh521cf9e`=:9021>9=4=`a9564<58ko6<==;|q2ef<72;q6=ll5127894gc2;;=7p}=4583>6}::=81>?o4=0;;>72334;jh7?<3:p613=839p1?:=:30`?87fl3;8863>b58276=z{;>=6=4;{<076?45m27:554=479>671=9:801<58k96?=5ad9>673=:8<0q~869~w4>62909w0?70;`1?87?>3;3=6s|18f94?4|583j6o<4=0c1>4?c3ty:5=4?:3y>5=b=j;16=4651828yv7f=3:1>v3>a78153=:90i1=>=4}r3b3?6=:r7:m54=179>5d2=9:;0q~?l5;296~;6k=0i>63>c78153=z{8i?6=4={<3`0?43027:o>4>c59~w4gb2909w0?ne;07<>;6>>0:?>5r}c1`1?6=9<08h7;<{M1;5?7|@8n87pB<8381k22i3=;7)::9;48y!53=3;=>6T<3`8265=uf;?h7>5;h676?6=3f9o>7>5;h1ff?6=3`;h47>5;n375?6=3`o86=44i53a>5<>o60h0;66g60;29?j25j3:17d?l7;29?l5c13:17b=je;29?j5a;3:17b;7:188k15?2900n{#;=?1=?m4i`f94?=h:;21<75`23c94?=h:;i1<75`23g94?=h:::1<75`22094?=h::>1<75`22494?=n9ji1<75f1bf94?=n9jo1<75f1bd94?=n9m:1<75f1e394?=n9m81<75m13;94?772m0:9vB<8082M7c;2w/?9;51c78m4ed2900e:188m4b52900eo>50;9l67>=831d>?o50;9l67e=831d>?k50;9l666=831d>><50;9l662=831d>>850;9a557=8391=7=tL2:2>4}O9m90q)=;5;334>oe:3:17b<;8;29?lgc2900n:4?:283>5}#;=?1jh5G36d8H6>628q/=>h54448yl?b2900eo<50;9l61>=831vlj50;0xZdb<5>02i6s|20094?4|5>09855Q25:8yv46;3:1>vPm2:?4>g46}K;1;1=vF>d29~ 62228:;7dl=:188k72?2900elj50;9a3?6=;3:1N4?o1G?5?51z&27c<3=?1ve4k50;9jf7<722e9854?::pea<72;qUmi527;;f?xu59;0;6?u27;07<>X5<11v??<:181[d534=1n?5r}c32g?6=;3;1?vB<8082M7c;2w/?9;51128mg4=831d>9650;9jea<722h<6=4<:183!53=3ln7E=8f:N0<4<6s-;8j7::6:j=`<722ci>7>5;n0752z\b`>;020o0q~<>2;296~;02;>37S<;8:p645=838pRo<4=68a6>{zj8:o6=4;:285!53=3;;h6g>1b83>>o68l0;66g>0083>>i68;0;66l>0683>6<729q/?9;5639K72`5<N4?o1b=><50;9j565=831d><850;9~f46129086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{8;h6=4<{_32g>;68>0:??52116956452z\24`=:99=1=>=4}r335?6=:rT:<<5211695657>52z\247=:99<1><84}r337?6=:r7:<:4=179>550=9:80q~??4;296~;68=09=;521149565a}#;=?1=5<5<5<5<5<4?::m153<722wi=>6;<4H25e?l74:3:17d?<3;29?j46>3:17pl>1283>6<729q/?9;5639K72`5<3:1N4?o1b=><50;9j565=831b=>:50;9j563=831b=>850;9l640=831vn5<7s-9?97<>e:J03c=n9:81<75f12194?=n9:>1<75f12794?=h:8<1<75rs02f>5<3sW;;i63>198276=:9891=>=4=036>4513ty:on4?:3y]5fe<58;36<==;|q25f<72:qU=45434;:?7?<2:p557=838pR<>>;<325?74:2wx=nh50;0xZ4ea34;:97?<2:p5a6=838pR6:p546=838p16s|10394?4|58;:6??9;<323?74;2wx=<<50;0x94742;;=70?>7;300>{t98>1<777134;:;7?<5:~f440290<694n{%171?75?2c:l0e<==:188m4542900c??9:188yg76n3:187>50z&000<1>2B8;k5f12094?=n9:91<75f12694?=h:8<1<75rb002>5<1290;w)=;5;4e?M50n2c:??4?::k276<722c:?94?::k270<722c:?;4?::m153<722wi=?=50;694?6|,:>>6??m;I14b>o6;;0;66g>3283>>o6;=0;66a=1783>>{t99o1<7:t^02f?875<3;8>63>1g8276=:9;;1=><4}r335?6=2wx=6:p54c=838p1<<;:335?875;3;8>6s|10d94?4|58;m6??9;<317?74;2wx=?>50;0x94462;;=70?=3;300>{zj;826=4;:183!53=3<<7E=8f:k277<722c:?>4?::k271<722e9=;4?::a67d=83>1<7>t$266>30<@:=m7d?<2;29?l74;3:17d?<4;29?j46>3:17pl=2e83>1<729q/?9;5649K72`5<5<54;294~"4<<0=:6F<7g9j564=831b=>=50;9j562=831d><850;9~f756290?6=4?{%171?023A93383>>o6;:0;66g>3583>>i59?0;66sm22194?2=83:p(>:::768L61a3`;8>7>5;h307?6=3`;887>5;n022?6=3th9?84?:583>5}#;=?1:85G36d8m4552900e<=<:188m4532900c??9:188yv7793:1nv3>00810==:99n1==?4=03a>46634;9;7??1:?16<<6;=16>?l51208974c289970<=f;306>;5;80:?9522219562<5;9>6<=<;|q24`<72kq6==k525:8946c28:n70?>b;33a>;6:>0:k4>359>667=9:901?=<:010?844=3;8>6s|10a94?d|58;h6?:7;<33`?76k27:=o4>1b9>571=98i01?<6:011?845j3;8863=2e8271=::;l1=>=4=312>4553488?7?<2:?170<6;=1vcb9>571=9ji0q~?ld;296~X6km16=?951bf8yv7dm3:1>vP>cd9>571=9jo0q~?lf;296~X6ko16=vP>d19>54d=9m:0q~?k1;296~X6l816=vP>d39>54d=9m80q~l?:187[d734;;=7ok;<33a?gc34;:o7ok;|q16=<72;qU>?64=30:>7713ty9>l4?:3y]67g<5;8i6??9;|q16f<72;qU>?m4=30g>7713ty9>h4?:3y]67c<5;8m6??9;|q175<72;qU>>>4=312>7713ty9??4?:3y]664<5;986??9;|q171<72;qU>>:4=316>7713ty:557=j;16==j51108yv77n3:1>v3>0d8a6>;69k0:5<5s4;:o7l=;<313?76l2wvlj50;0xZdb<58826o>4}r0155213;967>52z\16d=:9;31>?o4}r01g?6=:rT9>n5213;967e52z\16`=:9;31>?k4}r004?6=:rT9?=5213;96667>52z\177=:9;31>><4}r000?6=:rT9?95213;966252z\173=:9;31>>84}r3`g?6=:rT:on5213;95fe52z\2ga=:9;31=nj4}r3`a?6=:rT:oh5213;95fc52z\2gc=:9;31=nh4}r3g4?6=:rT:h=5213;95a652z\2`4=:9;31=i?4}r3g6?6=:rT:h?5213;95a41}K;1;1=vF>d29~H6>528q/88753:'713=9l?0eo<50;9l61>=831bmi4?::`4>5<4290;w)=;5;df?M50n2F84<4>{%30b?22>2wb5h4?::ka6?6=3f8?47>5;|`a5?6=:3:1l0e<=>:188k7712900q~ok:181[gc34h:6<=>;|q157<72;q6;77j;<`2>7713ty9=>4?:3y>3?4302T9855rs337>5<5sWh97095b39~yge029086<4<{M1;5?7|@8n87pB<8382!221390q)=;5;3e7>oe:3:17b<;8;29?lgc2900n:4?:283>5}#;=?1jh5G36d8m7}:?38?46P=499~w7742909wSl=;<59f7=zuk9>97>53;397~J4080:wE?k3:O7=4=9r.?944<;|&000<6n:1bn?4?::m10=<722cjh7>5;c594?5=83:p(>:::gg8L61a3`3n6=44ic094?=h:=21<75rs`f94?4|Vhn01:46e:p644=838p1:4=499]61>52z\a6>;02k80qpl69;297?7=;rF84<4>{I3g7>{K;181=v*;5880?x"4<<0:j>5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7d7j:188mg4=831d>9650;9~wdb=838pRlj4=68:a>{t:881<73;296~Xe:27<6o<4}|`1`d<72:0:6>uC39395~N6l:1v@>6=:0y'00?=;2w/?9;51g18mg4=831d>9650;9jea<722h<6=4<:183!53=3ln7E=8f:k:a?6=3`h96=44o36;>5<4=:5yO7=7=9rB:h>5rL2:1>4}#<<31?6s+35795cg5<7s-9?97hj;I14b>o>m3:17dl=:188k72?2900qol>:181>5<7s-9?97?;0:J03c=n9:;1<75`20494?=z{hn1<72;296~;020o01o?52048yv46;3:1>v38:36;?[4302wx><:50;0xZg4<5>0i>6srb277>5<42;0?wA=71;3xL4b43tF84?4>{%66=?55;hcg>5<0;6>4?:1y'713=nl1C?:h4i8g94?=nj;0;66a=4983>>{ej80;6?4?:1y'713=9=:0D>9i;h305?6=3f8::7>5;|qb`?6=:rTjh63m1;305>{t:881<7;e938::6s|20194?4|5>09855Q25:8yv46<3:1>vPm2:?4>g453;090~J4080:wE?k3:O7=4=9r.?944<;|&000<6nh1bn?4?::m10=<722cjh7>5;c594?5=83:p(>:::gg8L61a3`3n6=44ic094?=h:=21<75rbc394?4=83:p(>:::063?M50n2c:?<4?::m153<722wxmi4?:3y]ea=:j80:?<5rs331>5<5s4=15h52b08153=z{;;86=4={<5961>37p}=1583>7}Yj;16;7l=;|a6a?=8391>7:tL2:2>4}O9m90qA=72;3x 13>2:1v(>:::0db?ld52900c?:7:188mdb=831i;7>53;294~"4<<0mi6F<7g9j=`<722ci>7>5;n0752;294~"4<<0:8=5G36d8m4562900c??9:188yvgc2909wSok;<`2>4563ty9=?4?:3y>3??b34h:6??9;|q156<72;q6;7<;8:\10==z{;;?6=4={_`1?81=j;1vqohm:180>7<3sE93=7?tH0f0?xJ40;0:w)::9;18y!53=3;mm6gm2;29?j4303:17dok:188f2<72:0;6=u+3579b`=O;>l0e4k50;9jf7<722e9854?::af4<72;0;6=u+3579516<@:=m7d?<1;29?j46>3:17p}nd;296~Xfl27i=7?<1:p644=838p1:46e:?a5?46>2wx><=50;0x92<5<11U>964}r020?6=:rTi>638:c08yxdak3:1?7?53zN0<4<6sA;o?6sC39095~"3=0087p*<4482b6=nj;0;66a=4983>>ofl3:17o950;194?6|,:>>6kk4H25e?l?b2900eo<50;9l61>=831vlj50;0xZdb<5>02i6s|20094?4|5>09855Q25:8yv46;3:1>vPm2:?4>g458;09`~J4080:wE?k3:O7=4=0r.?944<;%664;5c9'004=:=20(9:j:`f8j12f281/88:53538j12d281v(>:::0f:?_?c28q8:7sU32c96~5?2:k1qd=;2;29?lgc2900e>:>:188k733290/>>j52418j75d2910c?;=:18'66b=:<90b?=l:098k737290/>>j52418j75d2;10c?:i:18'66b=:<90b?=l:298k72>290/>>j52418j75d2=10n:4?:e85>`}K;1;1=vF>d29~H6>52>q/88653b08 1372k80(9;=:36;?!23m3ko7c:;a;38 1332:>:7c:;c;38y!53=3;n:6T6d;0x73<4<3wQ?>o52z1;>67=u`9?>7>5;hcg>5<:6=44o377>5<#::n1>8=4n31`>5=5<#::n1>8=4n31`>7=m6=4+22f960554o36:>5<#::n1>8=4n31`>1=h5;j0;76gmc;29 75c2kn0b?=l:098mgg=83.9?i4md:l17f<532ci57>5$31g>gb54ic194?"5;m0ih6`=3b87?>d0290>6>48{M1;5?7|@8n87pB<838:!22039h>6*;518a6>"3=;09855+45g9ea=i<=k1=6*;558004=i<=i1=6*;548001=i<=n1=6s+35795`1>i5<10;66g<4583>>o4<80;66l8:180>4<4sE93=7?tH0f0?x"4<<0:hn5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7d7j:188mg4=831d>9650;9~wdb=838pRlj4=68:a>{t:881<73;296~Xe:27<6o<4}|`0e5<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg5em3:1?7>50z&000<5901C?:h4i011>5<5<964=6810==z{:>?6=4={_170>;4jl0:??5rs262>5<5sW9?=637d6=:8<0qpln:187>7<2sE93=7?tH0f0?xJ40;0"3=90i>6*;53810==#<=o1mi5a45c95>"3==088<5a45a95>{#;=?1=h>4i`f94?=nj;0;66a=4983>>o4<80;66l8:180>4<4sE93=7?tH0f0?x"4<<0:hn5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7d7j:188mg4=831d>9650;9~wdb=838pRlj4=68:a>{t:881<73;296~Xe:27<6o<4}|`0e5<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yvgc2909wSok;<59ea=z{k81<77}Y:=201:4=499~w6262909wS=;1:?0e5<6;;1v>7i:18181=j;16?l>52048yxd6l3:187<55zN0<4<6sA;o?6sC39093~"3=108o?5+4429f7=#<<81>964$56f>dbj6<5+4469717h6<5r$266>4c73`ko6=44ic094?=h:=21<75f35394?=e?3:1?7?53zN0<4<6sA;o?6s+35795ae5<7s-9?97hj;I14b>o>m3:17dl=:188k72?2900q~ok:181[gc34=15h5rs331>5<5s4=1>964^36;?xu59:0;6?uQb39>3?d53twi?l>50;194?6|,:>>6;<4H25e?l74:3:17d?<3;29?j46>3:17p}nd;296~Xfl27<6lj4}r`1>5<5sWh970=n0;307>{t:=21<751208yv5>n3:1>v38:c0896g72;;=7psm2383>1<5272?3->?i7ok;o67e?7<,=??6>:>;o67g?75<:6=44b683>6<62:qG?5?51zJ2`6=z,:>>65<36=44i`f94?=e?3:1?7>50z&000>oe:3:17b<;8;29?xufl3:1>vPnd:?4>7>52z?4>72?3W8?46s|20194?4|Vk801:4m2:~f6g729086=4?{%171?053A93383>>o6;:0;66a=1783>>{tim0;6?uQae9>3?gc3tyi>7>52z\a6>;4i90:?>5rs36;>5<5sW8?4638:36;?xu4<80;6?uQ353896g728997p}<9g83>7}:?3h970=n0;022>{zj;?1<7:52;7xH6>628qC=i=4}M1;6?1|,=?36>m=;%664?d53->>>7<;8:&70`;%660?5392d?8n4>;|&000<6m91bmi4?::ka6?6=3f8?47>5;h175?6=3k=1<7=51;1xH6>628qC=i=4}%171?7ck2ci>7>5;n076<729q/?9;5fd9K72`>i5<10;66s|ae83>7}Yim16;77j;|q157<72;q6;7<;8:\10==z{;;86=4={_`1?81=j;1vqo=n0;297?6=8r.888492:J03c=n9:81<75f12194?=h:8<1<75rs`f94?4|Vhn01:4nd:pf7<72;qUn?523`2956552z\10==:?38?46s|35394?4|V:>:70=n0;306>{t;0l1<7;4i909=;5r}c06f?6=;3:1l0e<==:188m4542900c??9:188yv53:3:1?vP<439>3?53<2799o4>329~wdb=83;f2hn0167<4}r06e?6==r7j6>:>;<3g>62634896>:>;<06>626348>n7<>6:p602=838pR?;;;<06>72?3-98o7<:3:l07g<73ty99?4?:3y]604<5;81>964$21`>7343g98n7?4}r064?6=:rT99=521e810==#;:i1>8=4n21a>7=z{;>m6=4={_07b>;f2;>37)=h4;k087p}=4883>7}Y:=301:4=499'76e=:<90b>=m:59~wgc=838pRok4=379f7=#;:i1ni5a32`94>{tjj0;6?uQbb9>6752z\ae>;6l3h97)=34k1n?5+32a9fa=i;:h1?6s|b283>7}Yj:16;7l=;%10g?dc3g98n7:4}|`141<72h036iuC39395~N6l:1v@>6=:4y'00>=;ki0(9;>:ba8 13c2h1/88=53378 13d2>1v(>:::0f6?_?c2;q8;7=::|X07d<5s:31??4ro212>5<#::n1?>>4n31`>5=5<#::n1?>>4n31`>7=54o205>5<#::n1?>>4n31`>1=h5;j0;76gk6;29 75c2m=0b?=l:098ma2=83.9?i4k7:l17f<532co?7>5$31g>a154ibf94?"5;m0o;6`=3b87?>d5800;6>4>:2yO7=7=9rB:h>5r$266>4`e3`ij6=44ib`94?=h;;?1<75m39`94?5=;3?p(>:::2:a?lef2900enl50;9l773=831i?5650;194?6|,:>>6;<4H25e?l74:3:17d?<3;29?j46>3:17pl<8883>6<729q/?9;5639K72`5<l0e<==:188m4542900c??9:188yvef2908wSmn;<1;339~wfd=839pRnl4=2:;>455349357?<3:p773=838pR><:;<1;e?46>2wx?5950;0x96>?2;;=70=7a;306>{t;121<77713493m7?<3:~wfg=838pRno4=2:a>fg7}Y;;?01>6m:206?x{e:9k1<7=51;1xH6>628qC=i=4}%171?7aj2chm7>5;haa>5<6=44b2:a>5<42:0>w)=;5;1;f>odi3:17dmm:188k6422900n>67:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66sm39;94?5=83:p(>:::708L61a3`;8>7>5;h307?6=3f8::7>5;|`06;29?xudi3:1?vPla:?0<=<6;:16?5751208yvee2908wSmm;<1;329~w6422909wS==5:?068:18185?038::63<8`8277=z{:236=4={<1;=?46>2784l4>329~yvef2909wSmn;<1;f?ef3tyhn7>52z\`f>;40k0hn6s|33794?4|V:8>70=7b;111>{zj;:i6=4<:080I5?93;pD5;c1;f?6=;3919v*<44805<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a7=g=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{tkh0;6>uQc`9>7=>=9:901>66:011?xudj3:1?vPlb:?0<=<6;;16?5751218yv55=3:1>vP<249>7=g=:8<0q~=77;296~;40109=;5239c956452z?0<<<59?16?5o51218yxudi3:1>vPla:?05<5sW99963<8c8060=zuk8;o7>53;397~J4080:wE?k3:'713=9oh0eno50;9jgg<722e8>84?::`0>i4:<0;66l<8983>6<729q/?9;5639K72`5<N4?o1b=><50;9j565=831d><850;9~f6>f29086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{jk1<7=t^bc896>?289870=79;306>{tkk0;6>uQcc9>7=>=9:801>66:010?xu4:<0;6?uQ337896>f2;;=7p}<8683>7}:;121><84=2:b>4553ty8454?:3y>7=?=:8<01>6n:010?x{tkh0;6?uQc`9>7=d=kh1vnl50;0xZfd<5:2i6nl4}r111?6=:rT8>85239`97735}#;=?1:<5G36d8m4552900e<=<:188k7712900qo=n2;297?6=8r.888491:J03c=n9:81<75f12194?=h:8<1<75rb2c7>5<4290;w)=;5;42?M50n2c:??4?::k276<722e9=;4?::a761=8381<7>t$266>4273A93083>>i59?0;66s|38d94?5|5;:j6no4=2c3>771349j>7?<3:p7d7=839p1?>m:bc896g52;;=70=n4;307>{t;h91<7fg<5:k?6??9;|q074<72;qU?>?4=32`>6423-98o7=<0:l07g<73ty8>k4?:3y]77`<5;:i6><:;%10g?5482d8?o4>;|q06a<72;qU??j4=32b>6423-98o7=<0:l07g<53ty8>n4?:3y]77e<5;:26><:;%10g?5482d8?o4<;|q063<72;qU??84=214>7713-98o7=<0:l07g<33tyo47>52z\g<>;58j0hn6*<3b8g3>h4;k0;7p}k6;297~Xc>279m5d69m76d=92wxh94?:2y]`1=::9k1oo523`09564<,:9h6i94n21a>7=z{m91<7=t^e18976>2jh01>o?:011?!54k3n<7c=m5d69m76d=<2wv>:=:181[53:27<6>:=;|qb`?6=:rTjh638:`f8yv5393:1>vP<409>3?5392wx>8:50;1xZ73334=1>8:4=327>a><,:9h6?;<;o10f?6>7>53z\117=:?38>>63=058g2>"4;j099>5a32`95>{t:<:1<7=t^373?81=:<:01?>;:e68 65d2;?87c=3?43n279<94k3:&07f<5=:1e?>l53:p61?=839pR?:6;<5961?<5;:?6nj4$21`>7343g98n7:4}r1:=?6=:r7<6ok4=327>6563-98o7=68:l07g<73ty85:4?:3y>3?dd348;87==f:&07f<4111e?>l51:p7<3=838p1:4ma:?141<4:m1/?>m538:8j65e2;1v>7;:18181=j016>=:533a8 65d2:337c=v38:c1897632:8=7)=h4;k0?7psmf483>6<62:qG?5?51zJ2`6=zD:296{#;=?1=h74ic094?=h:=21<75fae83>>d029086=4?{%171?`b3A9>:6sf9d83>>oe:3:17b<;8;29?xufl3:1>vPnd:?4>7>52z?4>72?3W8?46s|20194?4|Vk801:4m2:~f15?290>6>49{M1;5?7|@8n87pB<838b!221390(9;7:2a1?!2283h97)::2;07<>"3:7c:;c;38y!53=3;nm6gnd;29?lgb2900eo<50;9l61>=831b?9?50;9a3?6==3819vB<8082M7c;2w/?9;51ea8mg4=831d>9650;9jea<722cji7>5;hc6>5<0;684?:1y'713=no1C?:h4i`194?=ni<0;66g6e;29?ld52900c?:7:188yg5?83:1=7>50z&000<6;91C?:h4o00e>5<0j?6s|20094?4|5:2;6<37p}=1583>7}Yj;16;7l=;|a7d6=8381<7>t$266>4273A93083>>i59?0;66sm3c;94?5=83:p(>:::33b?M50n2c:??4?::k276<722e9=;4?::pea<72;qUmi527;cg?xufm3:1>vPne:?0f<<6;;1v?:7:181[43027<6?:7;|q004<72:qU?9?4=2c3>456349i57?<3:p7<`=838p1:4m2:?0e5<59?1v>l7:18181=il16?o752048yxd6<80;6>4>:2yO7=7=9rB:h>5rL2:1>4}#<<31?6s+35795c55<7s-9?97hj;I14b>o>m3:17dl=:188k72?2900q~ok:181[gc34=15h5rs331>5<5s4=1>964^36;?xu59:0;6?uQb39>3?d53twi954?:282>6}K;1;1=vF>d29~H6>528q/88753:'713=9o90eo<50;9l61>=831bmi4?::`4>5<4290;w)=;5;df?M50n2c2i7>5;h`1>5<36=44}rcg>5<5sWko70959d9~w7752909w09525:8Z72?3ty9=>4?:3y]f7=:?3h97psm15f94?5=939p@>6>:0yK5a57?t$57:>6=z,:>>65<36=44i`f94?=e?3:1?7>50z&000>oe:3:17b<;8;29?xufl3:1>vPnd:?4>7>52z?4>72?3W8?46s|20194?4|Vk801:4m2:~f6cb29086?4;{M1;5?7|@8n87pB<8382!221390q)=;5;3ee>oe:3:17b<;8;29?lgc2900n:4?:283>5}#;=?1jh5G36d8m5}#;=?1=9>4H25e?l7493:17b<>6;29?xufl3:1>vPnd:?a5?7492wx><<50;0x92<>m27i=7<>6:p645=838p1:4=499]61>52z\a6>;02k80qplke;297?7=;rF84<4>{I3g7>{K;181=v*;5880?x"4<<0:io5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7A=71;3x 45a2=?=7pg6e;29?ld52900c?:7:188yvgc2909wSok;<59=`=z{;;96=4={<5961>37p}=1283>7}Yj;16;7l=;|a7a4=8391>7:tL2:2>4}O9m90qA=72;3x 13>2:1v(>:::0db?ld52900c?:7:188mdb=831i;7>53;294~"4<<0mi6F<7g9j=`<722ci>7>5;n0752;294~"4<<0:8=5G36d8m4562900c??9:188yvgc2909wSok;<`2>4563ty9=?4?:3y>3??b34h:6??9;|q156<72;q6;7<;8:\10==z{;;?6=4={_`1?81=j;1vqo=i8;297?4={I3g7>{K;181=v*;5880?x"4<<0:jl5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7d7j:188mg4=831d>9650;9~fg7=8381<7>t$266>4273A93083>>i59?0;66s|ae83>7}Yim16n<4>309~w7752909w0959d9>f4<59?1v??<:18181=:=20R?:7;|q151<72;qUn?527;`1?x{e<;h1<7=52;6xH6>628qC=i=4}M1;6?7|,=?26>5r$266>4`f3`h96=44o36;>5<419K72`5<7}:?33n70l>:335?xu59:0;6?u27;07<>X5<11v??;:181[d534=1n?5r}c37=?6=1391nv*<44820<=h9=>1<75f1c494?=n9031<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=n9=81<75m15:94?5=83:p(>:::738L61a3`;8>7>5;h307?6=3f8::7>5;|`203<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg73?3:1;7>50z&000<5:91C?:h4i011>5<5<6=44i015>5<5<52z\201=:9==1><84}r3a2?6=:rT:n;5215:956452z\2=<=:9=21=>=4}r3a3?6=:rT:n:52155956552z\2f==:9==1=>:4}r3a=?6=:rT:n452155956352z\2fd=:9==1=>84}r3af?6=:rT:no5215495657>52z\207=:9=<1=><4}r371?6=:r7:854=179>511=9:80q~?;6;296~;6j7>58;09=~"4<<0>j6a:b;29?l7e>3:17d?69;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17o;j:180>5<7s-9?978>;I14b>o6;;0;66g>3283>>i59?0;66sm5e83>2<729q/?9;52328L61a3`;8>7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f8::7>5;|q6f?6=:rT>n63:d;022>{t9k<1<79883>7}Y903018k51218yv7e?3:1>vP>b69>1a<6;:1vh7?<4:p5g?=838pR4523ty:nl4?:3y]5gg<584}r3af?6=:rT:no525e8272=z{<4}|`21c<72h0j6<=t$266>43a3f;?i7>5;h3a2?6=3`;?h7>5;h3a5;h3ae?6=3`;in7>5;h376?6=3`9o57>5;h354?6=3k;><7>53;294~"4<<0==6F<7g9j564=831b=>=50;9l640=831vn<;>:180>5<7s-9?978>;I14b>o6;;0;66g>3283>>i59?0;66sm14194?5=83:p(>:::738L61a3`;8>7>5;h307?6=3f8::7>5;|`210<72:0;6=u+357924=O;>l0e<==:188m4542900c??9:188yg72?3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj8?26=4<:183!53=3<97E=8f:k277<722c:?>4?::m153<722wi=8o50;194?6|,:>>6??6;I14b>o6;;0;66g>3283>>i59?0;66sm14`94?5=83:p(>:::738L61a3`;8>7>5;h307?6=3f8::7>5;|`21a<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg72m3:1;7>50z&000<5:91C?:h4i011>5<5<6=44i015>5<5<52z\20`=:9<84}r3a2?6=:rT:n;52142956457z\20a=:9<:1=>=4=072>45434;>?7?<3:?210<6;:16=8751218943c28987p}>b983>7}Y9k201<;>:011?xu6j00;6?uQ1c;8943428997p}>b`83>7}Y9kk01<;::011?xu6jk0;6?uQ1c`8943e28997p}>4383>6}Y9=801<;8:010?87213;8>6s|3e;94?4|V:n270?:7;306>{t9?:1<76s|15d94?4|58?;6??9;<36a?74:2wx=8?50;0x94362;;=70?:e;307>{t9<81<777134;>i7?<4:p502=838p1<;::335?872m3;896s|14494?4|58?<6??9;<36e?74:2wx=8650;0x943>2;;=70?:a;307>{t:881<777134;>n7?<3:p645=838p1<;m:335?872m3;8:6s|14a94?4|58?o6??9;<36a?74?2wvn>h9:181>5<7s-9?97?;0:J03c=n9:;1<75`20494?=zj;n36=4<:183!53=3<:7E=8f:k277<722c:?>4?::m153<722wi?8=50;194?6|,:>>6;?4H25e?l74:3:17d?<3;29?j46>3:17pl67;297?6=8r.888491:J03c=n9:81<75f12194?=h:8<1<75rbb794?5=83:p(>:::738L61a3`;8>7>5;h307?6=3f8::7>5;|`fg?6=;3:1N4?o1b=><50;9j565=831d><850;9~f`c=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xda?3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj=9o6=4;:183!53=34?::k271<722e9=;4?::a7c6=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{em90;6>4?:1y'713=>81C?:h4i011>5<5<4?::k271<722c:?84?::k273<722e9=;4?::ab7<72?0;6=u+35792`=O;>l0e<==:188m4542900e<=;:188m4522900e<=9:188k7712900qoh6:185>5<7s-9?979?;I14b>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a=1783>>{e<:l1<7850;2x 6222?o0D>9i;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f8::7>5;|`0b0<72:0;6=u+357924=O;>l0e<==:188m4542900c??9:188yg`329086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=zj8>i6=4::183!53=38:i6F<7g9j564=831b=>=50;9j562=831b=>;50;9l640=831vn<:<:180>5<7s-9?978>;I14b>o6;;0;66g>3283>>i59?0;66sm17394?1=83:p(>:::303?M50n2c:??4?::k276<722c:?94?::k270<722c:?;4?::k272<722e9=;4?::aa7<72>0;6=u+3579676<@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b<>6;29?xd4l?0;6;4?:1y'713=:8l0D>9i;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f8::7>5;|`741<72:0;6=u+357964?<@:=m7d?<2;29?l74;3:17b<>6;29?xd4nl0;6>4?:1y'713=>;1C?:h4i011>5<5<;:7>55;294~"4<<09=h5G36d8m4552900e<=<:188m4532900e<=::188k7712900qo:?0;297?6=8r.888491:J03c=n9:81<75f12194?=h:8<1<75rb512>5<4290;w)=;5;42?M50n2c:??4?::k276<722e9=;4?::ag<<72;0;6=u+3579516<@:=m7d?<1;29?j46>3:17pl<5683>7<729q/?9;51528L61a3`;8=7>5;n022?6=3th2n7>52;294~"4<<0:8=5G36d8m4562900c??9:188yg4ck3:1>7>50z&000<6<91C?:h4i012>5<l0e<=>:188k7712900qo=k4;296?6=8r.8884>419K72`5<;>7>52;294~"4<<0:8=5G36d8m4562900c??9:188yg24;3:1>7>50z&000<6<91C?:h4i012>5<<58?m6<:k;|q707<72;qU89<4=274>4563ty8h?4?:3y]7a4<5:n96?:7;|q0ag<72;qU?hl4=b;956753z\2g==:m90:??523gg956453z\204=:9=;1>964=51g>4543tyn?7>52z\f7>;aj3h97p};1c83>7}Y<8h01?jl:012?xu60m0;6?uQ19f894?>2k80q~o=:187[g534;i97?lc:?ef?gc34;??7?<2:p=c<728=pR4h4=0`6>db<58326lj4=b59ea=:;;5lh0jh63l6;cg?852<3ko7077:`f897b>2hn01km5ae9>a1db<58>o6lj4=2gf>db<5mo1mi523e09ea=:;o21mi5243`9ea=z{:l36=4={_1e<>;4n109855rs0:b>5<5sW;3m63;018277=z{0:1<7=t^82894d228in70=i5;306>{t<;h1<7{t;m31<7=t^2f:?872n39o5636b;305>{t;lo1<7{t=10;6?uQ599>1=<5<11v9=7:180[24027??54=499>b2<6;;1vk650;0x9c1=9:901k752048yvc62909w0k?:010?8c52;;=7p}l4;297~;6j<0:ok52c48153=:9=h1=><4}rff>5<5s4nn6?:7;<1e1?74;2wx8>>50;0x91562;;=70:<3;305>{tnk0;6>u2fc810==:nj0i>63je;306>{t;<>1<772?349>?7?<3:p51g=838p1<:m:335?873;3;8?6s|9783>6}:9k?1=i?4=859640<58>i6<=;;|qe2?6=:r7m97l=;7713ty8984?:3y>703=:=201>;<:011?xud03:1?v3l7;`1?8e12k801n752048yvce2909w0k;:262?8cd2;;=7p}jd;297~;b<39?>63jc;306>;bm38::6s|f283>7}:mj0:?>52f58153=z{:n>6=4={<1g2?46>278h94>309~w<>=838p146525:89<1=9:90q~:72?34on6<=<;|q0ba<72;q6?kk52048916128997p}7}:<9:1><84=521>4563tyh:7>52z?`2?43027h97?<3:p=<<72;q6544=499>=2<6;;1v>ki:18185bm3h970=i0;022>{tn<0;6>u21c795a6<5o?1>964=06a>4543ty:544?:5y>5g3=9jn01<76:36;?87313;2563:f;3:=>{tlo0;6?u2dd8a6>;b838::6s|c683>7}:k>098552c48277=z{8>n6=4={<37`?d534;>j7?;e:p6a?=838p1?j6:36;?84c03;8?6s|3g694?4|5:l=6<=>;<1e1?46>2wx?8850;1x96322k801>;;:c0896302;;=7p}>4583>7}:9=;1n?5215;951252z?1`d<5<116>i651208yv52:3:1>v3<528153=:<:n1=>:4}r7a>5<5s4?36o<4=4d91g=z{ll1<7=t=g29640<5o>1=><4=562>4563tym=7>52z?e6?46>27m87?<3:p5g0=83?p1;6=o0:n;52173956457z?2f0<5:h16=9751c5890`=9k=01<8>:010?8c5289970=k6;306>;38=0:??5rs0`;>5b99>50`=9k201<8>:017?8c5289870=k6;307>;38=0:?>5rs0`:>5b89>50`=9k301<8>:016?8c5289?70=k6;300>;38?0:?>5rs0`b>5<>s4;i97<<0:?20<<6jh169k4>b`9>50`=9kk01>h?:011?87193;8:63j2;301>;4l?0:?852414956259z?2f0<5;;16=9751c`890`=9kh01<;i:0`a?85a83;8?63>608272=:m;0:?;523e49560<5=:=6<=:;|q2ff<72;q6=o;522689`4=9:=0q~=k3;296~;4l;0i>638?7<>6:p6ad=839p1?jn:c0897b>2k801?jl:335?xu>i3:1?v369;`1?8??2k8014l52048yv2383:1>v3;398ba>;3<809=;5rs520>5<5s4>;87<>6:?0b`<6;:1v9>::180827>38::63;018276=:<:;1=>=4}r635?6=:r78j54m2:?747<59?1v?j8:18087e=3;o>63=d98153=:9=h1=>;4}r376?6=;r7:844>439>50`=9=801<:<:335?xu6>90;6?u214d9536<58<:6??9;|q77`<72;q68>j51208915a2;;=7p}ja;291~;b<38>863i0;302>;a:3;8:63i9;302>;3;o0:??5+32a9a<=i;:h1<6s|e983>0}:m=099?52f18270=:n;0:??52f88270=:<:l1=>=4$21`>`?1>8>4=g29562<5o81=>=4=g;9562<5=9m6<=9;%10g?c>3g98n7<4}rg5>5<2s4o?6?:i;45434l96<=;;45434>8j7?<4:&07f7?<5:?e=?74:27??k4>349'76e=m01e?>l54:~f6da290n65rL2:1>7}i<{#;=?1m;5U9e8275=uS98m7>if93:17b=?b;29?j5cl3:17dk<:188m6`02900el<50;9l57c=831d8:6yO7=7=9rB:h>5rL2:1>4}#<<31<6s+357957b6>:0yK5a55;n01e?6=3f89o7>5;n01a?6=3k;;=7>53;090~J4080:wE?k3:'713=99:0eo<50;9l61>=831bmi4?::`4>5<4290;w)=;5;df?M50n2F84<4>{%30b?22>2wb5h4?::ka6?6=3f8?47>5;|`a5?6=:3:1l0e<=>:188k7712900q~ok:181[gc34h:6<=>;|q157<72;q6;77j;<`2>7713ty9=>4?:3y>3?4302T9855rs337>5<5sWh97095b39~yg77m3:1?7<54zN0<4<6sA;o?6s+35795565<7s-9?97hj;I14b>J4080:w)?{n1l0;66gm2;29?j4303:17plm1;296?6=8r.8884>419K72`5<7}:?33n70l>:335?xu59:0;6?u27;07<>X5<11v??;:181[d534=1n?5r}c33`?6==381:v*<44824a=n99;1<75f1ba94?=n9jn1<75f11g94?=h9981<75m11794?2=83:p(>:::778L61a3`;8>7>5;h307?6=3`;887>5;n022?6=3th:<94?:283>5}#;=?1><74H25e?l74:3:17d?<3;29?j46>3:17p}>0083>7}Y99;01<>;:011?xu6kj0;6?uQ1ba89462289?7p}>ce83>7}Y9jn01<>::011?xu68l0;6?uQ11g8946228987p}>0383>7}Y99801<>;:335?xu68:0;6?u21179640<58:?6<=<;|a54d=83>1?78t$266>47e3`;ho7>5;h33a?6=3`;;=7>5;n33b?6=3k;:87>53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66sm10194?5=83:p(>:::33:?M50n2c:??4?::k276<722e9=;4?::p5fe=839pR329~w46b2909wS??e:?251<6;:1v<>>:181[77927:=<4>339~w46a2909wS??f:?256<59?1v128277=z{8;:6=4={<325?46>27:=>4>329~yg4513:1?7>50z&000<1;2B8;k5f12094?=n9:91<75`20494?=zj;8i6=4<:183!53=3<97E=8f:k277<722c:?>4?::m153<722wi>?h50;194?6|,:>>6;<4H25e?l74:3:17d?<3;29?j46>3:17p}>0083>3}:99;1>964=02g>46634;:n7??1:?16<<6;:16>?l51208974a28987p}>0d83>3}:99o1>964=02g>46b34;:n7??e:?16<<6;;16>?l51218974a28997p}>cb83>6}Y9ji01<>k:0a`?876j3;ho6s|1bf94?4|V8io70??d;3``>{tj90;6>uQb19>557=im16==k5ae9~w74?2909wS<=8:?16<<59?1v?o4=179~w74b2909wS<=e:?16c<59?1v<>=:18187793h970??d;336>{t99l1<7g4<58;i6<>i;|pea<72;qUmi5213;9f5=z{;836=4={_01<>;6:009>55rs30b>5<5sW89m63>28816d=z{;8h6=4={_01g>;6:009>n5rs30f>5<5sW89i63>28816`=z{8ih6=4={_3`g>;6:00:on5rs0ag>5<5sW;hh63>2882ga=zuk9?o7>53;397~J4080:wE?k3:O7=4=9r.?944?;|&000<6n:1bn?4?::m10=<722cjh7>5;c594?5=83:p(>:::gg8L61a3`3n6=44ic094?=h:=21<75rs`f94?4|Vhn01:46e:p644=838p1:4=499]61>52z\a6>;02k80qpl<4783>3<52hqG?5?51zJ2`6=zD:2965u+44;94>"3=108o=5+3b1900d<,=?96?:7;%67a?gc3g>?m7?4$577>6263g>?o7?4}%171?7ci2P2h7?t2`8~^65f2;q9o75;n066?6=,;9o6?;>;o00g?6<3f8><7>5$31g>7363g88o7?4;n07b?6=,;9o6?;>;o00g?4<3f8?57>5$31g>7363g88o7=4;c594?g=<3kp@>6>:0yK5a579t$57;>6e53->><7l=;%666?4302.?8h4nd:l70d<63->>87=;1:l70f<63t.8884>eb9Y=a<5s;k1>54rZ21b>7}5k38=6pgnd;29?l5393:17b<:2;29 75c2;?:7c<>m50:9jfd<72-88h7lm;o00g?7<3`h26=4+22f9fg=i::i1>65fb283>!44l3hi7c<1>7;tL2:2>4}O9m90qA=72;5x 13?2:i97)::0;`1?!22:38?46*;4d8b`>h3h34}O9m90q)=;5;3gg>oe:3:17b<;8;29?lgc2900n:4?:283>5}#;=?1jh5G36d8H6>628q/=>h54448yl?b2900eo<50;9l61>=831vlj50;0xZdb<5>02i6s|20094?4|5>09855Q25:8yv46;3:1>vPm2:?4>g45}#;=?1:?5G36d8m4552900e<=<:188k7712900q~ok:181[gc34=1mi5rsc094?4|Vk801>o?:010?xu5<10;6?uQ25:892<5<11v>:>:181[539278m=4>339~w6?a2909w095b39>7d6=:8<0qpln:187>7<2sE93=7?tH0f0?xJ40;0"3=90i>6*;53810==#<=o1mi5a45c95>"3==088<5a45a95>{#;=?1=hk4i`f94?=nj;0;66a=4983>>o4<80;66l8:180>4<4sE93=7?tH0f0?x"4<<0:hn5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7A=71;3x 45a2=?=7pg6e;29?ld52900c?:7:188yvgc2909wSok;<59=`=z{;;96=4={<5961>37p}=1283>7}Yj;16;7l=;|a7d6=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xufl3:1>vPnd:?4>db3709525:8yv5393:1>vP<409>7d6=9:80q~=6f;296~;02k801>o?:335?x{e9m0;694=:4yO7=7=9rB:h>5rL2:1>2}#<<21?n<4$573>g4<,=?96?:7;%67a?gc3g>?m7?4$577>6263g>?o7?4}%171?7bn2cjh7>5;h`1>5<36=44i262>5<0;6>4>:2yO7=7=9rB:h>5r$266>4bd3`h96=44o36;>5<3g8713=za0o1<75fb383>>i5<10;66s|ae83>7}Yim16;77j;|q157<72;q6;7<;8:\10==z{;;86=4={_`1?81=j;1vqo=n0;297?6=8r.888492:J03c=n9:81<75f12194?=h:8<1<75rs`f94?4|Vhn01:4nd:pf7<72;qUn?523`2956552z\10==:?38?46s|35394?4|V:>:70=n0;306>{t;0l1<7;4i909=;5r}c01>5<32;0>wA=71;3xL4b43tF84?48{%665<42808wA=71;3xL4b43t.8884>db9jf7<722e9854?::kb`?6=3k=1<7=50;2x 6222oo0D>9i;M1;5?7|,89m69;9;|k:a?6=3`h96=44o36;>5<9i;h306?6=3`;8?7>5;n022?6=3tyjh7>52z\b`>;02hn0q~l=:181[d5349j<7?<3:p61>=838pR?:7;<5961>52z\004=:;h:1=><4}r1:b?6=:r7<6o<4=2c3>7713twxmi4?:4y]ea=:?3ko70o5ae9>5a7ok;|q004<72d;175>;5:39?=6s|24094?4|V;?970<=:36;?!54k38>=6`<3c83?xu5=90;6?uQ242894b=:=20(>=l:372?k54j3;0q~<;f;296~X5l52:p61?=838pR?:6;<5961><,:9h6?;>;o10f?5=l:c`8j65e291voo50;0xZgg<58n1n?5+32a9fg=i;:h1=6s|b883>7}Yj016m7l=;%10g?de3g98n7<4}r`0>5<5sWh87095b39'76e=jk1e?>l53:~f76329036;4n{M1;5?7|@8n87pB<8386!22039io6*;508`g>"3=m0j7)::3;111>"3=j0<7p*<4482`3=]1m09w?l5288~^65f2;q9h7<8:|m06c<72-88h7==e:l17f<732e8>i4?:%00`?55m2d9?n4>;:m06f<72-88h7==e:l17f<532e8>;4?:%00`?55m2d9?n4<;:kg2?6=,;9o6i;4n31`>5=1<7*=3e8g1>h5;j0:76gk3;29 75c2m?0b?=l:398mfb=83.9?i4k5:l17f<432h9<44?:282>6}K;1;1=vF>d29~ 62228li7dmn:188mfd=831d??;50;9a7=d=8391?7;t$266>6>e3`ij6=44ib`94?=h;;?1<75m39:94?5=83:p(>:::708L61a3`;8>7>5;h307?6=3f8::7>5;|`0<<<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg5?i3:1?7>50z&000<5901C?:h4i011>5<5<?289970=79;307>{t;;?1<7>2;;=70=7a;307>{z{jk1<7e2jk0q~mm:181[ee3493n7mm;|q060<72;qU??;4=2:a>6423twi>=o50;195?5|D:2:6<::188f6>e29086>4:{%171?5?j2chm7>5;haa>5<6=44b2:;>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a7=?=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd40h0;6>4?:1y'713=:830D>9i;h306?6=3`;8?7>5;n022?6=3tyhm7>53z\`e>;4010:?>5239;956463<888276=z{:8>6=4={_111>;40h09=;5rs2:4>5<5s49347<>6:?067:18185?138::63<8`8276=zuzij6=4={_ab?85?j3ij7p}lb;296~Xdj2784o4lb:p773=838pR><:;<1;f?55=2wvn?>m:180>4<4sE93=7?tH0f0?x"4<<0:jo5fc`83>>odj3:17b==5;29?g5?j3:1?7=55z&000<40k1bol4?::k`f?6=3f9997>5;c1;N4?o1b=><50;9j565=831d><850;9~f6>>29086=4?{%171?053A93383>>o6;:0;66a=1783>>{e;1k1<7=50;2x 6222;;27E=8f:k277<722c:?>4?::m153<722wxol4?:2y]gd=:;121=>=4=2::>4553tyhn7>53z\`f>;4010:??5239;956552z\060=:;1k1><84}r1;3?6=:r78454=179>7=g=9:80q~=78;296~;40009=;5239c956552z\`e>;40k0hm6s|cc83>7}Ykk16?5l5cc9~w6422909wS==5:?05<4290;w)=;5;42?M50n2c:??4?::k276<722e9=;4?::a761=8381<7>t$266>4273A93083>>i59?0;66s|38d94?5|5;:j6no4=2c3>771349j>7?<3:p7d7=838p1?>m:bc896g52;;=7p}<2g83>7}Y;;l01?>m:206?!54k399i6`<3c83?xu4:m0;6?uQ33f8976f2:8>7)=h4;k0:7p}<2b83>7}Y;;i01?>6:206?!54k399i6`<3c81?xu4:?0;6?uQ334896502;;=7)=h4;k087p}k6;296~Xc>279;4i;0:??5+32a9`0=i;:h1=6s|d283>6}Yl:16>=75cc9>7d6=9:80(>=l:e78j65e2;1vnj50;6xZfb<5;:26no4=2c3>4543498;7?<1:&07f099=522169`1=#;:i1>8?4n21a>4=z{;>m6=4<{_07b>;02;>m70=6`<3c81?xu5<00;6>uQ25;892<5<016>=:5ce9'76e=:<;0b>=m:29~w6?02909w095bb9>652=;;l0(>=l:2;5?k54j3:0q~=65;296~;02kk01?>;:20g?!54k392:6`<3c82?xu41=0;6?u27;`:?847<399o6*<3b80=3=i;:h1>6s|39g94?4|5>0i?63=058063=#;:i1?484n21a>6=zuk9;n7>53;397~J4080:wE?k3:O7=4=9r.?944?;|&000<6n:1bn?4?::m10=<722cjh7>5;c594?5=83:p(>:::gg8L61a3`3n6=44ic094?=h:=21<75rs`f94?4|Vhn01:46e:p644=838p1:4=499]61>52z\a6>;02k80qpl<1d83>6<62:qG?5?51zJ2`6=zD:296{#;=?1=k=4ic094?=h:=21<75fae83>>d029086=4?{%171?`b3A937p}=1283>7}Yj;16;7l=;|a5ce=83;:6?4>fzN0<4<6sA;o?6sC3909e~"3=00;7)::8;1`4>"4k:0?9o5+440961><,=>n6lj4n56b>5=#<=l1mh5a45`95>"3==088<5a45a95>{#;=?1=il4Z8f95~712tP8?l4={0:95d>o4<80;66a=4d83>!44l38?h6`=3b83?>i5h5;j0:76a=4c83>!44l38?h6`=3b81?>i5h5;j0876a=5883>!44l38?h6`=3b87?>i5=10;6)<h5;j0>76a=5683>!44l38?h6`=3b85?>i5=?0;6)<h5;j0<76a=5483>!44l38?h6`=3b8;?>i5==0;6)<h5;j0276a=5383>!44l38?h6`=3b8b?>i5=90;6)<h5;j0i76a=4g83>!44l38?h6`=3b8`?>i5<00;6)<h5;j0o76l8:182b?c=9oqG?5?51zJ2`6=zD:2964u+44:97f4<,=?;6o<4$571>72?3->?i7ok;o67e?6<,=>m6lk4n56a>4=#<<>1?9?4n56`>4=z,:>>6;[;g>7}6>3;86pT<3`814>=980velj50;9je`<722c88<4?::m10`<72-88h7<;d:l17f<732e98n4?:%00`?43l2d9?n4>;:m10g<72-88h7<;d:l17f<532e98l4?:%00`?43l2d9?n4<;:m11<<72-88h7<;d:l17f<332e9954?:%00`?43l2d9?n4:;:m112<72-88h7<;d:l17f<132e99;4?:%00`?43l2d9?n48;:m110<72-88h7<;d:l17f5=h5;j0:76gm5;29 75c2k=0b?=l:398mg2=83.9?i4m7:l17f<432ch?7>5$31g>g1od93:1(?=k:c58j75d2?10en>50;&17a==h5;j0276gmc;29 75c2k=0b?=l:`98mgg=83.9?i4m7:l17f5$31g>g1d0290>6947{M1;5?7|@8n87pB<838:!22039h>6*;518a6>"3=;09855+45g9ea=i<=k1<6*;4g8ba>h3h30}K;1;1=vF>d29~ 62228nh7dl=:188k72?2900elj50;9je`<722cj97>5;c594?3=83:p(>:::gd8L61a3`k86=44i`794?=n1l0;66gm2;29?j4303:17pl<8183>4<729q/?9;51228L61a3f;9j7>5;|qb`?6=:rTjh638:8g8yvgb2909wSoj;<59e6=z{;;96=4={<1;4?75n27<6l;4}r027?6=:r7<6?:7;_07<>{t:8>1<7o?:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66sm3c;94?5=83:p(>:::33:?M50n2c:??4?::k276<722e9=;4?::a=?6=:3:1l0e<=>:188k7712900q~ok:181[gc3431=>?4}rcf>5<5sWkn70=m9;307>{tj;0;6?uQb39>7d6=9:90q~<;8;296~X5<116;7<;8:p717=839pR>:>;<1b4?74:278n44>339~w=<72;q6;7ok;<;964052z?4>g4<5:k;6??9;|q0f=<72;q6;7oj;<1a=?46>2wvnl4?:487>=}K;1;1=vF>d29~H6>520q/88653b08 1372k80(9;=:36;?!23m3ko7c:;a;28 12a2ho0b9:m:09'002=;=;0b9:l:09~ 62228l97dok:188mdc=831bn?4?::m10=<722c88<4?::`4>5<22;0>wA=71;3xL4b43t.8884>db9jf7<722e9854?::kb`?6=3`kn6=44i`794?=e?3:197>50z&000>of=3:17d7j:188mg4=831d>9650;9~f6>7290:6=4?{%171?7482B8;k5`13d94?=z{hn1<7m2wxmh4?:3y]e`=:?3k87p}=1383>7}:;1:1=?h4=68b1>{t:891<74;296~Xe:27<6o<4}|`0e5<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg5e13:1?7>50z&000<5901C?:h4i011>5<5<;7E=8f:k274<722e9=;4?::pea<72;qUmi529;305>{til0;6?uQad9>7g?=9:90q~l=:181[d5349j<7?<3:p61>=838pR?:7;<5961>53z\004=:;h:1=><4=2`:>4553ty36=4={<59ea=:138::6s|38d94?4|5>0i>63<84}|`2`?6==3>14vB<8082M7c;2wG?5<59z&71=<4k;1/88>5b39'004=:=20(9:j:`f8j12f291/89h5ad9m01d=92.?994<409m01e=92w/?9;51g08mdb=831bmh4?::ka6?6=3f8?47>5;h175?6=3k=1<7;52;7xH6>628qC=i=4}%171?7ck2ci>7>5;n075<7s-9?97hi;I14b>of;3:17do::188m50;394?6|,:>>6<=?;I14b>i6:o0;66s|ae83>7}Yim16;77j;|qba?6=:rTji638:`18yv46:3:1>v3<81826c=:?3k>7p}=1283>7}:?38?46P=499~w7732909wSl=;<59f7=zuk9j<7>53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn>l6:180>5<7s-9?97<>9:J03c=n9:81<75f12194?=h:8<1<75rb883>7<729q/?9;51528L61a3`;8=7>5;n022?6=3tyjh7>52z\b`>;>289:7p}ne;296~Xfm278n44>329~wg4=838pRo<4=2c3>4543ty9854?:3y]61><5>09855rs262>5<4sW9?=63<4}r:94?4|5>0jh636:335?xu41o0;6?u27;`1?85f838::6s|3c:94?4|5>0ji63s->>47=l2:&715;%660?5392d?8n4>;|&000<6n;1bmi4?::kba?6=3`h96=44o36;>5<:6=44b683>0<52>65<36=44i`f94?=nil0;66gn5;29?g1=83?1<7>t$266>c`<@:=m7do<:188md3=831b5h4?::ka6?6=3f8?47>5;|`0<5<7280;6=u+3579566<@:=m7b?=f;29?xufl3:1>vPnd:?4>v38:36;?[4302wx><:50;0xZg4<5>0i>6srb2c3>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a7g?=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{e13:1>7>50z&000<6<91C?:h4i012>5<5<5sWko70751238yvgb2909wSoj;<1a=?74;2wxn?4?:3y]f7=:;h:1=>=4}r07{t;=;1<7=t^262?85f83;8>63o?:335?xu4j10;6?u27;cf?85e138::6srb3794?3=<32p@>6>:0yK5a577t$57;>6e53->><7l=;%666?4302.?8h4nd:l70d<73->?j7oj;o67f?7<,=??6>:>;o67g?75}#;=?1jk5G36d8md5=831bm84?::k:a?6=3`h96=44o36;>5<51;294~"4<<0:?=5G36d8k44a2900q~ok:181[gc34=15h5rs`g94?4|Vho01:4n3:p644=838p1>6?:00e?81=i<1v??<:18181=:=20R?:7;|q151<72;qUn?527;`1?x{e;h:1<7=50;2x 6222?80D>9i;h306?6=3`;8?7>5;n022?6=3th8n44?:283>5}#;=?1><74H25e?l74:3:17d?<3;29?j46>3:17pl6:181>5<7s-9?97?;0:J03c=n9:;1<75`20494?=z{hn1<76336=4={_07<>;02;>37p}<4083>6}Y;=;01>o?:011?85e13;8>6s|8;296~;02hn0144=179~w6?a2909w095b39>7d6=:8<0q~=m8;296~;02ho01>l6:335?x{e;90;684;:9yO7=7=9rB:h>5rL2:1><}#<<21?n<4$573>g4<,=?96?:7;%67a?gc3g>?m7>4$56e>dci6<5+4469717h6<5r$266>4`53`ko6=44i`g94?=nj;0;66a=4983>>o4<80;66l8:186>7<2sE93=7?tH0f0?x"4<<0:hn5fb383>>i5<10;66gnd;29?lgb2900el;50;9a3?6==3:1N4?o1bm>4?::kb1?6=3`3n6=44ic094?=h:=21<75rb2:3>5<6290;w)=;5;304>N4?o1d=?h50;9~wdb=838pRlj4=68:a>{til0;6?uQad9>3?g43ty9=?4?:3y>7=6=9;l01:4n5:p645=838p1:4=499]61>52z\a6>;02k80qpl6<729q/?9;5639K72`5<l0e<==:188m4542900c??9:188yg?=8381<7>t$266>4273A93083>>i59?0;66s|ae83>7}Yim1657?<1:pe`<72;qUmh523c;95653709525:8yv5393:1?vP<409>7d6=9:801>l6:011?xu?2909w095ae9>=?46>2wx?4h50;0x927g?=:8<0qpl{I3g7>{K;1815v*;5980g7=#<<:1n?5+440961><,=>n6lj4n56b>5=#<=l1mh5a45`95>"3==088<5a45a95>{#;=?1=k<4i`f94?=nil0;66gm2;29?j4303:17d=;1;29?g1=83?1>7;tL2:2>4}O9m90q)=;5;3gg>oe:3:17b<;8;29?lgc2900elk50;9je0<722h<6=4::183!53=3lm7E=8f:kb7?6=3`k>6=44i8g94?=nj;0;66a=4983>>{e;1:1<7?50;2x 622289;7E=8f:m26c<722wxmi4?:3y]ea=:?33n7p}ne;296~Xfm27<6l=4}r026?6=:r784=4>2g9>3?g23ty9=>4?:3y>3?4302T9855rs337>5<5sWh97095b39~yg5f83:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj:h26=4<:183!53=38:56F<7g9j564=831b=>=50;9l640=831vn44?:383>5}#;=?1=9>4H25e?l7493:17b<>6;29?xufl3:1>vPnd:?:>4563tyji7>52z\ba>;4j00:?>5rsc094?4|Vk801>o?:010?xu5<10;6?uQ25:892<5<11v>:>:180[539278m=4>339>7g?=9:80q~650;0x922wx?o650;0x926947{M1;5?7|@8n87pB<838:!22039h>6*;518a6>"3=;09855+45g9ea=i<=k1<6*;4g8ba>h3h30}K;1;1=vF>d29~ 62228nh7dl=:188k72?2900elj50;9je`<722cj97>5;c594?3=83:p(>:::gd8L61a3`k86=44i`794?=n1l0;66gm2;29?j4303:17pl<8183>4<729q/?9;51228L61a3f;9j7>5;|qb`?6=:rTjh638:8g8yvgb2909wSoj;<59e6=z{;;96=4={<1;4?75n27<6l;4}r027?6=:r7<6?:7;_07<>{t:8>1<7o?:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66sm3c;94?5=83:p(>:::33:?M50n2c:??4?::k276<722e9=;4?::a=?6=:3:1l0e<=>:188k7712900q~ok:181[gc3431=>?4}rcf>5<5sWkn70=m9;307>{tj;0;6?uQb39>7d6=9:90q~<;8;296~X5<116;7<;8:p717=839pR>:>;<1b4?74:278n44>339~w=<72;q6;7ok;<;964052z?4>g4<5:k;6??9;|q0f=<72;q6;7oj;<1a=?46>2wvn>j50;790?>|D:2:6"3:7c:;c;38y!53=3;m>6gnd;29?lgb2900eo<50;9l61>=831b?9?50;9a3?6==3819vB<8082M7c;2w/?9;51ea8mg4=831d>9650;9jea<722cji7>5;hc6>5<0;684?:1y'713=no1C?:h4i`194?=ni<0;66g6e;29?ld52900c?:7:188yg5?83:1=7>50z&000<6;91C?:h4o00e>5<0j?6s|20094?4|5:2;6<37p}=1583>7}Yj;16;7l=;|a7d6=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd4j00;6>4?:1y'713=:830D>9i;h306?6=3`;8?7>5;n022?6=3th26=4=:183!53=3;?<6F<7g9j567=831d><850;9~wdb=838pRlj4=88274=z{ho1<728987p}m2;296~Xe:278m=4>329~w72?2909wS<;8:?4>72?3ty88<4?:2y]717<5:k;6<==;<1a=?74:2wx47>52z?4>db<5009=;5rs2;e>5<5s4=1n?523`2964052z?4>dc<5:h26??9;|a7`<72<0?65uC39395~N6l:1v@>6=:8y'00>=;j80(9;?:c08 1352;>37):;e;cg?k23i3:0(9:i:`g8j12e281/88:53538j12d281v(>:::0d1?lgc2900elk50;9jf7<722e9854?::k004<722h<6=4::386I5?93;pD5;hcf>5<=831vn>6?:182>5<7s-9?97?<0:J03c=h9;l1<75rs`f94?4|Vhn01:46e:pe`<72;qUmh527;c0?xu59;0;6?u2392957`<5>0j96s|20194?4|5>09855Q25:8yv46<3:1>vPm2:?4>g45}#;=?1:?5G36d8m4552900e<=<:188k7712900qo=m9;297?6=8r.8884=189K72`5<:::063?M50n2c:?<4?::m153<722wxmi4?:3y]ea=:13;8=6s|ad83>7}Yil16?o751218yvd52909wSl=;<1b4?74;2wx>9650;0xZ72?34=1>964}r175?6=;rT88<523`29564<5:h26<==;|q;>5<5s4=1mi529;022>{t;0l1<7;4i909=;5rs2`;>5<5s4=1mh523c;9640s->>47=l2:&715;%660?5392d?8n4>;|&000<6n;1bmi4?::kba?6=3`h96=44o36;>5<:6=44b683>0<52>65<36=44i`f94?=nil0;66gn5;29?g1=83?1<7>t$266>c`<@:=m7do<:188md3=831b5h4?::ka6?6=3f8?47>5;|`0<5<7280;6=u+3579566<@:=m7b?=f;29?xufl3:1>vPnd:?4>v38:36;?[4302wx><:50;0xZg4<5>0i>6srb2c3>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a7g?=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{e13:1>7>50z&000<6<91C?:h4i012>5<5<5sWko70751238yvgb2909wSoj;<1a=?74;2wxn?4?:3y]f7=:;h:1=>=4}r07{t;=;1<7=t^262?85f83;8>63o?:335?xu4j10;6?u27;cf?85e138::6srbb83>0<321qG?5?51zJ2`6=zD:2964u+44:97f4<,=?;6o<4$571>72?3->?i7ok;o67e?6<,=>m6lk4n56a>4=#<<>1?9?4n56`>4=z,:>>65<>i5<10;66g<4083>>d0290>6?4:{M1;5?7|@8n87p*<4482`f=nj;0;66a=4983>>ofl3:17doj:188md3=831i;7>55;294~"4<<0mj6F<7g9je6<722cj97>5;h;f>5<:183!53=3;8<6F<7g9l57`=831vlj50;0xZdb<5>02i6s|ad83>7}Yil16;7o<;|q157<72;q6?5>513d892<=50;0x92<5<11U>964}r020?6=:rTi>638:c08yxd4i90;6>4?:1y'713=>;1C?:h4i011>5<5<53;294~"4<<09=45G36d8m4552900e<=<:188k7712900qo750;094?6|,:>>6<:?;I14b>o6;80;66a=1783>>{tim0;6?uQae9>=?7492wxmh4?:3y]e`=:;k31=>=4}r`1>5<5sWh970=n0;307>{t:=21<75120896d>28997p}7:18181=im1657<>6:p7<`=838p1:4m2:?0e5<59?1v>l7:18181=il16?o752048yxdc290>6947{M1;5?7|@8n87pB<838:!22039h>6*;518a6>"3=;09855+45g9ea=i<=k1<6*;4g8ba>h3h30}K;1;1=vF>d29~ 62228nh7dl=:188k72?2900elj50;9je`<722cj97>5;c594?3=83:p(>:::gd8L61a3`k86=44i`794?=n1l0;66gm2;29?j4303:17pl<8183>4<729q/?9;51228L61a3f;9j7>5;|qb`?6=:rTjh638:8g8yvgb2909wSoj;<59e6=z{;;96=4={<1;4?75n27<6l;4}r027?6=:r7<6?:7;_07<>{t:8>1<7o?:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66sm3c;94?5=83:p(>:::33:?M50n2c:??4?::k276<722e9=;4?::a=?6=:3:1l0e<=>:188k7712900q~ok:181[gc3431=>?4}rcf>5<5sWkn70=m9;307>{tj;0;6?uQb39>7d6=9:90q~<;8;296~X5<116;7<;8:p717=839pR>:>;<1b4?74:278n44>339~w=<72;q6;7ok;<;964052z?4>g4<5:k;6??9;|q0f=<72;q6;7oj;<1a=?46>2wvn<>50;790?>|D:2:6"3:7c:;c;38y!53=3;m>6gnd;29?lgb2900eo<50;9l61>=831b?9?50;9a3?6==3819vB<8082M7c;2w/?9;51ea8mg4=831d>9650;9jea<722cji7>5;hc6>5<0;684?:1y'713=no1C?:h4i`194?=ni<0;66g6e;29?ld52900c?:7:188yg5?83:1=7>50z&000<6;91C?:h4o00e>5<0j?6s|20094?4|5:2;6<37p}=1583>7}Yj;16;7l=;|a7d6=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd4j00;6>4?:1y'713=:830D>9i;h306?6=3`;8?7>5;n022?6=3th26=4=:183!53=3;?<6F<7g9j567=831d><850;9~wdb=838pRlj4=88274=z{ho1<728987p}m2;296~Xe:278m=4>329~w72?2909wS<;8:?4>72?3ty88<4?:2y]717<5:k;6<==;<1a=?74:2wx47>52z?4>db<5009=;5rs2;e>5<5s4=1n?523`2964052z?4>dc<5:h26??9;|pea<72oqUmi527;cg?8g=im16=i4nd:?16?gc348>6lj4=229ea=:;k0jh63k5ae9>f?gc34i1mi52d;cg?8772hn0q~oj:18e[gb34=1mh52a;cf?87c2ho01?<5ad9>60dc<5:i1mh523e8ba>;4m3kn70l5ad9>g?gb34n1mh52118ba>{t;=;1<7ht^262?81=;=;01l4<409>5a<4<816>?4<409>60<4<816?=4<409>7g<4<816?n4<409>7a<4<816?h4<409>f?53927h6>:>;9j4n21a>5=z{;>h6=4={_07g>;c2;>37)=h4;k0:7p}=4c83>7}Y:=h01n4=499'76e=:=n0b>=m:39~w72f2909wS<;a:?a>72?3-98o7<;d:l07g<43ty9944?:3y]60?<5:o1>964$21`>72c3g98n7:4}r069j4n21a>0=z{;?<6=4={_063>;4k38?46*<3b810a=i;:h1:6s|24494?4|V;?=70=m:36;?!54k38?h6`<3c84?xu5=<0;6?uQ2478966=:=20(>=l:36g?k54j320q~<:4;296~X5==16>84=499'76e=:=n0b>=m:89~w7352909wS<:2:?16?4302.8?n4=4e9m76d=i2wx>8>50;0xZ73734;o6?:7;%10g?43l2d8?o4m;|q10c<72;qU>9h4=`810==#;:i1>9j4n21a>f=z{;>26=4={_07=>;02;>37)=h4;k0o7p}m8;296~Xe027:<7l=;%10g?d03g98n7>4}r`5>5<5sWh=70j5b39'76e=j>1e?>l51:pf0<72;qUn852c;`1?!54k3h<7c={tk:0;6?uQc29>7`7>52z\`6>;4l3h97)=:181[e6349h6o<4$21`>g1l5b39'76e=j>1e?>l57:pfc<72;qUnk52318a6>"4;j0i;6`<3c8;?xuem3:1>vPme:?11?d53-98o7l8;o10f??=l:c58j65e2h1voo50;0xZgg<58n1n?5+32a9f2=i;:h1n6s|b883>7}Yj016m7l=;%10g?d03g98n7m4}r`0>5<5sWh87095b39'76e=j>1e?>l5d:~f763290:o7?n:3:xH6>628qC=i=4}M1;6?3|,=?36>ll;%665?ed3->>h7o4$570>6423->>o794}%171?7c?2P2h7v?6:009yj55j3:1(?=k:20b?k44k3:07b==9;29 75c2:8j7c<3:1(?=k:20b?k44k3>07b=<5;29 75c2:8j7c<>j5d09m66e=821bh=4?:%00`?b63g88o7?4;hae>5<#::n1h<5a22a96>=nkl0;6)<>m54:9j`f<72-88h7j>;o00g?3<3`ni6=4+22f9`4=i::i1:65fd`83>!44l3n:7c<290/>>j5d09m66e=021bh54?:%00`?b63g88o774;hf5>5<#::n1h<5a22a9e>=nl=0;6)<>m5c:9jga<72-88h7j>;o00g?b<3k8;57>53;397~J4080:wE?k3:'713=9oh0eno50;9jgg<722e8>84?::`0>i4:<0;66l<8983>6<729q/?9;5639K72`5<N4?o1b=><50;9j565=831d><850;9~f6>f29086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{jk1<7=t^bc896>?289870=79;306>{tkk0;6>uQcc9>7=>=9:801>66:010?xu4:<0;6?uQ337896>f2;;=7p}<8683>7}:;121><84=2:b>4553ty8454?:3y>7=?=:8<01>6n:010?x{tkh0;6?uQc`9>7=d=kh1vnl50;0xZfd<5:2i6nl4}r111?6=:rT8>85239`97736}K;1;1=vF>d29~ 62228li7dmn:188mfd=831d??;50;9a7=d=8391?7;t$266>6>e3`ij6=44ib`94?=h;;?1<75m39:94?5=83:p(>:::708L61a3`;8>7>5;h307?6=3f8::7>5;|`0<<<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg5?i3:1?7>50z&000<5901C?:h4i011>5<5<?289970=79;307>{t;;?1<7>2;;=70=7a;307>{z{jk1<7e2jk0q~mm:181[ee3493n7mm;|q060<72;qU??;4=2:a>6423twi>=l50;195?5|D:2:6<::188f6>e29086>4:{%171?5?j2chm7>5;haa>5<6=44b2:;>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a7=?=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd40h0;6>4?:1y'713=:830D>9i;h306?6=3`;8?7>5;n022?6=3tyhm7>53z\`e>;4010:?>5239;956463<888276=z{:8>6=4={_111>;40h09=;5rs2:4>5<5s49347<>6:?067:18185?138::63<8`8276=zuzij6=4={_ab?85?j3ij7p}lb;296~Xdj2784o4lb:p773=838pR><:;<1;f?55=2wvn?>l:180>4<4sE93=7?tH0f0?x"4<<0:jo5fc`83>>odj3:17b==5;29?g5?j3:1?7=55z&000<40k1bol4?::k`f?6=3f9997>5;c1;N4?o1b=><50;9j565=831d><850;9~f6>>29086=4?{%171?053A93383>>o6;:0;66a=1783>>{e;1k1<7=50;2x 6222;;27E=8f:k277<722c:?>4?::m153<722wxol4?:2y]gd=:;121=>=4=2::>4553tyhn7>53z\`f>;4010:??5239;956552z\060=:;1k1><84}r1;3?6=:r78454=179>7=g=9:80q~=78;296~;40009=;5239c956552z\`e>;40k0hm6s|cc83>7}Ykk16?5l5cc9~w6422909wS==5:?0{I3g7>{#;=?1=kl4ibc94?=nkk0;66a<2483>>d40k0;6>4<:4y'713=;1h0eno50;9jgg<722e8>84?::`0<=<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg5?13:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj:2j6=4<:183!53=38:56F<7g9j564=831b=>=50;9l640=831vno50;1xZfg<5:236<=<;<1;=?74:2wxoo4?:2y]gg=:;121=><4=2::>4543ty8>84?:3y]773<5:2j6??9;|q0<2<72;q6?565204896>f28997p}<8983>7}:;131><84=2:b>4543twxol4?:3y]gd=:;1h1ol5rsb`94?4|Vjh01>6m:b`8yv55=3:1>vP<249>7=d=;;?0qpl=0d83>6<62:qG?5?51zJ2`6=z,:>>65<=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd4000;6>4?:1y'713=>;1C?:h4i011>5<5<53;294~"4<<09=45G36d8m4552900e<=<:188k7712900q~mn:180[ef349347?<3:?0<<<6;;1vnl50;1xZfd<5:236<==;<1;=?74;2wx??;50;0xZ6423493m7<>6:p7=1=838p1>67:335?85?i3;8>6s|39:94?4|5:226??9;<1;e?74;2wvno50;0xZfg<5:2i6no4}raa>5<5sWii70=7b;aa?xu4:<0;6?uQ337896>e2:8>7psm21d94?5=939p@>6>:0yK5a55<<::188f6>?29086=4?{%171?053A93383>>o6;:0;66a=1783>>{e;131<7=50;2x 6222?80D>9i;h306?6=3`;8?7>5;n022?6=3th84l4?:283>5}#;=?1><74H25e?l74:3:17d?<3;29?j46>3:17p}la;297~Xdi278454>329>7=?=9:80q~mm:180[ee349347?<2:?0<<<6;:1v><::181[55=2784l4=179~w6>02909w0=78;022>;40h0:??5rs2:;>5<5s49357<>6:?0{t;;?1<75<42808wA=71;3xL4b43t.8884>fc9jgd<722chn7>5;n111?6=3k93n7>53;191~"4<<084o5fc`83>>odj3:17b==5;29?g5?03:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj:226=4<:183!53=3<97E=8f:k277<722c:?>4?::m153<722wi?5o50;194?6|,:>>6??6;I14b>o6;;0;66g>3283>>i59?0;66s|c`83>6}Ykh16?565121896>>28997p}lb;297~Xdj278454>339>7=?=9:90q~==5;296~X4:<16?5o52048yv5??3:1>v3<898153=:;1k1=><4}r1;7=g=9:90qp}la;296~Xdi2784o4la:pgg<72;qUoo5239`9gg=z{:8>6=4={_111>;40k08>85r}c025?6=;3;1?vB<8082M7c;2w/?9;51g`8mfg=831boo4?::m060<722h84o4?:280>0}#;=?1?5l4ibc94?=nkk0;66a<2483>>d4010;6>4?:1y'713=>;1C?:h4i011>5<5<53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn>6n:180>5<7s-9?97<>9:J03c=n9:81<75f12194?=h:8<1<75rsbc94?5|Vjk01>67:010?85?13;8>6s|cc83>6}Ykk16?565120896>>28987p}<2483>7}Y;;?01>6n:335?xu40>0;6?u239:9640<5:2j6<==;|q0<=<72;q6?575204896>f28987ps|c`83>7}Ykh16?5l5c`9~wfd=838pRnl4=2:a>fd52z\060=:;1h1??;4}|`140<72:0:6>uC39395~N6l:1v(>:::0da?lef2900enl50;9l773=831i?5l50;197?3|,:>>6>6m;hab>5<9i;h306?6=3`;8?7>5;n022?6=3th8444?:283>5}#;=?1:?5G36d8m4552900e<=<:188k7712900qo=7a;297?6=8r.8884=189K72`5<5<4sWij70=78;307>;4000:??5rsb`94?5|Vjh01>67:011?85?13;8?6s|33794?4|V:8>70=7a;022>{t;1=1<77713493m7?<2:p7=>=838p1>66:335?85?i3;8?6srsbc94?4|Vjk01>6m:bc8yvee2909wSmm;<1;f?ee3ty8>84?:3y]773<5:2i6><:;|a650=8391=7=tL2:2>4}O9m90q)=;5;3ef>odi3:17dmm:188k6422900n>6m:180>6<2s-9?97=7b:k`e?6=3`ii6=44o206>5<4?::m153<722wi?5750;194?6|,:>>6;<4H25e?l74:3:17d?<3;29?j46>3:17pl<8`83>6<729q/?9;520;8L61a3`;8>7>5;h307?6=3f8::7>5;|q`e?6=;rThm63<898276=:;131=><4}raa>5<4sWii70=78;306>;4000:?>5rs206>5<5sW99963<8`8153=z{:2<6=4={<1;2784l4>339~w6>?2909w0=79;022>;40h0:?>5r}rab>5<5sWij70=7b;ab?xudj3:1>vPlb:?05;n111?6=3k9347>53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn>66:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66sm39c94?5=83:p(>:::33:?M50n2c:??4?::k276<722e9=;4?::pgd<72:qUol5239:9565<5:226<==;|q`f?6=;rThn63<898277=:;131=>=4}r111?6=:rT8>85239c964052z?0<=<59?16?5o51208yv5?03:1>v3<888153=:;1k1=>=4}|q`e?6=:rThm63<8c8`e>{tkk0;6?uQcc9>7=d=kk1v><::181[55=2784o4<249~yg4703:1?7?53zN0<4<6sA;o?6s+35795cd>i4:<0;66l<8c83>6<425}#;=?1:?5G36d8m4552900e<=<:188k7712900qo=79;297?6=8r.888492:J03c=n9:81<75f12194?=h:8<1<75rb2:b>5<4290;w)=;5;02=>N4?o1b=><50;9j565=831d><850;9~wfg=839pRno4=2:;>454349357?<2:pgg<72:qUoo5239:9564<5:226<=<;|q060<72;qU??;4=2:b>7713ty84:4?:3y>7=>=:8<01>6n:011?xu4010;6?u239;9640<5:2j6<=<;|pgd<72;qUol5239`9gd=z{jh1<7e2jh0q~==5;296~X4:<16?5l53378yxd4i90;6>4?:1y'713=>81C?:h4i011>5<5<7>53;294~"4<<0==6F<7g9j564=831b=>=50;9l640=831vn>o;:180>5<7s-9?978>;I14b>o6;;0;66g>3283>>i59?0;66sm3`494?5=83:p(>:::738L61a3`;8>7>5;h307?6=3f8::7>5;|`0e=<72:0;6=u+357924=O;>l0e<==:188m4542900c??9:188yg5fi3:1?7>50z&000<192B8;k5f12094?=n9:91<75`20494?=zj:kh6=4<:183!53=3<:7E=8f:k277<722c:?>4?::m153<722wi?lk50;194?6|,:>>6;?4H25e?l74:3:17d?<3;29?j46>3:17pl6<729q/?9;5609K72`5<N4?o1b=><50;9j565=831d><850;9~f6d329086=4?{%171?063A93383>>o6;:0;66a=1783>>{e;k<1<7=50;2x 6222?;0D>9i;h306?6=3`;8?7>5;n022?6=3th8?:4?:383>5}#;=?1=9>4H25e?l7493:17b<>6;29?xu41o0;6>u221c9gd=:;h:1><84=2c1>4543ty8m<4?:2y>65d=kh16?l<5204896g328987p}6}::9i1ol523`69640<5:k=6<=<;|q0e0<72:q6>=j5c`9>7d0=:8<01>o7:010?xu4i>0;6>u221g9gd=:;h21><84=2cb>4543ty8m44?:2y>65`=kh16?lo5204896gd28987p}6}::9=1ol523c69640<5:h=6<=<;|q0eg<72:q6><>5c`9>7de=:8<01>oj:010?xu4j<0;6?u221:9gd=:;k<1><84}r1b`?6=;r79=<4la:?0e`<59?16?o>51218yv5fn3:1?v3=048`e>;4j909=;523c0956553z?1437g2=9:90q~==b;296~X4:k16>=653378 65d2:8j7c=vP<289>651=;;?0(>=l:20b?k54j3;0q~==8;296~X4:116>=853378 65d2:8j7c=vP<269>653=;;?0(>=l:20b?k54j390q~=<6;296~X4;?16>vP<349>646=;;?0(>=l:20b?k54j3?0q~=<4;296~X4;=16>=h53378 65d2:8j7c=vP<329>65c=;;?0(>=l:20b?k54j3=0q~=<2;296~X4;;16>=j53378 65d2:8j7c=vP<309>65e=;;?0(>=l:20b?k54j330q~==f;296~X4:o16>=l53378 65d2:8j7c=vP<2e9>65g=;;?0(>=l:20b?k54j3h0q~==c;296~X4:j16>=753378 65d2:8j7c=3:1>vP<279>761=:8<0(>=l:20b?k54j3n0q~j=:181[b5348;47mm;%10g?b63g98n7>4}rf3>5<4sWn;703;8>6*<3b8g5>h4;k0:7p}lf;297~Xdn279<;4lb:?0f1<6;;1/?>m5d09m76d=:2wxoh4?:2y]g`=::9?1oo523c09564<,:9h6i?4n21a>6=z{mn1<7=t^ef897762jh01>l?:011?!54k3n:7c=;4ij0:??5+32a9`4=i;:h1:6s|d`83>6}Ylh16>=k5cc9>7dg=9:80(>=l:e38j65e2>1vi750;1xZa?<5;:o6nl4=2c;>4553-98o7j>;o10f?>"4;j0o=6`<3c8:?xuc>3:1?vPk6:?14g339'76e=l81e?>l5a:p`1<72:qUh95221c9gg=:;h81=><4$21`>a76:b`896g728997)=309'76e=l81e?>l5d:~wdb=838pRlj4=68b`>{til0;6?uQad9>3?gb3ty88<4?:3y]717<5>088<5rs36f>5<4sW8?i638:36f?847<3n97)=h4;k0;7p}=4b83>6}Y:=i01:4=4b9>652=l91/?>m525f8j65e281v?:m:180[43j27<6?:m;<030?ea3-98o7<;d:l07g<53ty98l4?:2y]61g<5>098l522169g`=#;:i1>9j4n21a>6=z{;?26=4<{_06=>;02;?270uQ24:892<5=116>=:5db9'76e=:=n0b>=m:49~w7302908wS<:7:?4>730348;87jm;%10g?43l2d8?o49;|q113<72:qU>884=68113=::9>1hl5+32a961b5<4sW8>9638:376?847<3n27)=h4;k037p}=5583>6}Y:<>01:4=559>652=l11/?>m525f8j65e201v?;=:180[42:27<6?;=;<030?b13-98o7<;d:l07g099=522169`1=#;:i1>9j4n21a>g=z{;>m6=4<{_07b>;02;>m70uQ25;892<5<016>=:5ce9'76e=:=n0b>=m:e9~w6?42909w095b99>652=;;h0(>=l:2;1?k54j3:0q~=61;296~;02k<01?>;:20:?!54k392>6`<3c82?xu4190;6?u27;`6?847<39946*<3b80=7=i;:h1>6s|39d94?4|5>0i863=058062=#;:i1?4<4n21a>6=z{:3n6=4={<59g6=::9>1?>84$21`>6?53g98n7:4}r1:`?6=:r7<6n<4=327>6523-98o7=62:l07g<23ty85n4?:3y>3?e6348;87=<4:&07f<41;1e?>l56:p7m53808j65e2>1v>7n:18181=jo16>=:53208 65d2:397c=13:1>v38:cg897632:9:7)=h4;k027p}<9683>7}:?3hh70"4;j085?5a32`9e>{t;0?1<7;58=08>i5+32a97<45<5s4=1n452216977e<,:9h6>7=;o10f?e52z?4>g5<5;:?6><9;%10g?5>:2d8?o4k;|a5f?=8391>7:tL2:2>4}O9m90qA=72;3x 13>291v(>:::0db?ld52900c?:7:188mdb=831i;7>53;294~"4<<0mi6F<7g9j=`<722ci>7>5;n0752;294~"4<<0:8=5G36d8m4562900c??9:188yvgc2909wSok;<`2>4563ty9=?4?:3y>3??b34h:6??9;|q156<72;q6;7<;8:\10==z{;;?6=4={_`1?81=j;1vqo7?:180>7<3sE93=7?tH0f0?xJ40;0:w)::9;28y!53=3;mm6gm2;29?j4303:17dok:188f2<72:0;6=u+3579b`=O;>l0e4k50;9jf7<722e9854?::af4<72;0;6=u+3579516<@:=m7d?<1;29?j46>3:17p}nd;296~Xfl27i=7?<1:p644=838p1:46e:?a5?46>2wx><=50;0x92<5<11U>964}r020?6=:rTi>638:c08yxd39l0;6>4=:5yO7=7=9rB:h>5rL2:1>4}#<<31<6s+35795cg5<7s-9?97hj;I14b>o>m3:17dl=:188k72?2900qol>:181>5<7s-9?97?;0:J03c=n9:;1<75`20494?=z{hn1<72;296~;020o01o?52048yv46;3:1>v38:36;?[4302wx><:50;0xZg4<5>0i>6srb2fg>5<42;0?wA=71;3xL4b43tF84?4>{%66=?65;hcg>5<0;6>4?:1y'713=nl1C?:h4i8g94?=nj;0;66a=4983>>{ej80;6?4?:1y'713=9=:0D>9i;h305?6=3f8::7>5;|qb`?6=:rTjh63m1;305>{t:881<7;e938::6s|20194?4|5>09855Q25:8yv46<3:1>vPm2:?4>g494?:580>3}#;=?18?:4o53e>5<:6=44i0df>5<5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a077=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{e<;81<7=50;2x 6222?;0D>9i;h306?6=3`;8?7>5;n022?6=3ty?=k4?:3y]04`<5=896??9;|q104<72;qU>9?4=501>4553ty:jh4?:2y]5cc<5=886<==;<615?74:2wx89?7?<3:p076=838p19<<:335?82593;8?6s|20094?4|5=8:6??9;<616?74;2wvn>k<:186>6<0s-9?97=j3:m0``<722c98=4?::k0a1<722c8i;4?::k0`a<722h8i?4?:283>5}#;=?1:<5G36d8m4552900e<=<:188k7712900qo=j0;297?6=8r.888492:J03c=n9:81<75f12194?=h:8<1<75rb2g2>5<4290;w)=;5;02=>N4?o1b=><50;9j565=831d><850;9~w6bb2909wS=ke:?0a4<59?1v?:?:181[438278i?4>339~w6c32909wS=j4:?0a7<6;:1v>k9:181[5b>278i=4>339~w6bc2909wS=kd:?0a5<6;:1v>ji:18185b:38::63278i<4>329~yg53m3:197>50z&000<1j2B8;k5f12094?=n9:91<75f12694?=n9:?1<75`20494?=zj:;o6=47:183!53=389=6F<7g9j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9j56>=831d><850;9~f644290?6=4?{%171?46j2B8;k5f12094?=n9:91<75f12694?=h:8<1<75rb323>5<4290;w)=;5;42?M50n2c:??4?::k276<722e9=;4?::a=7<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg5693:197>50z&000<1j2B8;k5f12094?=n9:91<75f12694?=n9:?1<75`20494?=zj:;86=4::183!53=34?::k271<722c:?84?::m153<722wi?<;50;794?6|,:>>6;l4H25e?l74:3:17d?<3;29?l74<3:17d?<5;29?j46>3:17pl<1683>0<729q/?9;56`9K72`5<5<N4?o1b=><50;9j565=831b=>:50;9j563=831d><850;9~f67e290>6=4?{%171?0>3A93383>>o6;:0;66g>3583>>o6;<0;66a=1783>>{e;l?1<7=50;2x 6222;;27E=8f:k277<722c:?>4?::m153<722wi?h950;194?6|,:>>6??6;I14b>o6;;0;66g>3283>>i59?0;66sm1b`94?4=83:p(>:::063?M50n2c:?<4?::m153<722wxm=4?:5y]e5=Yi81U=?k4=2:0>75>3ty8=h4?:3y]74c<5:;n6?:7;|q04g<72;qU?=l4=22a>72?3ty8hi4?:4y]7ab<5:no6?:7;<1f7?5cl272>7?<2:?0a0<6;;1vh=50;7xZ`5<5:>h6lj4=265>db<5::i6lj4=23f>db52z\0b2=:9jh1=>?4}rc1>5<0sWk970<e;297~X39l1688272<7<;8:p5fg=838p17}:;8n1=><4=232>7713ty8=?4?:3y>74b=9:901>?<:335?xu49=0;6?u230f9562<5:;>6??9;|q053<72;q6?6}:;8n1=>84=200>455349:57<>6:p74g=839p1>?k:014?855;3;8?63<1c8153=z{:896=4={<12a?d53499?7<>6:p5c`=838p1k;;<1f1?46>2wx>>h50;0x975b2;8370=j7;306>{t;l<1<76c1349n;7<>6:p616=839p1?=j:30b?85b;38?<63:6=4<{<00a?45m27?>94=409>=7<6;:1v>jj:18185cl3h970=j3;1ga>{t180;6?u2918a6>;>:38::6s|35a94?2|5:>h6?:7;<172?53927:jn4ne:?145<6;:1v9?i:181826m3h970:=4;62b>{t9j31<7=t=31f>4ec34;h57<;8:?0a0<6;:1v>:k:187853k3h970=;e;022>;49m0:?552331956252z?04g;6nj098h5243695cc<5;:;6<==;%10g?7al2d8?o4?;|q00g<721q6?9852408962b289>70=>1;300>;49:0:?>523079562<5:;<6<=<;<12=?74;278=o4>339'76e=;=k0b>=m:19~w62>2903w0=;6;064>;4349>74?=9:?01>?m:016?!54k39?m6`<3c82?xu4<10;65u2354961`<5:>n6<=<;<125?74=278=>4>349>743=9:901>?8:011?85613;8863<1c8271=#;:i1?9o4n21a>7=z{:><6=47{<172?4312788h4>339>747=9:801>?<:017?856=3;8>63<168271=:;831=><4=23a>4543-98o7=;a:l07g<43twi?n:50;;9g?76sE93=7?tH0f0?xJ40;09wc::a;:4?!2213<0q)=;5;31<>i3<;0;66a>o4km0;66a63;29?l`c2900e?kk:188m7ca2900e?h>:188m45f2900n:=50;196?2|D:2:6N4?o1G?5?51z&27c<3=?1ve4k50;9jf7<722e9854?::af4<72;0;6=u+3579516<@:=m7d?<1;29?j46>3:17p}nd;296~Xfl27i=7?<1:p644=838p1:46e:?a5?46>2wx><=50;0x92<5<11U>964}r020?6=:rTi>638:c08yxd5ml0;684=:4yO7=7=9rB:h>5rL2:1>d}#<<31>6*;5980g7=#<<:1n?5+440961><,=>n6lj4n56b>4=#<=l1mh5a45`95>"3==088<5a45a94>{#;=?1=k;4i`f94?=nil0;66gm2;29?j4303:17d=;1;29?g1=83?1>7;tL2:2>4}O9m90q)=;5;3gg>oe:3:17b<;8;29?lgc2900elk50;9je0<722h<6=4::183!53=3lm7E=8f:kb7?6=3`k>6=44i8g94?=nj;0;66a=4983>>{e;1:1<7?50;2x 622289;7E=8f:m26c<722wxmi4?:3y]ea=:?33n7p}ne;296~Xfm27<6l=4}r026?6=:r784=4>2g9>3?g23ty9=>4?:3y>3?4302T9855rs337>5<5sWh97095b39~yg5e13:1?7>50z&000<59h1C?:h4i011>5<5<964=6810==z{:>:6=4<{_175>;02k801>l6:011?xu4j10;6?u27;cf?85e138::6srb3d3>5<22;0>wA=71;3xL4b43tF84?4n{%66=?4<,=?36>m=;%664?d53->>>7<;8:&70`;%67b?gb3g>?n7?4$577>6263g>?o7>4}%171?7a=2cjh7>5;hcf>5<{I3g7>{#;=?1=im4ic094?=h:=21<75fae83>>ofm3:17do::188f2<72<0;6=u+3579bc=O;>l0el=50;9je0<722c2i7>5;h`1>5<36=44}c1;4?6=93:1l0c<<<50;0x96>7288m7095a49~w7742909w09525:8Z72?3ty9=94?:3y]f7=:?3h97psm3c;94?5=83:p(>:::33b?M50n2c:??4?::k276<722e9=;4?::pea<72;qUmi527;cg?xufm3:1>vPne:?0f<<6;:1v?:7:181[43027<6?:7;|q004<72:qU?9?4=68a6>;4j00:??5rs2`;>5<5s4=1mh523c;96402}K;1;1=vF>d29~H6>52hq/88752:&71=<4k;1/88>5b39'004=:=20(9:j:`f8j12f281/89h5ad9m01d=92.?984<459m01b=82w/?9;51d68mdb=831bmh4?::ka6?6=3f8?47>5;h170?6=3k=1<7;52;7xH6>628qC=i=4}%171?7ck2ci>7>5;n075<7s-9?97hi;I14b>of;3:17do::188m50;394?6|,:>>6<=?;I14b>i6:o0;66s|ae83>7}Yim16;77j;|qba?6=:rTji638:`18yv46:3:1>v3<81826c=:?3k>7p}=1283>7}:?38?46P=499~w7732909wSl=;<59f7=zuk9i57>53;294~"4<<09=l5G36d8m4552900e<=<:188k7712900qo=mb;297?6=8r.8884=1`9K72`5<5<5sWko7095ae9~wdc=838pRlk4=2`a>4543tyi>7>52z\a6>;4j00:?>5rs36;>5<5sW8?4638:36;?xu4<=0;6>uQ356896d>289970=mb;306>{t;kk1<7;4jk09=;5rs2`;>5<5s4=1n?523c;96402}K;1;1=vF>d29~H6>52hq/88752:&71=<4k;1/88>5b39'004=:=20(9:j:`f8j12f281/89h5ad9m01d=92.?994<409m01e=82w/?9;51g78mdb=831bmh4?::ka6?6=3f8?47>5;h175?6=3k=1<7;52;7xH6>628qC=i=4}%171?7ck2ci>7>5;n075<7s-9?97hi;I14b>of;3:17do::188m50;394?6|,:>>6<=?;I14b>i6:o0;66s|ae83>7}Yim16;77j;|qba?6=:rTji638:`18yv46:3:1>v3<81826c=:?3k>7p}=1283>7}:?38?46P=499~w7732909wSl=;<59f7=zuk9j<7>53;294~"4<<0==6F<7g9j564=831b=>=50;9l640=831vn>l6:180>5<7s-9?97<>a:J03c=n9:81<75f12194?=h:8<1<75rs`f94?4|Vhn01:4nd:pe`<72;qUmh523c;95653709525:8yv5393:1?vP<409>7d6=9:801>l6:011?xu41o0;6?u27;`1?85f838::6s|3c:94?4|5>0ji63=50;9l640=831vn?h=:180>5<7s-9?978>;I14b>o6;;0;66g>3283>>i59?0;66sm45594?4=83:p(>:::063?M50n2c:?<4?::m153<722wi>k:50;194?6|,:>>6??6;I14b>o6;;0;66g>3283>>i59?0;66sm2g494?5=83:p(>:::33:?M50n2c:??4?::k276<722e9=;4?::a010=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd0=3:1>7>50z&000<6<91C?:h4i012>5<52z\0ag=:;lh1>964}r1``?6=<4=679567626343?6??9;|qe`?6=>rTmh6383;cg?84bm3ko70uQ2df897cb2ho01?h;:011?xu5mo0;6>uQ2dd897`72ho01?h9:011?xu6;h0;6>uQ12c896ce2k801>;=:c08yv142909w09<:36;?8?328987p}=f283>7}::o81=><4=3d7>7713ty9j84?:3y>6c4=9:901?h9:335?xu4=;0;6?u2340961><5=>=6<=<;|q1a`<72;q6>hk525:897`328987p}=f183>7}::o:1>964=3d5>4543ty?884?:3y>011=9:;019:9:335?xu5mj0;6>u23d`9e`=:;<81mh522g09640g4<5>?1><84}|`75g<72:0:6>uC39395~N6l:1v@>6=:0y'00?=>2w/?9;51e:8mcg=831b?9h50;9l773=831i;7>53;294~"4<<02o6F<7g9j567=831bi>4?::m153<722wxjl4?:3y]bd=:?3o87p}=1383>7}:?38::6P<249~w7742909wS=;f:?4>4563twi?i>50;195?5|D:2:6<::188f2<72:0;6=u+3579=f=O;>l0e<=>:188m`5=831d><850;9~wcg=838pRko4=68f7>{t:881<73;296~X4oai3:17d=;f;29?j55=3:17o950;194?6|,:>>64m4H25e?l7493:17dk<:188k7712900q~hn:181[`f34=1i>5rs331>5<5s4=1><84^206?xu59:0;6?uQ35d892<6;81vqo:=e;297?7=;rF84<4>{I3g7>{K;181=v*;5885?x"4<<0:h55ff`83>>o4>d029086=4?{%171??d3A93083>>ob;3:17b<>6;29?xuai3:1>vPia:?4>`57>52z?4>7713W9996s|20194?4|V:>m70951238yxd3;h0;6>4>:2yO7=7=9rB:h>5rL2:1>4}#<<31:6s+35795a>>i59?0;66s|f`83>7}Ynh16;7k<;|q157<72;q6;7<>6:\060=z{;;86=4={_17b>;0289:7psm3b:94?4=83:p(>:::063?M50n2c:?<4?::m153<722wi?nm50;094?6|,:>>6<:?;I14b>o6;80;66a=1783>>{e;m21<7<50;2x 62228>;7E=8f:k274<722e9=;4?::a7fg=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{e<8n1<7<50;2x 62228>;7E=8f:k274<722e9=;4?::p04d=83>pR9?m;<1`5?26j278o84;1c9>04d=;;?0q~o?:181[g7349ij7o>;|q0af<72;qU?hm4=2a7>6ce3ty8oh4?:5y]7fc<5=?<6>mk;<1`2?5dl278o947f0=<;h0q~:=7;296~X3:>1688954358yvg62909wSo>;<1ab?75m2wx8<;50;0xZ17234>>;7:>5:p7ad=838pR>jm;<1`1?5c12wx8<=50;0xZ17434>>;7:?7:p7`>=838pR>k7;<663?5b02wx?ij50;0xZ6bc349ij7=kd:pa6<72:qUi>523b79a6=:;kl1i>5rsgf94?3|Von01>m>:`6891302h>01>m9:`6896e32on0q~=jd;296~X4mm16?n;53d`8yv5dn3:1>vP7a6=;;?0q~=k7;296~X4l>16?i652048yv5a?3:1>vP7g`=;o=0q~:>4;296~X39=1688954268yv23<3:1>vP;459>7f3=<=80q~:=d;296~X3:m168?k53378yv27j3:1>vP;0c9>001=<9h0q~o=:180[g5349h97o=;<1ab?g53ty2j7>52z\:b>;4k<02j6s|3b594?4|V:i<70=l8;022>{t;jh1<7;4k?08j55rs506>5<5sW>9963;568760=z{:on6=4={_1fa>;4k<08ih5rs2db>5<5sW9mm63;3;h08>85rs2d2>5<5sW9m=63;4k<08j>5rs56:>5<3sW>?56312?3ty8hl4?:3y]7ag<5:i=6>jn;|q05`<72;q6889530d896da2:;n7p}>4e83>7}:;j<1=9j4=2a6>42c3ty:4o4?:3y>7f7=91h01>m9:0:a?xu6<80;6?u23b49517<5:i>6<:>;|q75f<72;q6804b=:8<0q~;6:18185d=3?370=la;307>{t=h0;6?u23b491==:;jk1=><4}r1eg?6=:r78o847cd=;=l0q~=?b;296~;3=>08>;77<;<1`2??4349h877<;|q27}:<<=1>5j4=2a7>7cc3ty:>h4?:3y>7f7=9;o01>li:`28yv26m3:1>v3?4}r;3>5<0s49h977?;<1ab??7349o<7hn;<1ef?`f34>9i7hn;<60e?`f349o47?<1:p53>=838p1>m>:04;?85d>3;=46s|18c94?4|5:i=6<7n;<1`1?7d02wx8?h50;0x96e22=8i70:=e;17b>{t;j31<7456349hm7<>6:p5=6=838p1>m9:0:3?85d=3;3m6s|27c94?4|5:i:6?8n;<663?41i2wx?i?50;0x96e22:n970=k0;17b>{t:mn1<77bc34>>;7m9:047?85d<38nj6s|12c94?4|5:i:6<=n;<1`0?74i2wx8>l50;0x96e22=9370:{t9kn1<74dc349h97?l7:p25<72;q6?n85619>7fe=9:;0q~<>2;296~;4090:>k5240`971`j7>52z\11c=:<<=1>8h4$21`>73b3g98n7>4}r06`?6=:rT99i52445960b<,:9h6?;j;o10f?752z\12==:<<=1>;64$21`>73b3g98n7<4}r053?6=:rT9::524459631<,:9h6?;j;o10f?552z\123=:<<=1>;84$21`>73b3g98n7:4}r051?6=:rT9:8524459633<,:9h6?;j;o10f?352z\121=:<<=1>;:4$21`>73b3g98n784}r057?6=:rT9:>524459635<,:9h6?;j;o10f?17>52z\127=:<<=1>;<4$21`>73b3g98n764}r055?6=:rT9:<524459637<,:9h6?;j;o10f??52z\125=:<<=1>;>4$21`>73b3g98n7o4}r06g?6=:rT99n52445960e<,:9h6?;j;o10f?d6=4={_:6?85d93>m7)=4349h=7:k;%10g?>33g98n7?4}r:1>5<4sW2970=l1;6`?822?3>h7)=:180[>6349h=7:n;<663?2f3-98o76;;o10f?5370::7;6;?!54k32?7c=>;7:8;%10g?>33g98n7;4}r5g>5<4sW=o70=l1;65?822?3>=7)=?70::7;67?!54k32?7c=>;7:<;%10g?>33g98n774}r5:>5<4sW=270=l1;61?822?3>97)=;<663?263-98o76;;o10f?d;70::7;63?!54k32?7c=>;7=i;%10g?>33g98n7j4}r:g>5<4sW2o70=l1;74?822?3?<7)=d349h=7;9;<663?313-98o76;;o10f?`7)=1:p<<<72;qU4452445916=#;:i1495a32`957=z{121<7=l:968j65e2890q~68:181[>034>>;7;>;%10g?>33g98n7?;;|q;2?6=:rT3:63;56864>"4;j0386`<3c821>{t090;6?uQ819>001=<01/?>m5859m76d=9?1v>:?:181[538278nk4<419'76e=;:l0b>=m:19~w65b2909wS=m532d8j65e281v>=k:181[54l278nk4<3e9'76e=;:l0b>=m:39~yx{zHIIp=56?:c02`5cd>tJKNv>r@ARxyEF \ No newline at end of file +$57e==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;13857=68;1:=?5>200857:10:30>LHW]]0O0<<50?37?45=AGZ^X7Jnt`C?57<76o1:?7B[ilgq844=87;:7<=5OTVSQQ58f3891]1?=:12;2=54=6;3Ujhi>?01>26?69i2;=6L2=3;:=55=6>3CE\XZ5A=00>=863;k0><4N<3395;773;;1EC^ZT;C?64<66l19?7OPFR@?66<668>0>>4FNQWW>DYA[K69?7?18:09@97=8730>7K}<083:`=52@D[YY4K<083:c=52F__\XZ5Q=394;><:3[7=7>11:1e?62?3=0O0>4?>b97>AgsiH686=0j;58JJUSS2M686=0>2:69MKVR\3NjxlO33;2=b>2=G\^[YY4^<283:42<<3E^X][[:Sgpqir;;3:546:5Q=194;b<<3Xnxb{<283:f=32]cfi2<:133;2=5>3?3<0O094?>b96>AgsiH6?6=06;48FW92=87o097GAPTV9@92=87;9784FNQWW>AgsiH6?6=0i;48LQQVR\3[787>11596>JSSX\^1^h}zlu>7>58?3<0Z094?>e96>Wct}e~787>1f:79[dbc89:;094?>0955>1631;0585N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566m2KGI=Qbuy234576l2KGI=Qbuy23454682KGI=Qbuy2345Yney>0MAK>4:CM@70GIL:H9:6OAD2@00>GIL=<0MCJ;B048EKB3J;<0MCJ;B248EKB3J=<0MCJ:B048EKB2J;<0MCJ:B248EKB2J=<0MCJ:B468EKB?<2KX~km4ARpe[kis89::=h5NSsd\jjr789;Te`~PARpe[kis89::=45N_GQA846912KTJ^L310<:?DYA[K6:>374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH753:4Abnq53=FkexTECXPilr\EfjuW@D]=;5NotvLA`=Fg|~DIRczx1234c=Fg|~DIRczx12344773He~xBKPmtz3456698:0Mb{{OD]nq}67898:j6O`uuMF[hs89:;?<<4AnwwK@Yj}q:;<=Qfmqf8EjssGLUd~=>?0328EjssGLUd~=>?0^kntZGh}}ENSb|?01220>DFK]o0NLM[_hos[GGD\8?0NLM[r59AAWTb3KOY^Rgbp^@FVW713KOY^h9;CGQVwtb3KOY^|Pnnv34575:2HN^_|}_omw4566W`g{SOK]Rsp\jjr789;:86LZFD:8FPUXAGLD=6M;;B35I3=D:9GNB;5L24OFJ6=D0D80OH=4CMI0?FJU;2IEX45LOLOJPQCC?2IYILZJD39@W3=Ddlky=h5Lldcq5Zgil9:;?0018Gim23Jf`Ic94Cmi\BVK6<2IggRGAV^kntZEkcVCEZ4?>59G86813M6?6=0;;E>7:1=C4<4?7I29>59G82833M63295K<8<6?ARFKB;;7IZNCJ]jiuYC\HI@=:5KT@AHvc1>259GPDELz{Uecy>?00]jiuYC\HI@~Qaou2344703Mkm1>17:Fbpd:66<1OmyoN8:FbpdG;8730HlznA=33:<=Ci}kJ01<364D`vbE92902NjxlO35?:8@drfI5<546Jnt`C?3;>?0101?Air|FOTmcj?012\mhvXLfCHQnne23457b3Me~xBKPaof34575:2NdyyAJ_`lg4566W`g{SIaztNG\ekb789;:h6J`uuMF[jt789:9<6J`uuMF[jt789:Te`~PDnwwK@Yhz9:;<4DnwwK@Yhz9:;=Rgbp^FlqqIBWfx;<=?>1:G6?@@fde;;7HHnlm]jiuYBNhfg=?5JS49FW969=2OX0<0:;DQ?6;3?003b?@UXign;<=?Pilr\AVYffm:;<?1e9FWZejxVoz<=>>219FWZejxVoz<=>>_hos[@UXkdzTi|>?003:?@UXg{:;<=?7;DQ\kw6789Uba}QJS^mq45679<1NXLMDd:GWEFMXign;<=>=0:GWEFMXign;<=>Pilr\AQGDCVkeh=>?0033?@RFKBUha}Qjq12347049Fv979<2LH@F<4FE68BAEB:2LM86HId058BCb6%@d37KHk1,Km5<=ANm;&Ec?>8:DE`4+Nf;30JKj>-Hl15==ANm;&Ec=m;GDg5(OiW`g{86HId358BCb5%@d37KHk2,Km5<=ANm8&Ec?>9:DE`7+Nf8827KHk2,Km56>8:DE`7+Nf=30JKj=-Hl75<=ANm8&Ec:=9:DE`7+Nf=937KHk2,Km1<=ANm8&Ec;>8:DE`7+Nf?h0JKj=-Hl\mhv33OLo?:5IFe1.Mk>9:DE`6+Nf8;27KHk3,Km57?399EBa5*Ag827KHk3,Km64>8:DE`6+Nf<30JKj<-Hl65<=ANm9&Ec8>8:DE`6+Nf>h0JKj<-Hl\mhv43OYI?6H\Mb9EWHY7%ykyiczl;GQN[4+wi{oexn5ISL]1)ugumg~h7K]B_2/sewci|81L?6IAD09J6>O7:2C:>6G=2:K06>O3:2C>>6G92:K40>OFKZ?0ELM\149JEFU5=2CJO^=7;HC@WZojx=1BBDZ:;HLJPV14:KMMQUSKVcf|RGAIUQWG4773@DBX^Qlmq]fu56788:0ECG[S^antZcv89::==5FNHVP[fkwWl{;<=<>0:KMMQUXkdzTi|>?0218MKP23@EJOYj4INC@PZgil9:;4INC@PZgil9:;>d:KLEFRXe|r;<=>j;HMBGQYj}q:;<=?i;HMBGQYj}q:;<=?>f:KLEFRXe|r;<=>=1d9JKDESWds<=>?3038MJGD\Vg~t=>?0^kntf=NGHI_Sb|?0122a>OHIJ^Tc>?01]jiuYNGHI_Sb|?01222>OHIJ^y:6G@BDPQa>OHJLXYS`{w0123b>OHJLXYS`{w0123546<119JKGCUZVg~t=>?023e?LIEM[XTaxv?012755=NGKOY^Rczx123417a3@EII_\Pmtz34562991BCOK]R^ov|5678<;:<6G@BDPQ[hs89:;:81g9JKGCUZVg~t=>?0933?LIEM[XTaxv?012;546=5FOCGQVZiu89:;Sdc_HMAAWTXg{:;<=?;;HMAI1=NGKYj7DAMS^Pfea6:2CDN^Q]e`fz[dhc89:;>l5FOCQ\V`gcqVkeh=>?0^kntZOHJZUYiljv_`lg456798k0EBL\_Sgb`|YneyUBCO]PRdcg}42?0107?LID[Vif|Rk~0123[lkwW@EH_Rmbp^gr45679o1BCN]Pclr\at67888?7DALS^antZcv89::Sdc_HM@WZejxVoz<=>>1g9JKFUXkdzTi|>?0307?LID[Vif|Rk~0121[lkwW@EH_Rmbp^gr45659o1BCN]Pclr\at678:8?7DALS^antZcv89:8Sdc_HM@WZejxVoz<=><1g9JKFUXkdzTi|>?0507?LID[Vif|Rk~0127[lkwW@EH_Rmbp^gr45639o1BCN]Pclr\at678<8?7DALS^antZcv89:>Sdc_HM@WZejxVoz<=>:1g9JKFUXkdzTi|>?0707?LID[Vif|Rk~0125[lkwW@EH_Rmbp^gr45619o1BCN]Pclr\at678>8?7DALS^antZcv89:81g9JKFUXkdzTi|>?0907?LID[Vif|Rk~012;[lkwW@EH_Rmbp^gr456?9o1BCN]Pclr\at67808?7DALS^antZcv89:2Sdc_HM@WZejxVoz<=>61g9JKFUXkdzTi|>?1107?LID[Vif|Rk~0133[lkwW@EH_Rmbp^gr4577911BCN]Pilr7?LIK8j1BCA>Pmtz3456c3@EGOHX^IX=?5FOQU@WZojxVCD\ZM\149JKWCVl2CD^H_Paof3456582CD^H_Paof3456XadzTEB\JQ^cm`56788n0EB\JQ^ov|5678l1BC_K^_lw{45679o1BC_K^_lw{456798l0EB\JQ^ov|5678;;n7DA]EP]nq}67899m7DA]EP]nq}67899:j6G@RDS\ip~789:?=h5FOSGR[hs89:;9=0:KLVR6Xign;<=>Pilr\MJTP8Vkeh=>?00f8MJTP8Vg~t=>?0d9JKWQ7Wds<=>?1g9JKWQ7Wds<=>?10d8MJTP8Vg~t=>?033f?LIU_9Ufyu>?01125>OHZ^:Taxv?012\mhvc3@EY[=Qaou2344473@EY[=Qaou2344YneyUBC_Y?_omw45669j1BC_Y?_np34566m2CD^Z>Pos2345YneyUBC_Y?_np34566k2CD^Z>Pos23447b3@EY[=Q`r1235ZojxVCD^Z>Pos2344723@EY[4INPT5Zgil9:;>c:KLVR7Xg{:;<=?j;HMQS4Yhz9:;0EB\jae{\ekb789:Te`~PINPfeaXign;<=>>f:KLV`gcqVddx=>?1368MJTbimsTbbz?013\mhvXAFXnmiwPnnv34576m2CD^hoky^mq4567:;1BC_kndx]lv5678Vcf|RG@Rdcg}Ziu89:;=>5FORg8MJUXkdzTi|>?01g8MJUXkdzTi|>?00g8MJUXkdzTi|>?03g8MJUXkdzTi|>?02g8MJUXkdzTi|>?05g8MJUXkdzTi|>?04g8MJUXkdzTi|>?0768MJS7k2CDY=Qbuy2345b5Fn518Mk343@d=i6EJEQ]KM@TFZJBR;6B@GHABHa=KXDUJHIQ\UC]2`>JWEVKOHR]ZB^0g?IVJWHNOS^[M_2`8HUKXJ\Y_^D?k;MRN[FIRF]OYSi5CPL]@KPHSM[U846B_M^FEBZ?3`9OTHYCNOU:8l5CPL]GBCY6=h1G\@QKFG]22d=KXDUOJKQ>7`9OTHYCNOU:4l5CPL]GBCY6101G\@QKFG]1e>JWEVNMJRJWEVNMJR<;a:NSIZBANV8>m6B_M^FEBZ41i2F[ARJIF^04e>JWEVNMJR<79:NSIZBANV927A^B_EDE[1?3EZFSIHI_9;8HUKXLOLT5l5CPL][KW4X9?1GXdcjr89OPlkbz5:5m6B[ilgq8469i2F_e`k}<03=g>JSadoy0<<50?c8HQojm{6:>374LUknaw:6601GXdcjr=0==>JSadoy0>06;MVji`t;<730@Ygbes>6:<=K\`gn~1819:NWmhcu4>427AZfmdp?<;?99OPlkbz]I:?6B[ilgqPFYdeyUn}=>?0068HQojm{^HSnc_ds345669=1GXdcjrUA\ghvXmx:;<=<>4:NWmhcu\JUha}Qjq12346703E^bah|[C^antZcv89:;Sdc5:Nlea7c3Eejh_omw45669m1FmijP_`fg4567n2GscQ]erwop9776o1Ftb|PRdqvhq:697l0Aua}_Sgpqir;9;4n7@v`r^Pfwpjs484n7@v`r^Pfwpjs4;4n7@v`r^Pfwpjs4:4n7@v`r^Pfwpjs4=4n7@v`r^Pfwpjs4<4n7@v`r^Pfwpjs4?4n7@v`r^Pfwpjs4>4n7@v`r^Pfwpjs414n7@v`r^Pfwpjs40497C>=;O31?K463F>0CIM\e:MGGVYneyUDHN]>3:MQ60=HZ;H:?6A]349LV6D6=2EY?O<<;NP77>IU=:1D^;=4OS:7?Jhdmj1DbnkPaof34566m2EeohQnne2345YneyUDbnkPaof34566j2EeohQ`r12344eIr|9o0Cxz?_hos[Jss88>0Cxz>e:Mvp4YneyUDyy?>4:Mvp7cVd3Y$9<<=>001\H1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF6>VTl2ZXSnc_ds3456c3YYTo`~Pep2344bVTWjg{Sck?0122?T2384Q=194;2W;<7>0]1;14:S?2;2?0^kntZWX9Vey<=>?149QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86823[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH34R@O@WZejxVoz<=>?279QEHETWjg{Sh?012\mhvXZHGH_Rmbp^gr456798:0^LCLS^kntZTFEJY:96\NMDLg?WGJMGUfyu>?01g8VDKBFVg~t=>?00d8VDKBFVg~t=>?003e?WGJMGUfyu>?0102a>TFELDTaxv?0120b>TFELDTaxv?01205`=UIDOES`{w01230c=UIDOES`{w012304`2XJA_kndx]bja6789Uba}Q]ALPfeaXign;<=>>119QEHTbimsTmcj?01312>TFE[ojhtQnne2344YneyUYM@\jae{\ekb789;:==5]ALPfeaXe|r;<=>>1:PBIWcflpUfyu>?01326>TFE[ojhtQbuy2345769;1YM@\jae{\ip~789:9=?3068VDKUmhnrS`{w0123[lkw12XJAR\jae{2<>TFEVXnmiwPilr\VDKXZlkou<;4R@QFHa=UIZOGS`{w0123a>TF[LFTaxv?0122b>TF[LFTaxv?01225c=UIZOGS`{w012364cPmtz345669l1YMR>Pmtz345659m1YMR>Pmtz34564991YMR>Pmtz3456Xadzh7_OP1^ov|5678m1YMR?Pmtz34566m2XJSRczx123447b3[KT>Rczx123477c3[KT>Rczx12346773[KT>Rczx1234Zojxj1YMR=Pmtz3456c3[KT?Rczx12344cTaxv?01225`=UIV>Taxv?01215a=UIV>Taxv?012055=UIV>Taxv?012\mhvd3[KT9Rczx1234a=UIV?Taxv?0122a>TFW?0132a>TFW?0102`>TFW?01124>TFW?01]jiueTFW?Ufyu>?013f?WGX>Vg~t=>?003f?WGX>Vg~t=>?033g?WGX>Vg~t=>?0233?WGX>Vg~t=>?0^kntf=UIV=Taxv?012g?WGX?Vg~t=>?00g8VDY0Wds<=>?10g8VDY0Wds<=>?20f8VDY0Wds<=>?3028VDY0Wds<=>?_hosg>TFW1Ujbi>?013f?WGX0Vkeh=>?0^kntZTFW1Ujbi>?013`?WGX0Vg~t=>?0e9QEZ>Xe|r;<=>>e:PB[=Yj}q:;<=?>e:PB[=Yj}q:;<=<>d:PB[=Yj}q:;<==>0:PB[=Yj}q:;<=Qfmqa8VDY>Wds<=>?d:PB[??;SC\=Zkrp9:;TB[{l>7_K\rsf8V@UuzVddx=>?1328V@UuzVddx=>?1^kntZTB[{xTbbz?01321>THEJYo7_ABCR]bja67898;7_ABCR]bja6789Uba}Q]OLAP[dhc89:;=<>4RNO@WZejxVoz<=>?279QKHETWjg{Sh?012\mhvXZFGH_Rmbp^gr456798:0^BCLS^kntZTHEJY:>6\\d:PP[fkwWl{;<=>k;SQ\ghvXmx:;<i5]S^antZcv89:8h6\\_bos[`w789>o7_]Pclr\at678?90^YB:;Sgb`|02Xnmiw=119Qadb~W`g{S_kndx3`?WcflpUd~=>?00g8V`gcqVey<=>?_hos[WcflpUd~=>?00a8V`gcqVey<=>>1d9Qadb~Wfx;<=?Pilr\V`gcqVey<=>>169QacBhflo0^hhKoog\kw6789897_kiDnlf[jt789:Te`~PRddGkkcXg{:;<=?n;SgeBwijZZ:n7_kiFsmnVV6Imq~::6\jfGpliWU7FlrSl`k012377=UmoLyc`\\0Og{pZgil9:;?0011?WcaN{ef^^>Aeyv\ekb789;Te`~PRddEvjkU[9DntyQnne234476>2XnjK|`mSQ3J`~sWhdo<=>=339Qac@ugdXX7_kiFsmnVV6Imq~Tc>?0113?WcaN{ef^^>Aeyv\kw6789Uba}Q]egDqkhTT8GosxRa}01235a=UmoLyc`\\0Qpf65=UmoLyc`\\0Qpf[lkwW[omJabRR2Sv`76i2XnjK|`mSQ3[lkwW[omJabRR22e>TbnOxda_]81`9Qac@ugdXX;Rgbp^PfbCthe[Y<=;5]egPfu==UmoXn}D`n;SgeV`wTqgin7_kiRds\ekb789:9>6\jfSgr[dhc89:;Sdc_SgeV`wXign;<=>>109QacTbyVif|Rk~01236==UmoXn}Rmbp^gr4567W`g{S_kiRds\ghvXmx:;<=?>1:PfbWcvWjg{Sh?0131<>Tbn[ozSnc_ds3457XadzT^hh]ep]`iuYby9:;=?003g?WcaZl{Tc>?0103?WcaZl{Tc>?01]jiuYUmoXn}Ra}012353=Umo_yk55]egWqcLhb3[omYiPaof34565:2XnjX|h_`lg4567W`g{S_kiUse\ekb789::m6\jgTpdW|hd>2Xnxb{9:Pfwpjs494j7_k|umv?558f3[oxyaz310<`?Wct}e~7=?4?>`9Qavsk|5;9245]erwop97912Xnxb{<319:Pfwpjs4:4i7_k|umv?0?6912Xnxb{<5<:?Wct}e~79374Rdqvhq:1601Yi~{ct=5==>Tb{|f0506;Sgpqir;178=7_k|umv\4Zhh|9:;?013a?VO@J\YTECH@a:QJCZDR[@NSn6]FG^@VWKGJM<1X[1?15:QT87803Z]7?7?15:QT86833Zig~95\crvb?VhcZHGH_Z\;;Rqfp1=SQYO>7Ygbes:8Plkbz5:546Zfmdp?5;><\`gn~1<1a:Vji`t;;3:546Zfmdp?7;1<\`gn~YM>2:Vji`tSKVif|Rk~01236d=SadoyXNQlmq]fu5678Vcf|RZfmdpWGZejxVoz<=>?1e9WmhcuWhdo<=>?219WmhcuWhdo<=>?_hos[Qojm{Ujbi>?0134?QtnLh~j?6XLC89UM@QX\PZN?6X_Ab9UTDYneyU]\L?:;WRBvc3<^YKy~i5YP@pq[kis89::>=5YP@pq[kis89::Sdc_WRBvwYig}:;<?_hos[SocZHGH_Z\94j]`iuYby9:;<?1003?SocZHGH_Z\94j]jiuYQamXJAN]XR76h543<^`nYM@M\WS]`iuYby9:;<>>4VhfQEHET_[Uha}Qjq1234ZojxV\bh_OBCRUQ[fkwWl{;<=>>149UmaTFEJY\^Rmbp^gr4566;91]ei\NMBQTVZejxVoz<=>>_hos[SocZHGH_Z\Pclr\at6788;:96XfdSCNGVQUWjg{Sh?01004>Pnl[KFO^Y]_bos[`w7898Te`~PVhfQEHET_[Uha}Qjq12364723_co^LCLSVP\ghvXmx:;<>=?;WkgVDKD[^XTo`~Pep2346YneyU]ei\NMBQTVZejxVoz<=><1078RlbUIDIX[_Qlmq]fu567<::0Zdj]ALAPSWYdeyUn}=>?4^kntZPnl[KFO^Y]_bos[`w789>:=85YiePBIFUPZVif|Rk~012675=QamXJAN]XR^antZcv89:>Sdc_WkgVDKD[^XTo`~Pep234076=2\bh_OBCRUQ[fkwWl{;<=8<0:Tj`WGJKZ]YSnc_ds3450XadzTZdj]ALAPSWYdeyUn}=>?6036?SocZHGH_Z\Pclr\at678>9;7[gkR@O@WRTXkdzTi|>?06]jiuYQamXJAN]XR^antZcv89:<=<;4VhfQEHET_[Uha}Qjq123<66<^`nYM@M\WS]`iuYby9:;4Rgbp^Tj`WGJKZ]YSnc_ds345>69<1]ei\NMBQTVZejxVoz<=>6319UmaTFEJY\^Rmbp^gr456>W`g{S[gkR@O@WRTXkdzTi|>?08321>Pnl[KFO^Y]_bos[`w788:8<6XfdSCNGVQUWjg{Sh?002\mhvX^`nYM@M\WS]`iuYby9::<2:Tj`WGJKZ]YSb|?012157=QamXJAN]XR^mq4567;8?0Zdj]ALAPSWYhz9:;0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj0=_g5:5:6V`<1<22>^h4949:6V`<1<0=>^h494Te`~<;Yqw=>^t|NGdhhbm;^cg`56785:5o6Qnde2345:687i0Sljk01238479m2Ujhi>?01>26?69k2Ujhi>?01>26;d?<0?01>6:g=Ximn;<=>36?`8[dbc89:;0:0m;^cg`5678525n6Qnde2345:>611Tmcj?01222>Yffm:;<=Qfmq]\ekb789::46Qnne2344713Vkeh=>?1^kntZYffm:;<?20:8[dhc89:8=;5Paof3455XadzTSl`k01205==Xign;<=:>6:]bja678=Uba}QPaof3452602Ujbi>?0435?Zgil9:;9Rgbp^]bja678<;37Road1232409_hos[Zgil9:;:<64_`lg45609?1Tmcj?015\mhvXWhdo<=>8199\ekb7892::6Qnne234=YneyUTmcj?01:2<>Yffm:;<4?9;^cm`5671Vcf|RQnne234<7?3Vkeh=>>0048[dhc89;;Sdc_^cm`56688=0Sb|?01220>Yhz9:;Yhz9:;=Rgbp^]lv56798?0mijjrd9bja6789Ud~=>?0308ekb789:Tc>?01]jiuYffm:;<=Q`r123445et;2nmj45kisg`plii;2lxn95foco`?lieeVddx=>?00g8mjdjWge<=>?_hos[lieeVddx=>?0068mjdt12dJHb{{LD22<>hFLf@H>Pilr\jDBh}}FN<?0348jDBh}}FN3:lBW2=iIZUM_O94n@Q\MJD6:2dJ_RG@B^lBWZOHJfm6`NS^KLFjss>2dJ_b{{e:lBWjssWge<=>>239mEVir|Vddx=>?1^kntZhF[fSca{01225a=iIZe~xRa}012365=iIZe~xRa}0123[lkwWgKXcxzPos2345703gKdyyAJ159mEjssGLUba}QaAnwwK@723gHN^_74nCGQVZ@TJ01eNH\]_HMA3>hEOVCDN:5aBS]JKG`>0:lAVZOHJVg~t=>?0032?kDUW@EIS`{w012354763gHYSDAM_lw{4567:8;;7cL]_HMA[hs89:;?<=4nCP\MJDXe|r;<=>Pilrf?kDUW@EISb|?01216>hEZVCDNRa}0123[lkwWgHYSDAM_np34566<2dHM^m4nBCP[kis89::=h5aC@Q\jjr789;Te`~PnBCP[kis89::=;5aDUC@Od=iL]KHGRH\B`9m@QGDCVCDN;5aDhlOAa=iL`dGIRa}012365=iL`dGIRa}0123[lkwWgNbbAKPos2345713gNbbBK>2:lGmkIBW`g{ScJfnNG23>hCg|~DIk5aDnwwK@Yffm:;<=<;;oFlqqIBWhdo<=>?_hos[kBh}}ENSl`k0123542hKLZUd~=>?0^kntZhKLZUd~=>?00`8jIBTWfx;<=?>c:lO@VYhz9:;=Rgbp^lO@VYhz9:;=<:4nMUFg>hK_LUjbi>?013f?kJPMVkeh=>?0^kntZhK_LUjbi>?013f?kJPMVcf|R`CWD30?kIBj2dDIRoad12344ehHMVcf|R`@E0:8jJpbzekr==5aOwgqhdXign;<=>=6:lLr`tkipUjbi>?01]jiuYiGoy`lwPaof34566?2dYM@BXE69mVDKR_L>0b_O\4:lQAVehUGD]NSl`k0123[lkwWgXDAZKPaof345669;1e^BCXE^kntZhUGD]N=95aUEQ;?kSC[VLXN55aUEQ\MJD6<2d^H^QFOC]mQAUXAFHdyyl4nTFP[LIEg|~h7c[KS^cm`56788o0bXJ\_`lg4567W`g{Sc[KS^cm`56788i0bXJ\_omw45669l1eYI]Pnnv3457XadzTbXJ\_omw45669=1eYZKl;oWTAZgil9:;<hQEHUBCO?;;oTNEZOHJVd]ALQFOCmvpg=i^DKTEBL`uua8jSKFWhdo<=>?1d9mRHGXign;<=>Pilr\jSKFWhdo<=>?1g9mRHGXkdzTi|>?0107?kPJIVif|Rk~0123[lkwWg\FMRmbp^gr45679j1eZ@OPnnv34576m2d]ALQaou2344YneyUeZ@OPnnv34576<2d]\L64nWRB[CUE02d]\LQFOCa8jSVFWhdo<=>?1d9mRUGXign;<=>Pilr\jSVFWhdo<=>?1d9mRUGXadzTb[^N129mS@13yeyxR}lls;8tjtsWzynx<5}3:pbi==u|eUu}ki;rmvvfcXn`fnblcjd:qlqwebWeceicl=;tr:?sgk}lUhec74v`nvaZiwj11so4;?0ynf?}e>=:7<6sZkm6>77:37a>453j9h=6<:;0dym7<7=92d85?49;%1:4?5?i2wXmh4<99811g<6;=h;n;4>451:?a5?13:1=7?tS`d97<>=::m0c495127m2|M?=?50;395?6|[hl1?46524`9562e8k<1=9:?e:&01a<6mj1/>8m544g8f6>>290?n7?<:71xH6>c2;qC=hl4$37`>13c3tF84h4>{%66>if?3:17b=i6;29?l5c03:17b:;2;29?l25j3:17b:=6;29?jg?2900c9?i:188m6c22900c9?k:188k6`52900c>k8:188m`e=831b==l50;9j7c1=831d?i750;9l7`7=831b8=?50;9l04c=831b89=50;9l07e=831d8<850;9je<<722cj:7>5;n1g5?6=3f9o97>5;h630?6=3`9n:7>5;n610?6=3f9m47>5;n631?6=3f>847>5;n1ef?6=3f9mh7>5;h675;n04g?6=,;?n6?9m;o06`?6<3f85$37f>71e3g8>h7?4;n0;1?6=,;?n6?9m;o06`?4<3f8387>5$37f>71e3g8>h7=4;n0;7?6=,;?n6?9m;o06`?2<3f83>7>5$37f>71e3g8>h7;4;n0;5?6=,;?n6?9m;o06`?0<3f83<7>5$37f>71e3g8>h794;n04b?6=,;?n6?9m;o06`?><3f85$37f>71e3g8>h774;n04`?6=,;?n6?9m;o06`?g<3f8<57>5$37f>71e3g8>h7l4;h:5>5<#:=n0=0;6)<:e;:6?k42l3;07d6<:18'60c=0<1e>8j52:9j<7<72-8>i76:;o06`?5<3`2;6=4+24g9<0=i:!42m32>7c<:d;78?l1b290/>8k5849m60b=>21b;i4?:%06a?>23g8>h794;h5`>5<#:=n?k0;6)<:e;:6?k42l3307d9n:18'60c=0<1e>8j5a:9j3<<72-8>i76:;o06`?d<3`=36=4+24g9<0=i:!42m32>7c<:d;f8?l>b290/>8k5849m60b=m21b4i4?:%06a?>23g8>h7h4;h:`>5<#:h5=m0:=65f8`83>!42m32>7c<:d;31?>o?13:1(?;j:978j73c28907d67:18'60c=0<1e>8j51598m=1=83.99h475:l11a<6=21b4<4?:%06a?>23g8>h7?9;:k00d<72-8>i7=;9:l11a<732c8854?:%06a?5312d99i4>;:k002<72-8>i7=;9:l11a<532h84n4?:083>5}#;=l1=9?4H2:a?j7383:17pl<8g83>4<729q/?9h534a8L6>e3f8>m7>5;|`0g<<728k1>54?68;jeg<722e:m;4?::m00a<722c2m7>5;h614?6=3f;3<7>5;h673?6=3f83;7>5;n0e0?6=3f;?n7>5;h73>5<#:=n8j52:9j0g<72-8>i7:i;o06`?5<3`>26=4+24g90c=i:!42m3>m7c<:d;78?l20290/>8k54g9m60b=>21b8;4?:%06a?2a3g8>h794;h66>5<#:=n<=0;6)<:e;6e?k42l3307d:<:18'60c=8j5a:9j07<72-8>i7:i;o06`?d<3`>:6=4+24g90c=i:!42m3>m7c<:d;f8?l3?290/>8k54g9m60b=m21b9:4?:%06a?2a3g8>h7h4;c1;b?6=93:1>oe<3:17dl::188m=`=831i;7>55;294~"4c28q/=8>54478ylgf2900elm50;9je0<722ci47>5;n051?6=3th2<7>52;294~"4522c8yvd22909wSl:;<59ed=z{;936=4={<596337p}=3883>7}Yj116;7l7;|a51`=83;:6>4>3z&00c<647>5;h63>5<>o3;3:17d:;:188m13=831b8;4?::k73?6=3`>36=44i5;94?=n50;9a51e=8331<7>t$26e>25<@:2i7d?;3;29?l73<3:17d?;5;29?l73>3:17d?;7;29?l7303:17d?;9;29?l73i3:17b<5<?6=44i066>5<=6=44i064>5<36=44i06:>5<j6=44o31b>5<53;294~"46F<8c9j515=831b=9:50;9l66g=831v<:m:181[73j27:8h4=3`9~w01=838pR894=06`>4243ty>47>52z\6<>;6vP;1:?20f<6h6<:8;|q77?6=:rT??63>4b820==z{=>1<727p};5;296~X3=27:8n4>4`9~w10=838pR984=06g>4243ty?;7>52z\73>;6vP;9:?20a<6o6<:8;|q7`?6=:rT?h63>4e820==z{=o1<727p}:0;296~X2827:8i4>4`9~w42d2909w0?;c;00e>;65rs06g>5<5s4;?h7<9`9l5=6=831b=5:50;9j5=0=831b=5650;9j5=g=831b=5m50;9j5=c=831b=4>50;9j5<4=831b=4:50;9j5<0=831b=4650;9j732=831i=5?50;;94?6|,:>m6?::;I1;f>o6<:0;66g>4583>>o6<<0;66g>4783>>o6<>0;66g>4983>>o6<00;66g>4`83>>i5;h0;66sm19094?3=83:p(>:i:361?M5?j2c:8>4?::k201<722c:884?::k203<722e9?l4?::a5=5=8391<7>t$26e>75c3A93n6g>4283>>o6<=0;66a=3`83>>{t91:1<7{t91<1<7{t91k1<7{t91o1<7{t9081<7{t90<1<7{t;?>1<752;9j70?73;370>{zj:236=4k:28e!53n39346a<8583>>o4>k0;66g<6e83>>o4>o0;66g<7083>>o4?:0;66g<7483>>o4?>0;66g<7883>>o4?k0;66g<7e83>>o4?o0;66g<8083>>d40<0;644?:1y'71`=:=?0D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;h373?6=3`;?47>5;h37=?6=3`;?m7>5;n00e?6=3th84;4?:483>5}#;=l1>9<4H2:a?l73;3:17d?;4;29?l73=3:17d?;6;29?j44i3:17pl<8683>6<729q/?9h522f8L6>e3`;??7>5;h370?6=3f88m7>5;|q0<1<72;qU?5:4=2:4>75f3ty8:o4?:3y]73d<5:2>6<:;;|q02a<72;qU?;j4=2:6>4243ty8:k4?:3y]73`<5:2>6<::;|q034<72;qU?:?4=2:6>4203ty8;>4?:3y]725<5:2>6<:9;|q030<72;qU?:;4=2:6>42?3ty8;:4?:3y]721<5:2>6<:n;|q03<<72;qU?:74=2:6>42>3ty8;o4?:3y]72d<5:2=6<:<;|q03a<72;qU?:j4=2:5>4223ty8;k4?:3y]72`<5:2=6<:;;|q0<4<72;qU?5?4=2:5>4213ty8484?:3y>7=3=::k01>68:060?xu40?0;6?u2394966g<5:2<6<:;;|a66e=83?1<7>t$26e>3e<@:2i7d?;3;29?l73<3:17d?;5;29?l73>3:17b<4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<55;294~"46<:180>5<7s-9?j78=;I1;f>o6<:0;66g>4583>>i5;h0;66sm2g;94?5=83:p(>:i:31g?M5?j2c:8>4?::k201<722e9?l4?::a5d1=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<53;294~"488:180>5<7s-9?j78=;I1;f>o6<:0;66g>4583>>i5;h0;66sm37;94?3=83:p(>:i:7;8L6>e3`;??7>5;h370?6=3`;?97>5;h372?6=3f88m7>5;|`02f<72<0;6=u+35d92f=O;1h0e<:<:188m4232900e<:::188m4212900c?=n:188yg51m3:197>50z&00c<1j2B84o5f15194?=n9=>1<75f15794?=n9=<1<75`22c94?=zj:=;6=4::183!53n3m6;l4H2:a?l73;3:17d?;4;29?l73=3:17d?;6;29?j44i3:17pl<7583>0<729q/?9h56c9K7=d86=44i067>5<>6=44i065>5<N40k1b=9=50;9j512=831b=9;50;9j510=831d>>o50;9~f61?290>6=4?{%17b?0e3A93n6g>4283>>o6<=0;66g>4483>>o6>{e;>k1<7;50;2x 62a2?k0D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;n00e?6=3th8;n4?:483>5}#;=l1:o5G39`8m4242900e<:;:188m4222900e<:9:188k75f2900qo=8e;291?6=8r.88k49a:J05<2290;w)=;f;4b?M5?j2c:8>4?::k201<722c:884?::k203<722e9?l4?::a7=4=83?1<7>t$26e>3?<@:2i7d?;3;29?l73<3:17d?;5;29?l73>3:17b<m1C?5l4i060>5<?6=44i066>5<=6=44o31b>5<55;294~"45<7s-9?j78m;I1;f>o6<:0;66g>4583>>o6<<0;66g>4783>>i5;h0;66sm19;94?3=83:p(>:i:7c8L6>e3`;??7>5;h370?6=3`;?97>5;h372?6=3f88m7>5;|`250z&00c<1j2B84o5f15194?=n9=>1<75f15794?=n9=<1<75`22c94?=zj82m6=4::183!53n3m6;o4H2:a?l73;3:17d?;4;29?l73=3:17d?;6;29?j44i3:17pl>9283>0<729q/?9h56c9K7=d86=44i067>5<>6=44i065>5<N40k1b=9=50;9j512=831b=9;50;9j510=831d>>o50;9~f4?0290>6=4?{%17b?0f3A93n6g>4283>>o6<=0;66g>4483>>o6>{e9031<7;50;2x 62a2?30D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;n00e?6=3th9j;4?:283>5}#;=l1:>5G39`8m4242900e<:;:188k75f2900q~om:181[ge34>:m7l;;|q2e3<72;qU=l84=0c4>75f3ty2m7>52z\:e>;39j0:8>5rs503>5<5sW>9<63;1`8;b>{t91:1<7i3;3<6s|45594?5|V=><70=9a;377>;6i>0:8>5rs3:4>5<3sW83;63=8`817d=:;?k1=9:4=0c4>4233ty9j94?:3y]6c2<5;l26?=n;|q20g<72;qU=9l4=06e>42e3ty:494?:3y>501<6::31b?xu60?0;6?u218c95=0<582<6?=n;|q2<=<72;q6=4o519:894>>2;9j7p}>8`83>7}:90k1=5o4=0:a>75f3ty:4n4?:3y>52;9j7p}>9183>7}:90k1=4>4=0;2>75f3ty:5?4?:3y>56?=n;|q2=3<72;q6=4o5184894?02;9j7p}=f483>7}::o31=9=4=3d5>75f3ty9?o4?:2y>66e=::k01?h6:067?826k3;?86s|2g594?4|5;l36?=n;<0e2?73<2wx?;850;0x960f28>>70=97;00e>{t;?21<7421349=57<=838p1?6n:060?84?1388m6s|39694?4|5:236>6;;<1;7?73<2wx870<7a;370>;5n?0:8>5rs246>5<5s49=m7<70:>c;00e>{t;?h1<760e349=o7<67:24g?851m388m6s|37d94?4|5:236>8i;<144?44i2wx?:?50;0x96>?2:=:70=82;00e>{t;>91<7614349<87<67:256?850>388m6s|36d94?4|5:236>9i;<1;4?44i2wx?;:50;0x94?f2:{t;1;1<76>63493>7<67:254?8500388m6s|36;94?4|5:236>96;<14e?44i2wx?:l50;0x96>?2:=i70=8c;00e>{t;>n1<761c3494=06e>06<5;9h6<::;<0;=?73<279j54>479>5=3=9=>01<68:067?87?13;??63>8c8203=:91n1=984=0:e>42134;2=7?;6:?2=6<6=70?69;372>"451`=>m5154897>>28>870;60<0:8;521959510<58226<:9;<3;f?73=27:4i4>459>5=`=9=>01<7>:060?87>;3;?963>948200=:90=1=9;4=0;:>4223-9?:7:i;o171?7o6=4>0z\7`>;642334;397?;3:?2<2<6<<16=575157894>e28>?70?7d;377>;60o0:88521839513<58386<:;;<3:1?73;27:5:4>459>50(>:9:5d8j6222;1v9l50;33[2e34;?j7:m;<00g?73;278::4>429>6c>=9=901<6::066?87??3;??63>888201=:91h1=9=4=0:g>42234;3j7?;3:?2=4<6<=16=4=5151894?228>?70?67;377>;6100:8>5+35490c=i;=?1?6s|4883>6}Y<016=9h5489>73?=9=<0(>:9:5d8j6222=1v9650;1xZ1><58>m6964=24:>4223-9?:7:i;o171?3<6=4<{_64?873n3><70=99;370>"43:1?vP;6:?20c<3>278:44>429'710=423349<<7?;5:?037<6<=16?::51568961128>870=88;372>;4?h0:8;5236a9510<5:=n6<:9;<1;4?73>2784?4>479'710=424349<<7?;6:?037<6=70=88;370>;4?h0:8>5236a9513<5:=n6<::;<1;4?73=2784?4>449'710=5215d906=:;?i1=984=24f>421349<<7?;4:?037<6<:16?::51578961128>>70=88;371>;4?h0:885236a9512<5:=n6<:<;<1;4?73<2784?4>459'710=422349<<7?;3:?037<6<<16?::51518961128>?70=88;377>;4?h0:895236a9515<5:=n6<:;;<1;4?73;2784?4>429'710={t<90;6?uQ419>51`=<91/?9854g9m713=l2wx954?:3y]1==:9=l1955+35490c=i;=?1i6s|5683>7}Y=>16=9h5569'710==838p1>6i:37b?826i3h37psm44494?542:919:uC39f95~N6mk1v@>6j:3ym00?=?6?uk:0`9ylge2900c9=<:188m6b02900c9<9:188k17a2900c>h=:188m:o50;&11`<5?k1e>8j51:9l6=3=83.99h4=7c9m60b=:21d>5:50;&11`<5?k1e>8j53:9l6=5=83.99h4=7c9m60b=<21d>5<50;&11`<5?k1e>8j55:9l6=7=83.99h4=7c9m60b=>21d>5>50;&11`<5?k1e>8j57:9l62`=83.99h4=7c9m60b=021d>:k50;&11`<5?k1e>8j59:9l62b=83.99h4=7c9m60b=i21d>:750;&11`<5?k1e>8j5b:9j0a<72-8>i7:l;o06`?6<3`>i6=4+24g90f=i:!42m3>h7c<:d;08?l2?290/>8k54b9m60b=;21b8:4?:%06a?2d3g8>h7:4;h65>5<#:=n<<0;6)<:e;6`?k42l3<07d:;:18'60c=8j57:9j06<72-8>i7:l;o06`?><3`>96=4+24g90f=i:!42m3>h7c<:d;c8?l27290/>8k54b9m60b=j21b954?:%06a?2d3g8>h7m4;h74>5<#:=n=?0;6)<:e;6`?k42l3o07d;::18'60c=8j5f:9j11<72-8>i7:l;o06`?7732c>?7>5$37f>1e5<#:h5=m0:?65f4`83>!42m3>h7c<:d;37?>d40o0;6<4?:1y'71`=;6m;n06e?6=3th9jl4?:g82>c}K;1n1=vF>ec9~H6>b28q/88655:'71`=9:i0eo:50;9l61d=831d>9j50;9l61`=831d>8?50;9l605=831d>8;50;9l601=831d>8750;9j5`2=831b=h;50;9j5`0=831b=h950;9j5`>=831b=h750;9a56d=83l1o7?<{M1;`?7|@8oi7p*<4g81bd=n9l>1<75f1d794?=n9l<1<75f1d594?=n9l21<75f1d;94?=nj?0;66a=4c83>>i5>i5=80;66a=5283>>i5=<0;66a=5683>>i5=00;66l>0g83>6<62:qG?5j51zJ2ag=z,:>m6<>j;h`;>5<6=44ic694?=e?3:1?7>50z&00c<68j1C?5l4L2:g>4}#9<:188;4}hc6>5<1<7>950;0x92<5><1U>;;4}r000;6>4>:2yO7=b=9rB:io5r$26e>46b3`h36=44o346>5<1<75m7;297?6=8r.88k4>0b9K7=d6=44ic:94?=h:??1<75rsc694?4|Vk>01:4n5:p661=838p1:4=649]63352z\a<>;02k20qpl>2883>6<62:qG?5j51zJ2ag=z,:>m6<>j;h`;>5<6=44ic694?=e?3:1?7>50z&00c<68j1C?5l4L2:g>4}#9<:188;4}hc6>5<1<7>950;0x92<5><1U>;;4}r005<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm10094?5=83:p(>:i:31g?M5?j2c:8>4?::k201<722e9?l4?::p541=838pR50;0xZ47734;:>7<51<75f1d:94?=n98=1<75f11d94?=n9l<1<75f1d;94?=n9;31<75`10:94?=e9;=1<7;50;2x 62a2?30D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;n00e?6=3th:=l4?:483>5}#;=l1:l5G39`8m4242900e<:;:188m4222900e<:9:188k75f2900qo?>b;297?6=8r.88k494:J05<4290;w)=;f;41?M5?j2c:8>4?::k201<722e9?l4?::a54c=8391<7>t$26e>34<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<7>55;294~"45<7s-9?j78;;I1;f>o6<:0;66g>4583>>i5;h0;66sm13694?5=83:p(>:i:708L6>e3`;??7>5;h370?6=3f88m7>5;|`263<72?0;6=u+35d9615<@:2i7d?;3;29?l73<3:17d?;5;29?l73>3:17d?;7;29?j44i3:17p}>e583>1}Y9l>01<<8:060?876i3;?963>238200=z{8o36=4<{_3f<>;6:>0:8952130951055z\252=:9;=1=9;4=03b>42434;9<7?;4:?267<6<:1v<>i:186[77n27:>:4>479>54d=9=>01a;370>;6:;0:895rs0g:>5<5sW;n563>1`8203=z{8826=4:{_31=>;69k0:8>5210g9515<588;6<:<;<317?73;2wx=<650;0xZ47?34;9:7<3;??6s|10c94?4|58;h6?=n;<312?73<2wx={t98l1<775f34;9:7?;6:p577=838p1<<;:31b?875>3;?;6s|10`94?4|58;j6?=n;<32g?73;2wx=c;370>{t9;81<775f34;987?;3:p575=838p1<<<:31b?875<3;?86srb01:>5v*<4g827<=n9;31<75f11d94?=n9l21<75f1d494?=n9l>1<75f1d794?=n9l=1<75f1d;94?=n98=1<75`13c94?=e9:21<7;50;2x 62a2?i0D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;n00e?6=3th:>n4?:483>5}#;=l1:o5G39`8m4242900e<:;:188m4222900e<:9:188k75f2900qo?=d;297?6=8r.88k494:J05<4290;w)=;f;41?M5?j2c:8>4?::k201<722e9?l4?::a566=83<1<7>t$26e>3`<@:2i7d?;3;29?l73<3:17d?;5;29?l73>3:17d?;7;29?j44i3:17pl>3383>6<729q/?9h5629K7=d86=44i067>5<N40k1b=9=50;9j512=831b=9;50;9l66g=831vn<=9:187>5<7s-9?j788;I1;f>o6<:0;66g>4583>>o6<<0;66a=3`83>>{e9:=1<7950;2x 62a2;>?7E=7b:k206<722c:894?::k200<722c:8;4?::k202<722c:854?::m17d<722wx=?750;4xZ44>34;847?;3:?26f<6<=16=>>51518945328>870?<6;377>{t99l1<78t^02e?87403;?863>2b8206=:9::1=9:4=011>42434;887?;5:p5`>=839pR27:?;4>449~w4c1290?wS?j6:?27=<6<<16=?j51568945728>=7p}>e583>1}Y9l>01<378201=z{8o>6=4={_3f1>;6:m0:8>5rs0g4>5<5sW;n;63>2b8203=z{8o26=4={_3f=>;6;90:8:5rs034>5<4sW;:;63>338201=:9:>1=9:4}r31e?6=:rT:>l52125966g52z?27=<5;h16=>951518yv75k3:1>v3>2d817d=:9:=1=9:4}r31b?6=:r7:?=4=3`9>561=9=?0q~?<1;296~;6;;09?l52125951052z?271<5;h16=>951558yv74=3:1>v3>37817d=:9:=1=964}r31`?6=:r7:>n4=3`9>57c=9=90q~?=e;296~;6:m09?l5213g95125}#;=l1::5G39`8m4242900e<:;:188m4222900c?=n:188yg43m3:187>50z&00c<1?2B84o5f15194?=n9=>1<75f15794?=h::k1<75rb373>5<3290;w)=;f;4;?M5?j2c:8>4?::k201<722c:884?::m17d<722wi>8<50;694?6|,:>m6;84H2:a?l73;3:17d?;4;29?l73=3:17b<>1C?5l4i060>5<?6=44i066>5<N40k1b=9=50;9j512=831b=9;50;9l66g=831v<>i:18b877n38=963>17824c=:9;21==h4=01:>46a348?o7?;3:?10`<6<<16>8>51578973528>>70<:4;377>;5=10:8>5rs034>516=?651058945>28;<70<;c;370>;5459~w44>290jw0?=9;051>;69?0:>45213:957?<58926<<6;<07g?73=2798h4>429>606=9=901?;=:060?842<3;?863=598200=z{8o?6=4<{_3f0>;6:10:i95212;95`252z\2a0=:9:31=h;4}r3f2?6=;rT:i;5213:95`0<589264c03ty:i54?:2y]5`><5883634;947?j9:?27<<6m01vo850;6xZg0<58:m6o:4=034>g2<58826o:4}r07f?6=:rT98o5225a966g52z\10a=::=o1>>o4}r07b?6=:rT98k52242966g=7>52z\114=::<81>>o4}r067?6=:rT99>52246966g;7>52z\112=::<21>>o4}r324?6=:r7:{t9;k1<7g><58926<i6=4={_07f>;6;k098o5rs36g>5<5sW8?h63>3c810a=z{;>m6=4={_07b>;6;k098k5rs372>5<5sW8>=63>3c8114=z{;?86=4={_067>;6;k099>5rs376>5<5sW8>963>3c8110=z{;?<6=4={_063>;6;k099:5rs37:>5<5sW8>563>3c811<=z{8o?6=4={_3f0>;6;k0:i95rs0g6>5<5sW;n963>3c82a0=z{8o=6=4={_3f2>;6;k0:i;5rs0g4>5<5sW;n;63>3c82a2=z{8o36=4={_3f<>;6;k0:i55rs0g:>5<5sW;n563>3c82a<=zuk3h6=4<:080I5?l3;pD>47;4}%17b?4782ci47>5;n051?6=3`h?6=44b683>6<729q/?9h511a8L6>e3`k>6=44ic:94?=h:??1<75rsc694?4|Vk>01:4n5:p661=838p1:4=649]63352z\a<>;02k20qpl<2383>6<62:qG?5j51zJ2ag=zD:2n6{#;=l1>=>4ic:94?=h:??1<75fb583>>d029086=4?{%17b?77k2B84o5fa483>>oe03:17b<95;29?xue<3:1>vPm4:?4>d352z?4>7023W8=96s|22:94?4|Vk201:4m8:~f65629086<4<{M1;`?7|@8oi7pB<8d82!2203?0q)=;f;034>oe03:17b<95;29?ld32900n:4?:283>5}#;=l1==m4H2:a?lg22900eo650;9l633=831vo:50;0xZg2<5>0j96s|22594?4|5>09:85Q2778yv4403:1>vPm8:?4>g>=4?:282>6}K;1n1=vF>ec9~H6>b28q/88655:'71`=:9:0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|qa0?6=:rTi8638:`78yv44?3:1>v38:346?[41=2wx>>650;0xZg><5>0i46srb20g>5<42808wA=7d;3xL4ce3tF84h4>{%665;h`7>5<0;6>4?:1y'71`=99i0D>6m;hc6>5<1<7>950;0x92<5><1U>;;4}r00d}#<<2196*;5680gd=#<=l1n55+4439633<,=>o6o:4n56:>4=#<=o1n85a45c95>"3=:088o5a45`94>{#;=l1>=o4ic694?=nj<0;66gm8;29?j41=3:17d=;b;29?g1=83?1>7;tL2:g>4}O9lh0q)=;f;3e0>oe03:17b<95;29?ld32900eo;50;9jef<722h<6=4::183!53n3;;h6F<8c9jed<722cjo7>5;hc6>5<:183!53n3;?=6F<8c9l516=831vo:50;0xZg2<5>0j96s|b483>7}Yj<16;7on;|q172<72;q6?5m5152892>650;0x92<5><1U>;;4}r00=?6=:rTi4638:c:8yxd4k80;6>4?:1y'71`=::o0D>6m;h377?6=3`;?87>5;n00e?6=3tyi87>52z\a0>;02k>0q~l::181[d2349h=7?;4:p633=838pR?8:;<5963353z\00g=:?3h370=l1;377>{t;j:1<7;4k809?l5r}c0;f?6=;3;1?vB<8e82M7bj2wG?5k51z&71=<23t.88k4=0c9jf=<722e9:84?::ka0?6=3k=1<7=50;2x 62a28:h7E=7b:N0<7::5:je0<722ci47>5;n051?6=3tyi87>52z\a0>;02h?0q~<<7;296~;02;<>7S<95:p66>=838pRo64=68a<>{zj;326=4<:080I5?l3;pD>47;4}%17b?47k2ci47>5;n051?6=3`h?6=44b683>6<729q/?9h511a8L6>e3E93h7?t$073>1323tcj97>5;h`;>5<6=44}r`7>5<5sWh?7095a49~w7502909w0952778Z7023ty9?54?:3y]f==:?3h37psm2`494?5=939p@>6k:0yK5`d0=z,:>m6?>k;h`;>5<6=44ic694?=e?3:1?7>50z&00c<68j1C?5l4L2:g>4}#9<:188;4}hc6>5<1<7>950;0x92<5><1U>;;4}r004=:5yO7=b=9rB:io5rL2:f>4}#<<2196s+35d965c5<7s-9?j7??c:J0599K7=d96=44o31b>5<97p}=3683>7}:?3k>70l8:31b?xu5;10;6?u27;051>X5><1v?=6:181[d?34=1n55r}c0:2?6=03<1hv*<4g81=3=h:1i1<75f2gf94?=n;8:1<75f9`83>>o50k0;66g=fd83>>o49l0;66g=9683>>d51<0;6>4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<53;294~"4t$26e>35<@:2i7d?;3;29?l73<3:17b<6m;h377?6=3`;?87>5;h371?6=3f88m7>5;|q15m4=3;7>75f3ty9ji4?:3y]6cb<5;2m6<::;|q055<72;qU?<>4=3:e>4243ty2m7>54z\:e>;51<0:8>5229g9515<5;3:6<:<;|q15l4=3;6>4233482?7?;4:p6cc=838pR?hj;<0:5?73=2wx?5j50;0x97?22;9j70<7e;370>{t::=1<775f3483j7?;4:p66>=838p1?6i:31b?84><3;??6s|28294?4|5;3:6?=n;<0:0?73<2wx>4<50;0x97?42;9j70<64;371>{zj;k86=47:28b!53n38j?6a=9d83>>o5nm0;66g<1083>>o49k0;66g=9`83>>o5nl0;66g<1583>>o51j0;66l=a383>0<729q/?9h56b9K7=d86=44i067>5<>6=44i065>5<N40k1b=9=50;9j512=831b=9;50;9l66g=831vn?o>:180>5<7s-9?j7<5<5sW82i63=a0817d=z{;lo6=4={_0e`>;5i;0:8;5rs232>5<5sW9:=63=a38206=z{:;i6=4={_12f>;5i;0:895rs3;b>5<5sW82m63=a38200=z{;ln6=4={_0ea>;5i90:885rs237>5<5sW9:863=a18201=z{;3h6=4={_0:g>;5i90:8>5rs3;e>5<5s48j>7<5a;79a~"41<75m2`g94?2=83:p(>:i:758L6>e3`;??7>5;h370?6=3`;?97>5;n00e?6=3th9m44?:283>5}#;=l1>>j4H2:a?l73;3:17d?;4;29?j44i3:17pl=a`83>6<729q/?9h5639K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f7gc290=6=4?{%17b?43;2B84o5f15194?=n9=>1<75f15794?=n9=<1<75f15594?=h::k1<75rs3c4>5<5sW8j;63=ae817d=z{;lo6=4={_0e`>;5ih0:8>5rs232>5<4sW9:=63=ad8206=::h31=9=4}r12f?6=:rT8=o522`g951252z\1=d=::ho1=9;4}r0eb?6=:rT9jk522`f951252z\1bg=::hn1=9;4}r134?6=:rT8<=522`f951052z\1b`=::hi1=9=4}r120?6=:rT8=9522`a951252z?1e`<5;h16>l751568yv44?3:1>v3=a8817d=::hk1=9:4}r006db=9=90q~3}#;=l1>o;4o35:>5<6<729q/?9h5639K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f7d429086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{;=26=4={_04=>;5j:09?l5rs3c5>5<4sW8j:63=b58206=::k81=9=4}r6b>5<5sW>j70{t<90;6?uQ419>6g4=9=>0q~52z?1f7<5;h16>o=51568yxd5jk0;694<:7y'71`=:kh0c?9k:188m7g12900e8?50;9j04<722h9nl4?:283>5}#;=l1:?5G39`8m4242900e<:;:188k75f2900qo5<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~w71c2909wS<8d:?1f<<5;h1v?o9:180[4f>279nl4>429>6g>=9=90q~;>:181[36348im7?;4:p04<72;qU8<522c:951252z?1fd<5;h16>o751518yv4e?3:1>v3=b9817d=::k31=9:4}|`1g4<72=086;u+35d96f75<>d5k90;6>4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<53;294~"45<7s-9?j7<5<5sW8;5k90:8>522cg95157}Y<;16>ok51568yv4ek3:1>v3=c1817d=::kl1=9=4}r0a`?6=:r79nh4=3`9>6g`=9=>0qpl=c683>1<42?q/?9h52b58k71a2900e?o9:188m05=831b8>4?::`1g3<72:0;6=u+35d927=O;1h0e<:<:188m4232900c?=n:188yg4d<3:1?7>50z&00c<1;2B84o5f15194?=n9=>1<75`22c94?=zj;i>6=4<:183!53n388h6F<8c9j515=831b=9:50;9l66g=831v?9i:181[40n279o84=3`9~w7g12908wSn:51518yv342909wS;<;<0`2?73<2wx8>4?:3y]06=::j>1=9:4}r0`6?6=:r79o;4=3`9>6f3=9=90q~3}#;=l1>nj4o3:3>5<6<729q/?9h5639K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f7ee29086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{;2;6=4={_0;4>;5kk09?l5rs3c5>5<4sW8j:63=cb8206=::jk1=9=4}r77>5<5sW??70{t<=0;6?uQ459>6fg=9=>0q~52z?1gd<5;h16>nl51568yxd5l:0;694<:7y'71`=:m90c?6>:188m7g12900e8;50;9j00<722h9h?4?:283>5}#;=l1:?5G39`8m4242900e<:;:188k75f2900qo5<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~w7>62909wS<71:?1`4<5;h1v?o9:180[4f>279h?4>429>6a6=9=90q~;::181[32348o>7?;4:p00<72;qU88522e2951252z?1`7<5;h16>i?51518yv4dn3:1>v3=d1817d=::m;1=9:4}|`1`<<72=086;u+35d96a?5<>d5l10;6>4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<53;294~"45<7s-9?j7<5<5sW83>63=d6817d=z{;k=6=4<{_0b2>;5l10:8>522e495157}Yi851568yv4c<3:1>v3=d9817d=::m=1=9=4}r0g1?6=:r79h;4=3`9>6a1=9=>0qpl=dg83>1<42?q/?9h52ed8k7>42900e?o9:188m01=831b8:4?::`1``<72:0;6=u+35d927=O;1h0e<:<:188m4232900c?=n:188yg4ck3:1?7>50z&00c<1;2B84o5f15194?=n9=>1<75`22c94?=zj;no6=4<:183!53n388h6F<8c9j515=831b=9:50;9l66g=831v?6<:181[4?;279hi4=3`9~w7g12908wSim51518yv302909wS;8;<0ga?73<2wx8:4?:3y]02=::mi1=9:4}r0ge?6=:r79hh4=3`9>6ab=9=90q~3}#;=l1>h94o3:7>5<6<729q/?9h5639K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f7c229086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{;2?6=4={_0;0>;5m<09?l5rs3g3>5<4sW8n<63=e78206=::l>1=9=4}r7;>5<5sW?370{t<10;6?uQ499>6`2=9=>0q~52z?1a1<5;h16>h;51568yxd5mm0;694<:7y'71`=:ln0c?6::188m7g12900e9l50;9j0<<722h9in4?:283>5}#;=l1:?5G39`8m4242900e<:;:188k75f2900qo5<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~w7>22909wS<75:?1ag<5;h1v?o9:180[4f>279in4>429>6`g=9=90q~:m:181[2e348no7?;4:p0<<72;qU84522dc951252z?1af<5;h16>hl51518yv4b13:1>v3=e`817d=::lh1=9:4}|`1e0<72:0;6=u+35d966c<@:2i7d?;3;29?l73<3:17b<6m;h376?6=3f88m7>5;|`773<72;0;6=u+35d950><@:2i7d?;2;29?j44i3:17pl;1483>7<729q/?9h514:8L6>e3`;?>7>5;n00e?6=3th?=44?:383>5}#;=l1=864H2:a?l73:3:17b<6m;h376?6=3f88m7>5;|`05c<72:0;6=u+35d927=O;1h0e<:<:188m4232900c?=n:188yg56l3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<56;294~"4>o50;9~f67?29086=4?{%17b?053A93n6g>4283>>o6<=0;66a=3`83>>{e;;>1<7=50;2x 62a2?90D>6m;h377?6=3`;?87>5;n00e?6=3th8?=4?:283>5}#;=l1:>5G39`8m4242900e<:;:188k75f2900qo=?f;297?6=8r.88k4=3e9K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f14?29086=4?{%17b?033A93n6g>4283>>o6<=0;66a=3`83>>{e<:?1<7;50;2x 62a2?i0D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;n00e?6=3th?=94?:483>5}#;=l1:n5G39`8m4242900e<:;:188m4222900e<:9:188k75f2900qo:>8;297?6=8r.88k493:J05<2290;w)=;f;4a?M5?j2c:8>4?::k201<722c:884?::k203<722e9?l4?::a743=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<0;694?:1y'71`=>?1C?5l4i060>5<?6=44i066>5<86=44i067>5<N40k1b=9=50;9j512=831b=9;50;9l66g=831vn?7k:180>5<7s-9?j78=;I1;f>o6<:0;66g>4583>>i5;h0;66sm3g694?4=83:p(>:i:07;?M5?j2c:8?4?::m17d<722wxmo4?:by]eg=::ok1n9529b8a0>;4:;0i863<308a0>;4:90i863<2e8a0>;48m0i863=8c8a0>;5100i863=a78a0>;4n;0i86s|42194?4|V=9870:<6;00e>{t;m=1<7;t^2f4?82503;??63;348206=:<8>1=9=4=53;>4243ty?>;4?:3y]070<5=826?=n;|q75c<72:qU873f349;h7l7;|q0b7<72;qU?k<4=2d1>7023ty2m7>5az\:e>;5nh0:i9529b8a<>;51?02m63<1b8201=:;891=9;4=236>424349:;7?;5:?1=g<6<:16>4j51518yv55m3:1>vP<2d9>767=j11v?o;:181[4f<279m84=3`9~w1712909wS:>6:?75<<5;h1v9><:181[27;27?=94>449~w6462909wS==1:?067947?;4:?770<6<=168<:51568917?28>?7p};2`83>7}Y<;k019=::066?xu50>0;65uQ295897`f28o=70;49j0:8;522d39512<5:;86<:;;<123?73;2795o4>459~w1432909wS:=4:?760<5;h1v?h;:181[4a<27?>84>439~w1752909wS:>2:?750<5;h1v>420349:97?;4:?052<6<=16>4j51568yv55n3:1>v3<2e8a<>;4;909?l5rs3;4>5<5s482:7<67:?1==<5;h1v4m50;1x97`f28o>707l:346?856;3;??6s|31f94?5|5::o6?8:;<110?73;278?=4>429~w1702909w0:>9;376>;39109?l5rs517>5<5s4>8:7?;2:?770<5;h1v?k?:18184b?38n<63=e0817d=z{:;i6=4;{<0b7?56j279mk4<1c9>74b=9=>01>?l:31b?xu5nk0;6>u22gc961d<5;km6?hm;<13b?73;2wx??>50;1x97`f28o<70==0;051>;49:0:8;5rs3d`>5<5s48mm7<;d:?04c<6<=1v?hk:18484ai38?j63=9781ba=::h91>kj4=3ce>7`c349:o7?;5:?05=<6<:16>4651518yv4>i3:1?v3=a281=d=::hl1>4o4=3;a>75f3ty9jh4?:7y>6cg=:<;01?79:3df?84f;38mi63=ag81b`=:;8l1=9=4=3;;>4233ty95n4?:3y>6d5=:0i01?7k:31b?xu5no0;6?u22gc9605<5;km6?hi;|q045<72;q6>ko5245897ga2::;7p};1283>7}:<8?1=9<4=537>75f3ty8j>4?:3y>7c4=j116?k:522c8yv4f>3:1ov3=a78120=::k?1>l84=3`a>7g1348h=7nj52`4897b42;k=70;5lo09m;522df96d0<5;o:6<:<;<1e0?73:2wx>l950;0x97g12k201?oi:3c4?xu4900;6?u230:9512<5:;j6?=n;|q055<72;q6>4853028967c2;9j7p}<3083>7}:;:;1>;;4=213>4233ty8=h4?:3y>6<0=;8o01>?i:31b?xu48l0;6?u231f9f0=:;9l1>>o4}r125?6=4<109>6d`=;8;01>?k:060?8560388m6s|29`94?1|5;lj674e=9=9019=::065?826<3;?:63=9c8200=z{:886=4={<114?d?349987<<=:346?857l39?n63<258201=z{:;96=4={<127?44i278=l4>429~w673290?w0;5io08=952307966g<5:;j6<:;;|q053<72;q6?<9522c8967f28>>7p}=9883>7}::031>;;4=3c6>4233ty95h4?:3y>6l=528g8yv25?3:1>v3;288207=:<;21>>o4}r0;g?6=:r794o4m8:?1=3<50j1v?6::181[4?=279ii4=849'710=:>h0b>:::39~w7>32909wS<74:?1a2<50=1/?98526`8j6222:1v?6<:181[4?;279hk4=829'710=:>h0b>:::59~w7>52909wS<72:?1`<<50;1/?98526`8j6222<1v?6>:181[4?9279h>4=809'710=:>h0b>:::79~w7>72909wS<70:?1ga<5091/?98526`8j6222>1v?9i:181[40n279o:4=7g9'710=:>h0b>:::99~w71b2909wS<8e:?1g4<5?l1/?98526`8j622201v?9k:181[40l279no4=7e9'710=:>h0b>:::`9~w71>2909wS<89:?1f0<5?01/?98526`8j6222k1v9j50;0xZ1b5<4sW>27S<8c:?1aa<312.88;4;c:l000<53ty?47>52z\7<>;5m>0?46*<4787g>h4<<087p};7;296~X3?279hk4;7:&003<3k2d8884;;|q72?6=:rT?:63=d8872>"4vP;5:?1`6<3=2.88;4;c:l000<13ty?87>52z\70>;5km0?86*<4787g>h4<<0<7p};3;296~X3;279o:4;3:&003<3k2d88847;|q76?6=:rT?>63=c0876>"4vP;1:?1fg<392.88;4;c:l00052z\74>;5j<0?<6*<4787g>h4<<0i7p}:8;296~X20279i:4:8:&003<3k2d8884l;|q63?6=:rT>;63=dg863>"43:1>vP:6:?1`<<2>2.88;4;c:l00097>52z\61>;5l:0>96*<4787g>h4<<0m7p}:4;296~X2<279oi4:4:&003<3k2d8884>0:p16<72;qU9>522b5916=#;=<18n5a357954=z{<81<7:9:5a8j6222880q~;>:181[36348in7;>;%172?2d3g9?97?<;|q7e?6=:rT?m63=b487e>"4{zj:n;6=4>3;04>63|D:2o6:i:05a?lge2900e<8::188m4g12900e<;6:188m6b02900e4o50;9l5d>=831b8==50;9j5=6=831d=o;50;9j011=831b8?o50;9l55;n1f0?6=3k8>j7>5b;39f~J40m0:wE?jb:O7=c=9r.?954;;|&00c<6;m1bn94?::m10g<722e98i4?::m10c<722e99<4?::m116<722c:i94?::k2a0<722c:i;4?::k2a2<722c:i54?::`27g<72k026kuC39f95~N6mk1v(>:i:37e?l7b<3:17d?j5;29?l7b>3:17d?j7;29?l7b03:17dl9:188k72e2900c?:k:188k72a2900c?;>:188k7342900n<>i:180>4<4sE93h7?tH0ga?x"4>i5><0;66gm4;29?g1=8391<7>t$26e>46d3A93n6B<8e82!7283>>96sfa483>>oe03:17b<95;29?xue<3:1>vPm4:?4>d352z?4>7023W8=96s|22:94?4|Vk201:4m8:~f47029086<4<{M1;`?7|@8oi7p*<4g824`=nj10;66a=6483>>oe<3:17o950;194?6|,:>m6<>l;I1;f>J40m0:w)?:0;661>{ni<0;66gm8;29?j41=3:17p}m4;296~Xe<27<6l;4}r003?6=:r7<6?8:;_051>{t::21<77<2s-9?j7?>6:k24c<722c:i54?::k252<722e:==4?::`256<72:0;6=u+35d927=O;1h0e<:<:188m4232900c?=n:188yg76:3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<52z\24c=:9891=9=4}r3f52z\252=:9881=9:4}r324?6=:rT:==52100966g52z?256<5;h16=<<51518yxd6:10;6549:ey'71`=9;20e5<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm10c94?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`25`<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg7583:187>50z&00c<1>2B84o5f15194?=n9=>1<75f15794?=h::k1<75rb001>5<2290;w)=;f;4a?M5?j2c:8>4?::k201<722c:884?::k203<722e9?l4?::a570=83<1<7>t$26e>7243A93n6g>4283>>o6<=0;66g>4483>>o64683>>i5;h0;66s|1d694?4|V8o?70?=7;370>{t99l1<7;t^02e?875?3;??63>1`8206=:9;:1=9:4=001>4233ty:=:4?:5y]541<58;j6<:;;<32a?73<27:>=4>429~w4c?2908wS?j8:?25`<6<:16=?>51578yv7b=3:1>vP>e49>574=9=?0q~?j6;296~X6m?16=?<51548yv7b?3:1>vP>e69>574=9=90q~?>8;296~X69116=?8522c8yv7613:1>v3>26817d=:9;<1=9=4}r32e?6=:r7:=l4=3`9>570=9=>0q~?>d;296~;69l09?l52134951352z?265<5;h16=?851548yv7593:1>v3>23817d=:9;<1=994}|`10f<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg43m3:1?7>50z&00c<1:2B84o5f15194?=n9=>1<75`22c94?=zj;?;6=4<:183!53n3<87E=7b:k206<722c:894?::m17d<722wi>8<50;194?6|,:>m6;:4H2:a?l73;3:17d?;4;29?j44i3:17pl=5583>6<729q/?9h522f8L6>e3`;??7>5;h370?6=3f88m7>5;|q24c<721q6==h52778947128:m70?=8;33b>;55225g9512<5;?;6<:;;<066?73<279994>459~w4702903w0?>7;051>;69?0:=:5213:9541<5;>h6<:;;<07a?73;2799=4>429>604=9=901?;;:060?xu6m=0;6?uQ1d68944?28o?7p}>e483>7}Y9l?01<<7:0g6?xu6m?0;6?uQ1d48944?28o=7p}>e683>7}Y9l=01<<7:0g4?xu6m10;6>uQ1d:8947128o370?=8;3f<>{tj?0;6>uQb79>55`=j=16=<95b59~w72e2909wS<;b:?10f<5;h1v?:k:181[43l2798h4=3`9~w72a2909wS<;f:?115<5;h1v?;>:181[4292799?4=3`9~w7342909wS<:3:?111<5;h1v6;324>{t9821<7g><58836i6=4={_07f>;6;k098o5rs36g>5<5sW8?h63>3c810a=z{;>m6=4={_07b>;6;k098k5rs372>5<5sW8>=63>3c8114=z{;?86=4={_067>;6;k099>5rs0g7>5<5sW;n863>3c82a1=z{8o>6=4={_3f1>;6;k0:i85rs0g5>5<5sW;n:63>3c82a3=z{8o<6=4={_3f3>;6;k0:i:5rs0g;>5<5sW;n463>3c82a==zuk;=87>53;397~J40m0:wE?jb:O7=c=9r.?954;;|&00c<58o1bn54?::m120<722ci87>5;c594?5=83:p(>:i:02`?M5?j2F84i4>{%364?22=2wbm84?::ka5;|qa0?6=:rTi8638:`78yv44?3:1>v38:346?[41=2wx>>650;0xZg><5>0i46srb0a7>5<22;0>wA=7d;3xL4ce3tF84h4>{%660:ka5;h`7>5<>d0290>6=4?{%17b?77l2B84o5fa`83>>ofk3:17do::188mg>=831d>;;50;9~f6>d290:6=4?{%17b?7392B84o5`15294?=z{k>1<77}:;1i1=9>4=68bg>{t::21<76j:9y'00>=<2.?9:40b9:6:09'005=;=h0b9:m:09~ 62a28l=7dl;:188mg>=831d>;;50;9j71d=831i;7>53;397~J40m0:wE?jb:'71`=9o>0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|qa0?6=:rTi8638:`78yv44?3:1>v38:346?[41=2wx>>650;0xZg><5>0i46srb2ca>5<5290;w)=;f;36<>N40k1b=9<50;9l66g=831vo:50;0xZg2<5>0i86s|27794?4|V;<>70952778yv53j3:1>vP<4c9>7dd=9=80q~=na;296~;02k201>om:31b?x{ej80;694>:2yO7=b=9rB:io5rL2:f>=}#<<2186*;5680gd=#<=l1n55+4439633<,=>o6o:4n56:>4=#<<91?9l4n56a>5=z,:>m65<{I3ff>{#;=l1=k:4ic:94?=h:??1<75fb583>>d029086=4?{%17b?77k2B84o5fa483>>oe03:17b<95;29?xue<3:1>vPm4:?4>d352z?4>7023W8=96s|22:94?4|Vk201:4m8:~wg2=838pRo:4=68a0>{t:??1<76j:`y'00>=<2.?9:40b9:6:09'01c=j<1e89o51:&711<45;n051?6=3`9?i7>5;c594?3=:3?p@>6k:0yK5`d5;h`7>5<>d0290>6=4?{%17b?77l2B84o5fa`83>>ofk3:17do::188mg>=831d>;;50;9~f6>d290:6=4?{%17b?7392B84o5`15294?=z{k>1<77}:;1i1=9>4=68bg>{t::21<7vPm4:?4>g26=4={_`6?85d;3;?86s|27794?4|V;<>70952778yv53m3:1?vP<4d9>3?d?349h?7?;3:p7f4=838p1:4m5:?0g6<5;h1vqo?n2;291?4==rF84i4>{I3ff>{K;1o1=v*;5987?x"4>i5><0;66gm4;29?ld22900elm50;9a3?6==3:15;h`;>5<6=44}c1;g?6=93:1>950;0x96>d28>;7095ab9~w75?2909w0952778Z7023ty9?44?:3y]f==:?3h37psm1ed94?3=:3?p@>6k:0yK5`d1=z,:>m6???;h`;>5<6=44ic694?=nj<0;66gnc;29?g1=83?1<7>t$26e>46c3A93n6gna;29?lgd2900el;50;9jf=<722e9:84?::a7=e=83;1<7>t$26e>4263A93n6a>4183>>{tj=0;6?uQb59>3?g23tyi97>52z\a1>;02hk0q~<<7;296~;40j0:8=527;c`?xu5;10;6?u27;051>X5><1v?=6:181[d?34=1n55r}c3b5<c28qC=hl4}M1;a?7|,=?3695r$26e>7773`h36=44o346>5<1<75fb483>>ofk3:17o950;794?6|,:>m6<>k;I1;f>ofi3:17dol:188md3=831bn54?::m120<722wi?5m50;394?6|,:>m6<:>;I1;f>i6<90;66s|b583>7}Yj=16;7o:;|qa1?6=:rTi9638:`c8yv44?3:1>v3<8b8205=:?3kh7p}=3983>7}:?38=96P=649~w75>2909wSl7;<59f==zuk?26=4<:080I5?l3;pD>47:4}%17b?4782ci47>5;n051?6=3`h?6=44b683>6<729q/?9h511a8L6>e3`k>6=44ic:94?=h:??1<75rsc694?4|Vk>01:4n5:p661=838p1:4=649]63352z\a<>;02k20qpl>9c83>0<52{#;=l1><>4ic:94?=h:??1<75fb583>>oe=3:17dol:188f2<72<0;6=u+35d955b<@:2i7don:188mde=831bm84?::ka5;|`0vPm4:?4>d36=4={_`6?81=ih1v?=8:18185?k3;?<638:`a8yv4403:1>v38:346?[41=2wx>>750;0xZg><5>0i46srb0f;>5<22;0>wA=7d;3xL4ce3tF84h4>{%660:ka5;h`7>5<>d0290>6=4?{%17b?77l2B84o5fa`83>>ofk3:17do::188mg>=831d>;;50;9~f6>d290:6=4?{%17b?7392B84o5`15294?=z{k>1<77}:;1i1=9>4=68bg>{t::21<76j:`y'00>=<2.?9:40b9:6:09'01c=j<1e89o51:&716<45;n051?6=3`9?n7>5;c594?3=:3?p@>6k:0yK5`d5;h`7>5<>d0290>6=4?{%17b?77l2B84o5C39f95~"6=90?985ri`c94?=nij0;66gn5;29?ld?2900c?8::188yg5?k3:1=7>50z&00c<6<81C?5l4o063>5<0jm6s|22594?4|5:2h6<:?;<59ef=z{;936=4={<596337p}=3883>7}Yj116;7l7;|a7f7=8391<7>t$26e>75b3A93n6g>4283>>o6<=0;66a=3`83>>{tj=0;6?uQb59>3?d33tyi97>52z\a1>;4k80:895rs346>5<5sW8=9638:346?xu4uQ35`892429~w6e72909w095b49>7f7=::k0qpl>c883>0<42>qG?5j51zJ2ag=zD:2n6lu+44:90>"3=>08ol5+45d9f==#<<;1>;;4$56g>g226<5+45g9f0=i<=k1=6*;52800g=i<=h1<6s+35d965g1<75fb483>>oe03:17b<95;29?l53j3:17o950;796?3|D:2o65<2290;w)=;f;33`>N40k1bml4?::kbg?6=3`k>6=44ic:94?=h:??1<75rb2:`>5<6290;w)=;f;375>N40k1d=9>50;9~wg2=838pRo:4=68b1>{tj<0;6?uQb49>3?gf3ty9?:4?:3y>7=e=9=:01:4nc:p66>=838p1:4=649]63352z\a<>;02k20qpl6<729q/?9h5639K7=d86=44i067>5<?7p}m8;296~Xe0278mo4>459~w7022909wS<95:?4>7023ty88o4?:2y]71d<5:ki6<:<;<1`5?73;2wx?lo50;0x927f7=::k0qpl0<42>qG?5j51zJ2ag=zD:2n6lu+44:90>"3=>08ol5+45d9f==#<<;1>;;4$56g>g226<5+45g9f0=i<=k1=6*;55800`=i<=i1<6s+35d95cg1<75fb483>>oe03:17b<95;29?l53m3:17o950;796?3|D:2o65<2290;w)=;f;33`>N40k1bml4?::kbg?6=3`k>6=44ic:94?=h:??1<75rb2:`>5<6290;w)=;f;375>N40k1d=9>50;9~wg2=838pRo:4=68b1>{tj<0;6?uQb49>3?gf3ty9?:4?:3y>7=e=9=:01:4nc:p66>=838p1:4=649]63352z\a<>;02k20qpl6<729q/?9h522g8L6>e3`;??7>5;h370?6=3f88m7>5;|`0g6<72:0;6=u+35d966c<@:2i7d?;3;29?l73<3:17b<vPm4:?4>g26=4={_`6?85d;3;?86s|b983>7}Yj116?n?51568yv41=3:1>vP=649>3?41=2wx?9k50;1xZ62b349h=7?;3:?0g6<6<:1v>m=:18181=j<16?n=522c8yv5d83:1>v38:c:896e62;9j7psm1c194?1==3hp(>:i:0`0?j7fj3:17d<93;29?l062900e:188m4032900n5<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm1`f94?5=83:p(>:i:31g?M5?j2c:8>4?::k201<722e9?l4?::a5dc=8391<7>t$26e>34<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>:1C?5l4i060>5<?6=44o31b>5<54;294~"4vP>ac9>5g7=::k0q~<93;296~X5>:16=lk51518yv062908wS8>;<3a6?73;27:mi4>429~w4g>2909wS?n9:?2f7<6<=1v?8?:181[41827:n<4>459~w7062909wS<91:?2f5<6<=1v<8;:181[71<27:n=4>429~w4gd2909w0?m2;00e>;6im0:895rs314>5<5s4;jh7<b08206=z{8km6=4={<3a4?44i27:n<4>449~yg7el3:187=56z&00c<6jm1d=o650;9j24<722c:o94?::k702<722h:nn4?:283>5}#;=l1:?5G39`8m4242900e<:;:188k75f2900qo?ma;297?6=8r.88k493:J05<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~w4d?2909wS?m8:?2fg<5;h1v;?50;1xZ37<58hh6<:<;<3ae?73;2wx=n:50;0xZ4e334;io7?;4:p011=838pR9:8;<3ae?73<2wx=o750;0x94dd2;9j70?mb;377>{t9kk1<775f34;in7?;4:~f4g6290?6>49{%17b?7f92e:5n4?::k55?6=3`;j>7>5;h637?6=3k;j<7>53;294~"46F<8c9j515=831b=9:50;9l66g=831vn<7j:180>5<7s-9?j78;;I1;f>o6<:0;66g>4583>>i5;h0;66sm18d94?5=83:p(>:i:31g?M5?j2c:8>4?::k201<722e9?l4?::p54243ty:m?4?:3y]5d4<58k;6<:;;|q746<72;qU8==4=0;f>4233ty:5i4?:3y>5d6=::k01<7i:060?xu61l0;6?u218g966g<583m6<:;;|a5ac=83>1?78t$26e>4bb3f;o57>5;h42>5<5<m6;:4H2:a?l73;3:17d?;4;29?j44i3:17pl>db83>6<729q/?9h522f8L6>e3`;??7>5;h370?6=3f88m7>5;|q2`<<72;qU=i74=0f`>75f3ty==7>53z\55>;6lm0:8>521e`951552z\2`c=:9mn1=9:4}r61e?6=:rT?>l521e`951252z?2`a<5;h16=im51518yv7cj3:1>v3>dc817d=:9mi1=9:4}|`23c<72=0;6=u+35d9616<@:2i7d?;3;29?l73<3:17d?;5;29?j44i3:17pl1<729q/?9h5679K7=d86=44i067>5<>6=44o31b>5<56;294~"4>o50;9~fg5=8391<7>t$26e>34<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<55;294~"45<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm1b:94?2=83:p(>:i:31e?M5?j2c:8>4?::k201<722c:884?::m17d<722wi=lo50;694?6|,:>m6;84H2:a?l73;3:17d?;4;29?l73=3:17b<6m;h377?6=3`;?87>5;h371?6=3f88m7>5;|`2f2<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg7d;3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<53;294~"486=44i067>5<599K7=d96=44o31b>5<52;294~"47>50z&00c<6=11C?5l4i061>5<g2<58k96o:4=0fe>g2<58k36o:4=0`6>g2<5<31n95218`9f1=:9m21n9522549f1=:9j31n9523d69f1=z{8<>6=4={_351>;6km0:8?5rs0c5>5<5sW;j:63>7d8201=z{8?26=4={_36=>;6>=0i46s|3e594?4|V:n<70=ic;377>{t1h0;65uQ9`9>60`=9l?01?:9:26a?87d139?n6342234;h=7?;3:p5d>=838pRe79>52`=9=901>hl:067?87d>3;?;63=498206=:9hk1=9:4=0a2>4233ty:n84?:3y]5g3<58h>6?8:;|q702<72=qU8994=0a7>g><58ho69:8;<1eg?73=2wx8?o50;1xZ14f34;oi7:=a:?2a4<6<;1v<7m:181[7>j27:5o4=649~w41d2909wS?8c:?23c<5;h1v>hm:181[5aj278jn4=3`9~w4b?2909wS?k8:?2`=<5><1v8750;0xZ0?<5<31>;;4}r42>5;1938=963>b2855>;6jm0==63>a0855>;6ll0==63>c78206=:j:0:89521b`9512<58=n6<:<;<3a3?73;2wx?h:50;0xZ6c3349n87<95:p5fe=839p1?751508yv7e>3:1>v3>b6817d=:9j91=9=4}r350?6=e99>532=:??01f4<44=3`9~w721290=w0<:f;3f3>;5449~wg7=838p1o?52778937=j<1v<9k:180870n3;?963>7d817d=::=k1=9:4}r01:4<4c9>67?=::k0q~<;9;296~;5<10:895225c966g52z?11c<5=:16=n=51568yv7di3:1?v3>c88a1>;4m=0i963>cc817d=z{8k86=4={<3b6?d?34;j87<6o;4=0`e>75f3ty9:=4?:6y>60`=:=h01875b99>55g5=:?:01o=5151894e?28>87p}>c683>7}:9j<1=984=0a;>75f3ty9:<4?:2y>60`=:=n01459~w704290?w0<:f;065>;6j:09:>5225c9515<58im6<:<;|q2g1<72;q6=n:5277894dc28i?7p}=4683>7}::=<1n85225:966g54z?162<5><16:<4<4d9>5d4=j<16=ih5b49~w4g52909w0?n2;051>;6i80:m?5rs0;`>5<5s4;2n7l7;<3b5?7>k2wx=o650;0x94d22k2015g1=9=>0q~?l2;296~;6k:09?l521cd951452z?2`c;6ll0:hk5rs0a:>5<5s4;h57<95:?23c<6<=1vqo=ld;2950<4n3?>wA=7d;3xL4ce3tF84h4={o66=?173->>4784}%17b?70i2P8894>{269yj71=3:17d:;1;29?j5ck3:17d=i5;29?l7b;3:17b?:9;29?lcd2900e94?::k2e0<722c2=7>5;n61e?6=3`;n>7>5;h1f7?6=3f9m47>5;n1e`?6=3f?26=44o514>5<0;3955}K;1n1=vF>ec9~H6>b28q/88653:'71`=9:o0eo:50;9l61d=831d>9j50;9l61`=831d>8?50;9l605=831d>8;50;9l601=831d>8750;9j5`2=831b=h;50;9j5`0=831b=h950;9j5`>=831b=h750;9j5`g=831i=>l50;33>a<6=rF84i4>{I3ff>{#;=l1=i>4i0g7>5<6=44i0g5>5<5<5<6k:0yK5`d5;h`7>5<0;6>4?:1y'71`=99i0D>6m;M1;`?7|,8?;69;:;|kb1?6=3`h36=44o346>5<c28qC=hl4}%17b?77m2ci47>5;n051?6=3`h?6=44b683>6<729q/?9h511a8L6>e3E93h7?t$073>1323tcj97>5;h`;>5<6=44}r`7>5<5sWh?7095a49~w7502909w0952778Z7023ty9?54?:3y]f==:?3h37psm13;94?5=939p@>6k:0yK5`d5;h`7>5<0;6>4?:1y'71`=99i0D>6m;M1;`?7|,8?;69;:;|kb1?6=3`h36=44o346>5<5;297?6=8r.88k493:J05<4290;w)=;f;41?M5?j2c:8>4?::k201<722e9?l4?::a542=8391<7>t$26e>75c3A93n6g>4283>>o6<=0;66a=3`83>>{t9;31<7=t^00:?876=3;??63>138206=z{8;<6=4={_323>;69<0:895rs02e>5<5sW;;j63>138201=z{8;;6=4={_324>;69=09?l5rs032>5<5s4;:97<158201=zuk;947>59;79`~"455f10594?=n9l>1<75f13;94?=n99l1<75f1d594?=n9l21<75f1d;94?=n9lk1<75`10:94?=e9;?1<7=50;2x 62a2?90D>6m;h377?6=3`;?87>5;n00e?6=3th:=l4?:283>5}#;=l1:>5G39`8m4242900e<:;:188k75f2900qo?>e;297?6=8r.88k493:J05<1290;w)=;f;52?M5?j2c:8>4?::k201<722c:884?::k203<722c:8:4?::m17d<722wi=?<50;794?6|,:>m6?:=;I1;f>o6<:0;66g>4583>>o6<<0;66g>4783>>i5;h0;66s|10594?2|V8;<70?=5;370>;69l0:8952132951152z\2a1=:9;?1=9=4}r31=?6=;rT:>45210c9512<58;n6<:<;|q24c<72;qU==h4=03b>4243ty:i:4?:3y]5`1<588;6<:<;|q2a=<72;qU=h64=003>4233ty:i44?:3y]5`?<588;6<::;|q2ad<72;qU=ho4=003>4213ty:=54?:3y]54><58896?=n;|q25<<72;q6=?;522c8944528>87p}>1`83>7}:98k1>>o4=001>4233ty:=i4?:3y>54c=::k01<<=:066?xu69o0;6?u2132966g<58896<:9;|a56?=83=187ot$26e>45>3`;:;7>5;h33b?6=3`;957>5;h3f0?6=3`;n97>5;h3f2?6=3f;9m7>5;c307?6=;3:1N40k1b=9=50;9j512=831d>>o50;9~f44d290?6=4?{%17b?003A93n6g>4283>>o6<=0;66g>4483>>i5;h0;66sm12294?0=83:p(>:i:628L6>e3`;??7>5;h370?6=3`;?97>5;h372?6=3`;?;7>5;n00e?6=3th:??4?:583>5}#;=l1>>h4H2:a?l73;3:17d?;4;29?l73=3:17b<0;69uQ1058945428>870?=c;370>;6;90:8>5rs02e>5<3sW;;j63>328201=:9;i1=9=4=013>4223ty:>44?:3y]57?<588h6<::;|q2a1<72;qU=h:4=013>4233ty:i84?:3y]5`3<589;6<:9;|q2a3<72;qU=h84=013>4203ty:>l4?:3y]57g<58996?=n;|q26g<72;q6=>=522c8945528>87p}>2b83>7}:9;i1>>o4=011>4233ty:>k4?:3y>566=::k01<==:066?x{e:=i1<7:50;2x 62a2?20D>6m;h377?6=3`;?87>5;h371?6=3f88m7>5;|`10`<72=0;6=u+35d922=O;1h0e<:<:188m4232900e<:::188k75f2900qo<:0;290?6=8r.88k496:J01<7>t$26e>30<@:2i7d?;3;29?l73<3:17d?;5;29?j44i3:17pl=5783>1<729q/?9h5649K7=d86=44i067>5<>6=44o31b>5<47>54;294~"4>o50;9~w46a290iw0??f;051>;69?0:i;<07g?73=2798h4>429>606=9=901?;=:060?842<3;?963=578200=::<21=9:4}r323?6=jr7:=:4=649>540=98=01<<7:034?87413;:;63=4b8201=::=o1=9:4=373>423348>>7?;5:?111<6<=16>8851568973?28>87p}>2883>g}:9;31>;;4=035>44>34;947?=9:?27<<6:016>9m51518972b28>>70<:0;371>;5=;0:89522469515<5;?=6<:<;<06e49~w4c12909wS?j6:?27<<6m?1v54>e69~w4c?2909wS?j8:?26=<6m11v54>e89~w4cf2909wS?ja:?26=<6mh1vo850;6xZg0<58:m6o:4=034>g2<58826o:4}r07f?6=:rT98o5225a966g52z\10a=::=o1>>o4}r07b?6=:rT98k52242966g=7>52z\114=::<81>>o4}r067?6=:rT99>52246966g97>52z\110=::<<1>>o4}r063?6=:rT99:5224:966g52z?24c119~w47?2909w0?>7;`;?87503;:46s|13c94?4|58826o64=01:>44f3twxn94?:3y]f1=:9:h1n;5rs36a>5<5sW8?n63>3c810g=z{;>o6=4={_07`>;6;k098i5rs36e>5<5sW8?j63>3c810c=z{;?:6=4={_065>;6;k099<5rs370>5<5sW8>?63>3c8116=z{;?>6=4={_061>;6;k09985rs374>5<5sW8>;63>3c8112=z{;?26=4={_06=>;6;k09945rs0g7>5<5sW;n863>3c82a1=z{8o>6=4={_3f1>;6;k0:i85rs0g5>5<5sW;n:63>3c82a3=z{8o<6=4={_3f3>;6;k0:i:5rs0g;>5<5sW;n463>3c82a==z{8o26=4={_3f=>;6;k0:i45rs0gb>5<5sW;nm63>3c82ad=zuk;i87>53;090~J40m0:wE?jb:O7=c=9r.?954<;|&00c<6nk1bn54?::m120<722ci87>5;c594?5=83:p(>:i:02`?M5?j2F84i4>{%364?22=2wbm84?::ka5;|`a3?6=:3:175f3ty9?54?:3y>3?41=2T9:85rs31:>5<5sWh37095b99~ygec29086<4<{M1;`?7|@8oi7pB<8d82!220390q)=;f;034>oe03:17b<95;29?ld32900n:4?:283>5}#;=l1==m4H2:a?lg22900eo650;9l633=831vo:50;0xZg2<5>0j96s|22594?4|5>09:85Q2778yv4403:1>vPm8:?4>g>6}K;1n1=vF>ec9~H6>b28q/88653:'71`=:9:0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|qa0?6=:rTi8638:`78yv44?3:1>v38:346?[41=2wx>>650;0xZg><5>0i46srb`294?5=939p@>6k:0yK5`d6=z,:>m6?>?;h`;>5<6=44ic694?=e?3:1?7>50z&00c<68j1C?5l4i`794?=nj10;66a=6483>>{tj=0;6?uQb59>3?g23ty9?:4?:3y>3?41=2T9:85rs31;>5<5sWh37095b99~yg4a93:1?7?53zN0>oe<3:17o950;194?6|,:>m6<>l;I1;f>of=3:17dl7:188k7022900q~l;:181[d334=1m85rs314>5<5s4=1>;;4^346?xu5;10;6?uQb99>3?d?3twion4?:281>1}K;1n1=vF>ec9~H6>b28q/88653:'71`=:9o0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|`a3?6=:3:175f3ty9?54?:3y>3?41=2T9:85rs31:>5<5sWh37095b99~yg5183:1?7<54zN0>oe<3:17o950;194?6|,:>m6<>l;I1;f>of=3:17dl7:188k7022900qol8:181>5<7s-9?j7?:8:J01<7v38:346?[41=2wx>>750;0xZg><5>0i46srb8d94?5=:3>p@>6k:0yK5`d6=z,:>m6?>j;h`;>5<6=44ic694?=e?3:1?7>50z&00c<68j1C?5l4i`794?=nj10;66a=6483>>{ej>0;6?4?:1y'71`=9<20D>6m;h376?6=3f88m7>5;|qa0?6=:rTi863m7;376>{t::=1<7;e?388m6s|22:94?4|5>09:85Q2778yv4413:1>vPm8:?4>g>1}K;1n1=vF>ec9~H6>b28q/88653:'71`=:9o0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|`a3?6=:3:175f3ty9?54?:3y>3?41=2T9:85rs31:>5<5sWh37095b99~yg77>3:1?7<54zN0>oe<3:17o950;194?6|,:>m6<>l;I1;f>of=3:17dl7:188k7022900qol8:181>5<7s-9?j7?:8:J01<7v38:346?[41=2wx>>750;0xZg><5>0i46srb024>5<42808wA=7d;3xL4ce3tF84h4>{%665;h`7>5<0;6>4?:1y'71`=99i0D>6m;hc6>5<1<7>950;0x92<5><1U>;;4}r00"4kk0?9l5+4439633<,=>o6o:4n56:>4=#<<91?9l4n56a>4=z,:>m6;[c7>4}4?3wQ?9:52z1:>6d=u`9?o7>5;h`7>5<i6=44o352>5<#::>4n37g>5=5<#::>4n37g>7=54o345>5<#::>4n37g>1=0;6i49:dyO7=b=9rB:io5rL2:f>2}#<<=1?no4$56e>g><,=?:6?8:;%67`?d33g>?57?4$570>62e3g>?n7?4}%17b?7ak2Pj871>v=6:209yl53k3:17dl;:188m62e2900c?9>:18'60c=:>:0b?;k:198k70a290/>8k52628j73c2810c?8k:18'60c=:>:0b?;k:398k70d290/>8k52628j73c2:10c?89:18'60c=:>:0b?;k:598mf2=83.99h4l3:l11a<732ch>7>5$37f>f5oen3:1(?;j:b18j73c2:10eo750;&11`5<22:0<1/89j5b59m01?=92.?9>4<4c9m01d=92.?994<4d9m01e=92w/?9h51gf8mg2=831bn54?::m120<722c88h4?::k00g<722h<6=4<:080I5?l3;pD5;c594?5=83:p(>:i:02`?M5?j2cj97>5;h`;>5<6=44}r`7>5<5sWh?7095a49~w7502909w0952778Z7023ty9?54?:3y]f==:?3h37psm3``94?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0g3<72:0;6=u+35d966b<@:2i7d?;3;29?l73<3:17b<vPm4:?4>g23;?86s|27794?4|V;<>70952778yv53m3:1>vP<4d9>7f0=9=90q~=;b;296~X4v3>o4}r1be?6=:r7<6o64=2ca>75f3twi47>54;091~J40m0:wE?jb:O7=c=?r.?9:40b9:6:09'005=;=h0b9:m:09~ 62a28l=7dl;:188mg>=831d>;;50;9j71d=831i;7>53;397~J40m0:wE?jb:'71`=9o>0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|qa0?6=:rTi8638:`78yv44?3:1>v38:346?[41=2wx>>650;0xZg><5>0i46srb2ca>5<4290;w)=;f;40?M5?j2c:8>4?::k201<722e9?l4?::pf1<72;qUn9527;`7?xue03:1>vPm8:?0eg<6<=1v?8::181[41=27<6?8:;|q00g<72;qU?9l4=2ca>4243ty8ml4?:3y>3?d?349jn7<1>7;tL2:g>4}O9lh0qA=7e;5x 1302:ij7):;f;`;?!22938=96*;4e8a0>h3<00:7)::3;17f>h34}O9lh0q)=;f;3e0>oe03:17b<95;29?ld32900n:4?:283>5}#;=l1==m4H2:a?lg22900eo650;9l633=831vo:50;0xZg2<5>0j96s|22594?4|5>09:85Q2778yv4403:1>vPm8:?4>g>5}#;=l1:>5G39`8m4242900e<:;:188k75f2900q~l;:181[d334=1n95rsc:94?4|Vk201>om:067?xu5><0;6?uQ277892<5><1v>:m:181[53j278mo4>429~w6gf2909w095b99>7dd=::k0qpl=4;290?4==rF84i4>{I3ff>{K;1o1;v*;5680gd=#<=l1n55+4439633<,=>o6o:4n56:>4=#<<91?9l4n56a>4=z,:>m65<{I3ff>{#;=l1=k:4ic:94?=h:??1<75fb583>>d029086=4?{%17b?77k2B84o5fa483>>oe03:17b<95;29?xue<3:1>vPm4:?4>d352z?4>7023W8=96s|22:94?4|Vk201:4m8:~f6ge29086=4?{%17b?043A93n6g>4283>>o6<=0;66a=3`83>>{tj=0;6?uQb59>3?d33tyi47>52z\a<>;4ik0:895rs346>5<5sW8=9638:346?xu487p}7}:?3h370=nb;00e>{zj;<1<7:52;7xH6>c28qC=hl4}M1;a?1|,=?<6>mn;%67b?d?3->>=7<95:&70a;%667?53j2d?8o4>;|&00c<6n?1bn94?::ka5;h17f?6=3k=1<7=51;1xH6>c28qC=hl4}%17b?7a<2ci47>5;n051?6=3`h?6=44b683>6<729q/?9h511a8L6>e3`k>6=44ic:94?=h:??1<75rsc694?4|Vk>01:4n5:p661=838p1:4=649]63352z\a<>;02k20qpl6<729q/?9h5629K7=d86=44i067>5<5<5sWh?7095b59~wg>=838pRo64=2ca>4233ty9:84?:3y]633<5>09:85rs26a>5<5sW9?n63>o4}|`13=<72:0;6=u+35d966b<@:2i7d?;3;29?l73<3:17b<uQ35a892<4:651568yvd3290=wSl;;<59f1=:03h?70?n:c68972=j=16>;4m4:p71d=839pR>:m;<5971d<5;=36<:<;|q132<72;h50;0xZ70a348?6?8:;%172?4082d8884>;|q12a<72;qU>;j4=0c9633<,:>=6?9?;o171?452z\12f=:038=96*<478135=i;=?1?6s|27494?4|V;<=70952778 6212;=;7c=;5;68yve32909wSm;;<05>g><,:>=6n=4n266>5=z{j81<7h4<<097p}mf;296~Xen2736o64$265>f5>6>5rsc;94?4|Vk301:4m8:&0034}O9lh0qA=7e;7x 1302:i?7)::0;f1?!22k320(9;=:211?!22j3=0q)=;f;3f`>\f<38p?54<6;Y712=:r9j6>=5}n10`?6=,;?n6>=l;o06`?6<3f98n7>5$37f>65d3g8>h7?4;n10=?6=,;?n6>=l;o06`?4<3f9847>5$37f>65d3g8>h7=4;n107?6=,;?n6>=l;o06`?2<3`no6=4+24g9`f=i:!42m3nh7c<:d;38?lb>290/>8k5db9m60b=:21bh54?:%06a?bd3g8>h7=4;hf0>5<#:=e:;o1<7=51;1xH6>c28qC=hl4}%17b?4692co<7>5;hf2>5<5<42:0>w)=;f;1:3>oc83:17dj>:188k6552900n>7;:180>5<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm38794?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0=3<72:0;6=u+35d966b<@:2i7d?;3;29?l73<3:17b<;<1:0?73;278584>459~w6552909wS=<2:?0=3<5;h1v>7<:18185><388m63<978206=z{:3?6=4={<1:1?44i2785;4>459~yvb72909wSj?;<1:3?b73tyo=7>52z\g5>;41>0o=6s|32094?4|V:9970=67;106>{zj;8m6=4<:080I5?l3;pD7>5;c1:3?6=;3919v*<4g80=2=nl90;66gk1;29?j54:3:17o=64;297?6=8r.88k493:J05<4290;w)=;f;40?M5?j2c:8>4?::k201<722e9?l4?::a7<0=8391<7>t$26e>75c3A93n6g>4283>>o6<=0;66a=3`83>>{tl90;6>uQd19>7<2=9=>01>7::060?xuc93:1?vPk1:?0=1<6<:16?4;51568yv54:3:1>vP<339>7<0=::k0q~=63;296~;41=09?l52384951552z?0=0<5;h16?4851568yxuc83:1>vPk0:?0=25<5sW98>63<968077=zuk88<7>53;397~J40m0:wE?jb:'71`=:8;0ei>50;9j`4<722e8??4?::`0=2<72:0868u+35d97<1>i4;;0;66l<9583>6<729q/?9h5629K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f6?129086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{m:1<7=t^e2896?328>?70=65;377>{tl80;6>uQd09>7<2=9=901>7::067?xu4;;0;6?uQ320896?12;9j7p}<9283>7}:;0>1>>o4=2;5>4243ty8594?:3y>7<3=::k01>79:067?x{tl90;6?uQd19>7<1=l91vi?50;0xZa7<5:3<6i?4}r106?6=:rT8??5238597646}K;1n1=vF>ec9~ 62a2;;:7dj?:188ma7=831d?><50;9a7<1=8391?7;t$26e>6?03`n;6=44ie394?=h;:81<75m38694?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0=0<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg5>>3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<<3;?863<948206=z{m;1<7=t^e3896?328>870=65;370>{t;:81<7>388m6s|38194?4|5:3?6?=n;<1:2?73;2wx?4:50;0x96?22;9j70=66;370>{z{m:1<7:181[b63492;7j>;|q077<72;qU?><4=2;4>6553twi?ll50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl6<729q/?9h5639K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f62429096=4?{%17b?7202B84o5f15094?=h::k1<75rs2cb>5<4s489j7j?;<1bf?44i278mi4>459~w6gd2908w0<<0;f3?85fl388m63=k;<005?54:2.88;4<3b9m713=82wx?>l50;0xZ65e3488<7=<2:&003<4;j1e?9;51:p76?=838pR>=6;<01b?54:2.88;4<3b9m713=:2wx?>650;0xZ65?3489i7=<2:&003<4;j1e?9;53:p765=838pR>=<;<177?44i2.88;4<3b9m713=<2wxhi4?:3y]`a=:::;1h<5+3549`f=i;=?1<6s|dc83>6}Ylk16>>>5d09>7d`=9=90(>:9:ea8j622281vi750;1xZa?<5;8m6i?4=2cg>4243-9?:7jl;o171?4"4459>715=9=80(>:9:ea8j6222=1vq~=;c;296~X4:180[40927<6?9>;<01e?bc3-9?:7<80:l000<73ty9:k4?:2y]63`<5>09:k5223c9`g=#;=<1>:>4n266>4=z{;;02;38<<6`<4481?xu5>j0;6>uQ27a892<5>j16>?o5d99'710=:>:0b>:::29~w7012908wS<96:?4>7013489m7j<;%172?4082d8884;;|q0e1<72;q6;7m;;<01e?54l2.88;4l4<3c9'710=;h90b>:::09~w6g72909w095c19>67g=;:30(>:9:2c0?k53=380q~=6f;296~;02kl01?39j?6`<4480?xu41h0;6?u27;`:?845i398?6*<4780e6=i;=?186srb023>5<42808wA=7d;3xL4ce3tF84h4>{%665;h`7>5<0;6>4?:1y'71`=99i0D>6m;M1;`?7|,8?;69;:;|kb1?6=3`h36=44o346>5<c28qC=hl4}M1;a?g|,=?36>5+44597fg<,=>m6o64$572>7023->?h7l;;o67=?7<,=>n6o;4n56b>5=#<<91?9l4n56a>4=z,:>m65<>i5><0;66g<4c83>>d0290>6?4:{M1;`?7|@8oi7p*<4g82b1=nj10;66a=6483>>oe<3:17dl::188mde=831i;7>55;294~"45<51;294~"46l:063?81=ij1v?=7:18181=:??0R?8:;|q17<<72;qUn5527;`;?x{e;hh1<7<50;2x 62a28?37E=7b:k207<722e9?l4?::a7f7=8391<7>t$26e>75b3A93n6g>4283>>o6<=0;66a=3`83>>{tj=0;6?uQb59>3?d33tyi97>52z\a1>;4k80:8>5rs346>5<5sW8=9638:346?xu4uQ35`896ge28>970=l1;370>{t;hk1<7;4ik09?l5rs2a3>5<5s4=1n8523b3966g6}K;1n1=vF>ec9~H6>b28q/88653:'71`=:9:0eo650;9l633=831bn94?::`4>5<4290;w)=;f;33g>N40k1bm84?::ka5;|qa0?6=:rTi8638:`78yv44?3:1>v38:346?[41=2wx>>650;0xZg><5>0i46srb4;94?5=939p@>6k:0yK5`d6=z,:>m6?>?;h`;>5<6=44ic694?=e?3:1?7>50z&00c<68j1C?5l4i`794?=nj10;66a=6483>>{tj=0;6?uQb59>3?g23ty9?:4?:3y>3?41=2T9:85rs31;>5<5sWh37095b99~yg71=3:1?7?53zN0>oe<3:17o950;194?6|,:>m6<>l;I1;f>of=3:17dl7:188k7022900q~l;:181[d334=1m85rs314>5<5s4=1>;;4^346?xu5;10;6?uQb99>3?d?3twi?k650;196?2|D:2o6<@:2i7d?;2;29?j44i3:17p}m4;296~Xe<27i;7?;2:p661=838p1:4n5:?a3?44i2wx>>650;0x92<5><1U>;;4}r00=?6=:rTi4638:c:8yxdb;3:1?7?53zN0>oe<3:17o950;194?6|,:>m6<>l;I1;f>J40m0:w)?:0;661>{ni<0;66gm8;29?j41=3:17p}m4;296~Xe<27<6l;4}r003?6=:r7<6?8:;_051>{t::21<7jl:180>7<3sE93h7?tH0ga?xJ40l0:w)::8;18y!53n38;i6gm8;29?j41=3:17dl;:188f2<72:0;6=u+35d955e<@:2i7do::188mg>=831d>;;50;9~fg1=8381<7>t$26e>43?3A93n6g>4383>>i5;h0;66s|b583>7}Yj=16n:4>439~w7502909w095a49>f2<5;h1v?=7:18181=:??0R?8:;|q17<<72;qUn5527;`;?x{e<991<7=52;6xH6>c28qC=hl4}M1;a?7|,=?36>5r$26e>76b3`h36=44o346>5<1<75m7;297?6=8r.88k4>0b9K7=d>i5><0;66smb683>7<729q/?9h514:8L6>e3`;?>7>5;n00e?6=3tyi87>52z\a0>;e?3;?>6s|22594?4|5>0j963m7;00e>{t::21<76j:0y'00>=;2w/?9h521g8mg>=831d>;;50;9jf1<722h<6=4<:183!53n3;;o6F<8c9je0<722ci47>5;n051?6=3thi;7>52;294~"44253ty9?:4?:3y>3?g234h<6?=n;|q17=<72;q6;7<95:\120=z{;926=4={_`;?81=j11vqo?91;29=?5=jr.88k4>609l50e=831b=i?50;9j5g2=831b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j50g=831i=;>50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl>5d83>6<729q/?9h5629K7=d86=44i067>5<vP>5b9>50`=::k0q~?k1;296~X6l816=;>51518yv7e<3:1>vP>b59>536=9=>0q~?k2;296~X6l;16=8h51568yv7c;3:1>vP>d29>50`=9=?0q~?k4;296~X6l=16=8h51548yv7c=3:1>vP>d49>50`=9==0q~?k6;296~X6l?16=8k51568yv72i3:1>vP>5`9>50c=9=90q~?:d;296~;6>909?l5214d9515i7>52z?21`<5;h16=8h515:8yxd183:147<59z&00c<182e>o7>5;h3g5?6=3`;i87>5;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3k?m6=4<:183!53n3<97E=7b:k206<722c:894?::m17d<722wi9h4?:683>5}#;=l1>9:4H2:a?l73;3:17d?;4;29?l73=3:17d?;6;29?l73?3:17d?;8;29?j44i3:17p}:c;296~X2k27>i7<;<7e>4243ty:n94?:3y]5g2<5;2m3;?96s|1e694?4|V8n?70;j:065?xu6l<0;6?uQ1e7890c=9==0q~?k6;296~X6l?169h4>499~w0b=838p18h522c890c=9=90qpl>7683>d:i:054?j71>3:17d?k1;29?l71=3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?:a;29?l5b;3:17d?88;29?g7103:1?7>50z&00c<1:2B84o5f15194?=n9=>1<75`22c94?=zj8<26=4<:183!53n3<97E=7b:k206<722c:894?::m17d<722wi=;l50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl>6e83>6<729q/?9h5639K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f41629086=4?{%17b?043A93n6g>4283>>o6<=0;66a=3`83>>{e9>81<7=50;2x 62a2;9o7E=7b:k206<722c:894?::m17d<722wi=:=50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl>7483>6<729q/?9h5629K7=d86=44i067>5<3:1>vP>679>520=::k0q~?k1;296~X6l816=;651518yv71=3:1;vP>649>53>=9=>01<86:067?871j3;?863>6e8201=:9>;1=9:4=056>4233ty:h>4?:3y]5a5<58<26<:<;|q2`1<72;qU=i:4=04a>4243ty:h84?:3y]5a3<584243ty:9l4?:2y]50g<58=838pR<97;<341?73;2wx=;950;0x940?2;9j70?86;377>{t9?31<775f34;<:7?;4:p53g=838p1<8m:31b?870>3;?96s|17a94?4|582wx=;k50;0x940a2;9j70?82;377>{t9>:1<775f34;<>7?;4:p661=838p1<9=:31b?870;3;?86s|22:94?4|58=86?=n;<342?73?2wx=::50;0x94122;9j70?86;37<>{zj=:;6=4=:183!53n3;>46F<8c9j514=831d>>o50;9~f7ca29086=4?{%17b?053A93n6g>4283>>o6<=0;66a=3`83>>{e;6m;h377?6=3`;?87>5;n00e?6=3th2i7>53;294~"46F<8c9j515=831b=9:50;9l66g=831vnnl50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pli7;297?6=8r.88k493:J0:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`247<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg24k3:187>50z&00c<1=2B84o5f15194?=n9=>1<75f15794?=h::k1<75rb2db>5<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~f`?=8391<7>t$26e>75c3A93n6g>4283>>o6<=0;66a=3`83>>{enk0;6;4?:1y'71`=?;1C?5l4i060>5<?6=44i066>5<=6=44i064>5<5<1290;w)=;f;4e?M5?j2c:8>4?::k201<722c:884?::k203<722c:8:4?::m17d<722wi==:50;494?6|,:>m6:?4H2:a?l73;3:17d?;4;29?l73=3:17d?;6;29?l73?3:17b<o1C?5l4i060>5<?6=44i066>5<=6=44i064>5<N40k1b=9=50;9j512=831d>>o50;9~fc`=8391<7>t$26e>75c3A93n6g>4283>>o6<=0;66a=3`83>>{e9?91<7;50;2x 62a2;>97E=7b:k206<722c:894?::k200<722c:8;4?::m17d<722wi=8l50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl>7883>2<729q/?9h52568L6>e3`;??7>5;h370?6=3`;?97>5;h372?6=3`;?;7>5;h375;|`ff?6=?3:14283>>o6<=0;66a=3`83>>{em>0;694?:1y'71`=>?1C?5l4i060>5<?6=44i066>5<3:186=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f176290>6=4?{%17b?43:2B84o5f15194?=n9=>1<75f15794?=n9=<1<75`22c94?=zj=:i6=4<:183!53n3<97E=7b:k206<722c:894?::m17d<722wi8>>50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pllf;296?6=8r.88k4>599K7=d96=44o31b>5<52;294~"45<5290;w)=;f;36<>N40k1b=9<50;9l66g=831vn9:?:181>5<7s-9?j7?:8:J046F<8c9j514=831d>>o50;9~f16c29096=4?{%17b?7202B84o5f15094?=h::k1<75rb511>5<5290;w)=;f;36<>N40k1b=9<50;9l66g=831v<8::180[71=27::84=649>521=9??0q~:;1;296~X3<816?;=51508yv5ck3:1>vP7ae=:??0q~=i5;296~X4n<16ok4>439~w4c42908wS?j3:?f1?73;27?<44>429~w43>2908wS?:9:?21<<5><168>m51568yvcd2909wSkl;<332?d?3ty?>=4?:3y]076<5;l86<:=;|q2e=<72;qU=l64=0`7>g>078a0>;6=k0:8>5rs`494?70sWk=70?k0;`7?87e<3h?70mk:c6896062k>01l>5b59>6c7=j=16on4m4:?025g2<58:;6o:4=514>g2<58?26o:4=4;9f1=:9??1n9523g:9f1=:m:0i863;38:0i863;2`8a0>{t<991<770:?b;377>{t180;69uQ909>5a6=9l<01>hi:060?8c028>>7p};2`83>7}Y<;k01987p}6}Y;l901<98:2g0?8g528>97p}7}Y;o201>h7:346?xu4nm0;6?uQ3gf891672;9j7p}:9;296~X2127>57<95:p061=839pR9=8;<603?41=27:429~w4642909w0??2;370>;68=09?l5rsd:94?4|5l91n552e8817d=z{lk1<75<4s4;o<7?j7:?`f?44i27::>4>429~w`5=838p1h=5277896`a28>?7p};2g83>7}:<::1>>o4=511>4253ty:<;4?:2y>550=:??01<>8:c:89c?=9=90q~=90;296~;4>909:85234d95127>52z?226<5;h16=8l51568yv?c2908w0?k0;3f=>;>m388m63>628200=z{8::6=4={<334?d?34;;>7<8>:346?852n3;??6s|cd83>6}:km0i463lc;`;?8ea2;9j7p}i6;296~;bn39?n63i7;00e>{tn10;6>u2eg800f=:n>0:8>52f8817d=z{oo1<7>o4}r1gb?6=:r78i=4=3`9>7ac=9=80q~7i:1818?a2;<>707j:067?xu3;k0;6?u2425971d<5=9h6?=n;|q242<72;q6==9527789c?=9=>0q~:?8;296~;38009?l524039515;m7>52z?74g<5;h168=j51508yved2909w0ml:346?8ee28>?7p}n0;296~;f838=9636e;377>{t;o31<7g><5:lj6?=n;|q245<72:q6=i>51d:894672;<>70?93;370>{t9k>1<7;t=0f3>4c234;i87<95:?224<6j=16:=4>b59>a2<6<:1vh:50;0x9`?=9=901h;522c8yvc12909w0k6:067?8c02;9j7p}ld;296~;dl38=963lb;377>{t9?<1<7g><58=<6<89;|q1b5<72;q6>k>5277897ca28>?7p}7}:<9:1=9<4=2de>75f3ty8:?4?:2y>737=j116?;>5b99>735=::k0q~?:c;296~;6=00i463>60821f=z{;l:6=4={<0e5?41=279ik4>429~w63b2909w0=:f;00e>;3;j0:885rs4a94?4|5<31n5526186g>{tnh0;6>u2fc817d=:no0:8>52452951475f34lm6<:;;|q2`4<72?q6=i>525`8940628n:708?:0f2?870?3;o=63>788206=:m>0:895rs0f1>5<0s4;o<7<;d:?224<6l;16:=4>d39>52?=9=>01hl5151896c728>870:?f;377>{t9m91<76t=0f3>72a34;==7?k3:?54?7c;27:;:4>d29>52?=9=?01hl5156896c728>?70:?f;370>{t9m>1<76t=0f3>73634;==7?k4:?54?7c<27:;:4>d59>52?=9=<01hl5157896c728>>70:>1;370>{t9m?1<77t=0f3>73434;==7?k5:?54?7c=27:;:4>d49>7cg=9=901<96:064?8ce28>=70=j0;372>;3980:885rs0f5>5<>s4;o<7<:5:?224<6l?16:=4>d79>521=9m<01>hn:067?87013;?463jb;373>;4m90:8:52403951052z?2`5<5=>16io4>499~w6bc2909w0=kc;`;?85cm388m6s|42394?4|5=8j6o64=511>75f3ty9j?4?:2y>6c7=j116>k>5b99>6c5=::k0q~o>:1808g72k2014h5b99>e7<5;h1v9=i:181824?3h>70:;0;00e>{t<9o1<775f34>;57?;4:p046=839p19?>:31b?827j3;?863;318201=z{=:h6=4={<637?d?34>;h7<628203=z{8?j6=4<{<355?72i27:;:4>5`9>50d=::k0q~?88;296~;6?>0:;55216;966g8h7>52z?77f<6<:168>k522c8yv`2290>w0ki:352?8`e28><70hk:064?877<3;?;63;3d8206=#;=<1j95a35794>{tn:0;68u2eg812c=:nk0:8;52fe8206=:99>1=984=51f>4233-9?:7h;;o171?770c34li6<::;42334;;87?;5:?77`<6<>1/?985f59m713=:2wxj<4?:4y>ac<5>j16jo4>459>ba<6<<16==:51568915b28>>7)=;6;d7?k53=390q~h?:1868ca2;<=70hm:060?8`c28>=70??4;377>;3;l0:8;5+3549b1=i;=?186srb2a4>5kuC39f95~N6mk1v@>6j:3ym00?=?k1/88656:'71`=im1Q?9:53z0e>76=9;0vc>50;9l7`1=831bin4?::k744<722e?>>4?::m:5?6=3k;>=7>57;393~J40m0:wE?jb:O7=c=9r.?954?;|&00c<6;o1bn94?::m10g<722e98i4?::m10c<722e99<4?::k2a1<722c:i84?::`27g<72>036ouC39f95~N6mk1v(>:i:072?l7b<3:17d?j5;29?ld12900c?:m:188k72c2900c?:i:188k7362900n<>i:180>4<4sE93h7?tH0ga?x"4>i5><0;66gm4;29?g1=8391<7>t$26e>46d3A93n6B<8e82!7283>>96sfa483>>oe03:17b<95;29?xue<3:1>vPm4:?4>d352z?4>7023W8=96s|22:94?4|Vk201:4m8:~f47029086<4<{M1;`?7|@8oi7p*<4g824`=nj10;66a=6483>>oe<3:17o950;194?6|,:>m6<>l;I1;f>J40m0:w)?:0;661>{ni<0;66gm8;29?j41=3:17p}m4;296~Xe<27<6l;4}r003?6=:r7<6?8:;_051>{t::21<77<1s-9?j7?>6:k24c<722c:i94?::k2a0<722c:=:4?::m255<722h:=>4?:583>5}#;=l1:85G39`8m4242900e<:;:188m4222900c?=n:188yg76:3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<52z\24c=:9881=9=4}r3f0?6=:rT:i952101951552z\2a0=:9891=9:4}r323?6=:rT:=:52101951352z\255=:9881>>o4}r325?6=:r7:=>4=3`9>544=9=>0qpl>2983>1<42?q/?9h513:8m4c32900e4283>>o6<=0;66a=3`83>>{e98k1<7=50;2x 62a2?90D>6m;h377?6=3`;?87>5;n00e?6=3th:=h4?:283>5}#;=l1>>j4H2:a?l73;3:17d?;4;29?j44i3:17p}>e583>6}Y9l>01f;370>{t99l1<7e;00e>{t9831<775f34;:i7?;3:p54g=838p15<4290;w)=;f;47?M5?j2c:8>4?::k201<722e9?l4?::a61c=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<>7>53;294~"4i:184877n38=963>17824c=:9;21==h4=36`>423348?i7?;3:?115<6<=16>8<51568yv76?3:1;v3>168120=:98<1=<94=00;>470348?o7?;3:?10`<6<=16>8>51518973528>87p}>e583>6}Y9l>0170?>6;3f1>{tj?0;6>uQb79>55`=j=16=<95b59~w72e2909wS<;b:?10f<5;h1v?:k:181[43l2798h4=3`9~w72a2909wS<;f:?115<5;h1v?;>:181[4292799?4=3`9~w4772909w0??f;`;?876>3;:<6s|10:94?4|58;<6o64=00;>47?3twxn94?:3y]f1=:9:h1n;5rs36a>5<5sW8?n63>3c810g=z{;>o6=4={_07`>;6;k098i5rs36e>5<5sW8?j63>3c810c=z{;?:6=4={_065>;6;k099<5rs0g7>5<5sW;n863>3c82a1=z{8o>6=4={_3f1>;6;k0:i85r}c332?6==3819vB<8e82M7bj2wG?5k56z&71=<73->>;7=la:&70c77=uS9?87!42m38=n6`=5e83?>i5>?0;6)<:e;05f>h5=m0:76gmf;29 73b2ko0b?;k:198mg?=83.99h4me:l11a<632h<6=4<:080I5?l3;pD5;c594?5=83:p(>:i:02`?M5?j2cj97>5;h`;>5<6=44}r`7>5<5sWh?7095a49~w7502909w0952778Z7023ty9?54?:3y]f==:?3h37psm8;297?7=;rF84i4>{I3ff>{#;=l1>=>4ic:94?=h:??1<75fb583>>d029086=4?{%17b?77k2B84o5fa483>>oe03:17b<95;29?xue<3:1>vPm4:?4>d352z?4>7023W8=96s|22:94?4|Vk201:4m8:~wg2=839pRo:4=68a0>;?2k>0q~<9c;296~X5>j1647<95:&003<5>k1e?9;50:p630=838pR?89;<59633<,:>=6?8m;o171?7=j11/?985bd9m713=82wxn44?:3y]f<=:?3h37)=;6;`f?k53=3;0qpl<5183>2<52kqG?5j51zJ2ag=zD:2n6lu+44:94>"3=>08o55+3b`900g<,=?:6?8:;%67`?d33g>?57?4$56f>g3j6<5+441971di6<5r$26e>4`53Sk?6>5}h`7>5<=h:?i1<7*=5d812`=i:65`27494?"5=l09:h5a24f97>=e?3:1n7:5bzN008ol5+45d9f==#<<;1>;;4$56g>g226<5+45g9f0=i<=k1=6*;52800g=i<=h1=6s+35d96551>vi4=7;jf1<722ci97>5;h17f?6=3f8=j7>5$37f>70b3g8>h7>4;n05`?6=,;?n6?8j;o06`?7<3f8=o7>5$37f>70b3g8>h7<4;n052?6=,;?n6?8j;o06`?5<3`i96=4+24g9g4=i:!42m3i:7c<:d;38?lda290/>8k5c09m60b=:21bn44?:%06a?e63g8>h7=4;c594?3=;3=p@>6k:0yK5`d6ef3->?j7l7;%665?41=2.?8i4m4:l70<<63->?i7l:;o67e?7<,=?86>:m;o67f?76=44ic:94?=h:??1<75f35`94?=e?3:197<55zN05}#;=l1==j4H2:a?I5?l3;p(<;?:576?xofi3:17dol:188md3=831bn54?::m120<722wi?5m50;394?6|,:>m6<:>;I1;f>i6<90;66s|b583>7}Yj=16;7o:;|qa1?6=:rTi9638:`c8yv44?3:1>v3<8b8205=:?3kh7p}=3983>7}:?38=96P=649~w75>2909wSl7;<59f==zuk9jn7>53;294~"4m>:180>5<7s-9?j7<01:4m4:pf0<72;qUn8523b3951270952778yv53j3:1?vP<4c9>7dd=9=901>m>:060?xu4ih0;6?u27;`;?85fj388m6s|3b294?4|5>0i963c28qC=hl4}M1;a??|,=?<6>mn;%67b?d?3->>=7<95:&70a;%67a?d23g>?m7?4$570>62e3g>?n7?4}%17b?47=2ci87>5;h`6>5<{I3ff>{#;=l1=k:4ic:94?=h:??1<75fb583>>oe=3:17dol:188f2<72<0;6=u+35d955b<@:2i7A=7d;3x 4372=?>7pgna;29?lgd2900el;50;9jf=<722e9:84?::a7=e=83;1<7>t$26e>4263A93n6a>4183>>{tj=0;6?uQb59>3?g23tyi97>52z\a1>;02hk0q~<<7;296~;40j0:8=527;c`?xu5;10;6?u27;051>X5><1v?=6:181[d?34=1n55r}c1bf?6=;3:1N40k1b=9=50;9j512=831d>>o50;9~f6e629086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{k>1<75<5sWh370=nb;370>{t:??1<787p}7}:?3h370=nb;00e>{t;j:1<7;4k809?l5r}c3b>5<22:0<1/89j5b59m01?=92.?8h4m5:l70d<63->>?7=;b:l70g<63t.88k4=079jf1<722ci97>5;h`;>5<6=44i26a>5<0;684=:4yO7=b=9rB:io5r$26e>4`33`h36=44o346>5<1<75fb483>>ofk3:17o950;794?6|,:>m6<>k;I1;f>J40m0:w)?:0;661>{nih0;66gnc;29?lg22900eo650;9l633=831vn>6l:182>5<7s-9?j7?;1:J001:4n5:pf0<72;qUn8527;cb?xu5;>0;6?u239a9516<5>0jo6s|22:94?4|5>09:85Q2778yv4413:1>vPm8:?4>g>5}#;=l1:>5G39`8m4242900e<:;:188k75f2900qo=l1;297?6=8r.88k4=3e9K7=d86=44i067>5<5<5sWh?7095b59~wg3=838pRo;4=2a2>4233tyi47>52z\a<>;4ik0:895rs346>5<5sW8=9638:346?xu4uQ35`896ge28>870=l1;377>{t;hk1<7;4ik09?l5rs2a3>5<5s4=1n8523b3966g55;193~J40m0:wE?jb:O7=c=1r.?9:40b9:6:09'01c=j<1e89o51:&716<45;n051?6=3`9?n7>5;c594?3=:3?p@>6k:0yK5`d5;h`7>5<>d0290>6=4?{%17b?77l2B84o5C39f95~"6=90?985ri`c94?=nij0;66gn5;29?ld?2900c?8::188yg5?k3:1=7>50z&00c<6<81C?5l4o063>5<0jm6s|22594?4|5:2h6<:?;<59ef=z{;936=4={<596337p}=3883>7}Yj116;7l7;|a7dd=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=::n0D>6m;h377?6=3`;?87>5;n00e?6=3tyi87>52z\a0>;02k>0q~l::181[d2349h=7?;4:pf=<72;qUn5523``951252z\120=:?38=96s|35`94?5|V:>i70=nb;377>;4k80:8>5rs2cb>5<5s4=1n5523``966g52z?4>g3<5:i:6?=n;|pf1<72=j=16=l4m4:?10?d33tyi97>55z\a1>;02k?0154m5:?2e?d2348?6o;4}r17f?6==rT88o527;17f>;?2:>i70?n:26a?8432:>i7p}=6g83>7}Y:?l01?:52778 6212;vP=6e9>5d<5><1/?98527g8j622281v?8l:181[41k2736?8:;%172?41m2d8884=;|q123<72;qU>;84=68120=#;=<1>;k4n266>6=z{j81<7h4<<0:7p}mf;296~Xen2736o64$265>f7>6?5rsc;94?4|Vk301:4m8:&0034}O9lh0qA=7e;7x 1302:i?7)::0;f1?!22k320(9;=:211?!22j3=0q)=;f;3fa>\f<38p>n4=a;Y712=:r8n6?65}n10f?6=,;?n6>=n;o06`?6<3f9857>5$37f>65f3g8>h7?4;n10=n;o06`?4<3f98?7>5$37f>65f3g8>h7=4;hfa>5<#:=nl00;6)<:e;fb?k42l3;07dj7:18'60c=lh1e>8j52:9j`6<72-8>i7jn;o06`?5<3k89i7>53;397~J40m0:wE?jb:'71`=:8;0ei>50;9j`4<722e8??4?::`0=2<72:0868u+35d97<1>i4;;0;66l<9583>6<729q/?9h5629K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f6?129086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{m:1<7=t^e2896?328>?70=65;377>{tl80;6>uQd09>7<2=9=901>7::067?xu4;;0;6?uQ320896?12;9j7p}<9283>7}:;0>1>>o4=2;5>4243ty8594?:3y>7<3=::k01>79:067?x{tl90;6?uQd19>7<1=l91vi?50;0xZa7<5:3<6i?4}r106?6=:rT8??523859764k4?:282>6}K;1n1=vF>ec9~ 62a2;;:7dj?:188ma7=831d?><50;9a7<1=8391?7;t$26e>6?03`n;6=44ie394?=h;:81<75m38694?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0=0<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg5>>3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<<3;?863<948206=z{m;1<7=t^e3896?328>870=65;370>{t;:81<7>388m6s|38194?4|5:3?6?=n;<1:2?73;2wx?4:50;0x96?22;9j70=66;370>{z{m:1<7:181[b63492;7j>;|q077<72;qU?><4=2;4>6553twi>>>50;195?5|D:2o6==:188f6?029086>4:{%17b?5>?2co<7>5;hf2>5<5<4290;w)=;f;40?M5?j2c:8>4?::k201<722e9?l4?::a7<3=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=::n0D>6m;h377?6=3`;?87>5;n00e?6=3tyo<7>53z\g4>;41=0:89523879515<3;??63<948201=z{:996=4={_106>;41?09?l5rs2;0>5<5s49287<7;:18185>=388m63<978201=zuzn;6=4={_f3?85>?3n;7p}k1;296~Xc92785:4k1:p764=838pR>==;<1:3?54:2wvn>om:180>5<7s-9?j78=;I1;f>o6<:0;66g>4583>>i5;h0;66sm3`f94?5=83:p(>:i:708L6>e3`;??7>5;h370?6=3f88m7>5;|`006<72;0;6=u+35d950><@:2i7d?;2;29?j44i3:17p}6}::;l1h=523``966g<5:ko6<:;;|q0ef<72;q6>>>5d19>7db=::k0q~=>>53208 6212:9j7c=;5;28yv5413:1>vP<389>67`=;:80(>:9:21b?k53=3;0q~=<8;296~X4;116>?k53208 6212:9j7c=;5;08yv54;3:1>vP<329>715=::k0(>:9:21b?k53=390q~jm:181[be3488<7j>;%172?bf3g9?97>4}rf:>5<4sWn270<=f;f2?85fl3;??6*<478ge>h4<<0:7p}k8;297~Xc0279>h4k1:?0eg<6<:1/?985d`9m713=:2wxh>4?:5y]`6=::;o1h=523``9512<5:>86<:=;%172?bf3g9?97=4}|qa0?6=:rTi8638:c68yvd22909wSl:;<59f0=z{:>i6=4={_17f>;02:>i7p}=6g83>6}Y:?l01:4=6g9>67g=lk1/?98527g8j622291v?8k:180[41l27<6?8k;<01e?b>3-9?:7<9e:l000<63ty9:n4?:2y]63e<5>09:n5223c9`==#;=<1>;k4n266>7=z{;<=6=4<{_052>;02;<=70<=a;f0?!53>38=i6`<4480?xu4i;0;6?u27;a1?845i398n6*<4780e4=i;=?1<6s|3`294?4|5>0h<63=2`807<=#;=<1?l?4n266>4=z{:3m6=4={<59fc=::;k1?>64$265>6g63g9?97<4}r1:e?6=:r7<6o74=30b>6543-9?:7=n1:l000<43twi??>50;796?3|D:2o65;c594?3=83:p(>:i:02g?M5?j2cjm7>5;hc`>5<>i5><0;66sm39a94?7=83:p(>:i:062?M5?j2e:8=4?::pf1<72;qUn9527;c6?xue=3:1>vPm5:?4>dg52z?0{I3ff>{K;1o1=v*;5983?x"4>i5><0;66gm4;29?ld22900elm50;9a3?6==3:15;h`;>5<6=44}c1;g?6=93:1>950;0x96>d28>;7095ab9~w75?2909w0952778Z7023ty9?44?:3y]f==:?3h37psm20094?`=:3;hwA=7d;3xL4ce3tF84h47{%66m7;%1`f?22i2.?9<4=649'01b=j=1e89751:&70`;|&00c<6n:1Qm94>{019y_53<38p=84>7;jf1<722ci97>5;n05e?6=,;?n6?86;o06`?6<3f8=47>5$37f>70>3g8>h7?4;n053?6=,;?n6?86;o06`?4<3f8<:7>5$37f>70>3g8>h7=4;n041?6=,;?n6?86;o06`?2<3f8<87>5$37f>70>3g8>h7;4;n047?6=,;?n6?86;o06`?0<3f8<>7>5$37f>70>3g8>h794;n045?6=,;?n6?86;o06`?><3f8=j7>5$37f>70>3g8>h774;n05`?6=,;?n6?86;o06`?g<3f8=o7>5$37f>70>3g8>h7l4;n052?6=,;?n6?86;o06`?e<3k=1<7?l:e82g~J40m0:wE?jb:O7=c=?r.?9:40b9:6:09'01c=j<1e89o51:'71`=:920Vl:52z30>46=uS9?87xoe<3:17dl::188k70f290/>8k527;8j73c2910c?87:18'60c=:?30b?;k:098k700290/>8k527;8j73c2;10c?99:18'60c=:?30b?;k:298k712290/>8k527;8j73c2=10c?9;:18'60c=:?30b?;k:498k714290/>8k527;8j73c2?10c?9=:18'60c=:?30b?;k:698k716290/>8k527;8j73c2110c?8i:18'60c=:?30b?;k:898k70c290/>8k527;8j73c2h10c?8l:18'60c=:?30b?;k:c98k701290/>8k527;8j73c2j10eoj50;&11`4=h5=m0976gl9;29 73b2ki0b?;k:298mf>=83.99h4mc:l11a<332ch;7>5$37f>geod=3:1(?;j:ca8j73c2>10en:50;&11`<=h5=m0j76gmf;29 73b2ki0b?;k:c98mg?=83.99h4mc:l11a5;h`6>5<0e9K7=d>of=3:17dl7:188k7022900qo=7c;295?6=8r.88k4>409K7=d;6=44}r`7>5<5sWh?7095a49~wg3=838pRo;4=68be>{t::=1<742734=1mn5rs31;>5<5s4=1>;;4^346?xu5;00;6?uQb99>3?d?3twi47>55;091~J40m0:wE?jb:'71`=:8:0eo650;9l633=831bn94?::ka1?6=3`kh6=44b683>0<729q/?9h511f8L6>e3`kj6=44i`a94?=ni<0;66gm8;29?j41=3:17pl<8b83>4<729q/?9h51538L6>e3f;?<7>5;|qa0?6=:rTi8638:`78yvd22909wSl:;<59ed=z{;9<6=4={<1;g?73827<6lm4}r00{t::31<75<2290;w)=;f;33`>N40k1bml4?::kbg?6=3`k>6=44ic:94?=h:??1<75rb2:`>5<6290;w)=;f;375>N40k1d=9>50;9~wg2=838pRo:4=68b1>{tj<0;6?uQb49>3?gf3ty9?:4?:3y>7=e=9=:01:4nc:p66>=838p1:4=649]63352z\a<>;02k20qpl=4;291?4==rF84i4>{I3ff>{#;=l1><>4ic:94?=h:??1<75fb583>>oe=3:17dol:188f2<72<0;6=u+35d955b<@:2i7don:188mde=831bm84?::ka5;|`0vPm4:?4>d36=4={_`6?81=ih1v?=8:18185?k3;?<638:`a8yv4403:1>v38:346?[41=2wx>>750;0xZg><5>0i46srb3494?3=:3?p@>6k:0yK5`d0:ka5;h`7>5<>d0290>6=4?{%17b?77l2B84o5fa`83>>ofk3:17do::188mg>=831d>;;50;9~f6>d290:6=4?{%17b?7392B84o5`15294?=z{k>1<77}:;1i1=9>4=68bg>{t::21<7=831d>;;50;9jf1<722ci97>5;hc`>5<0;684?:1y'71`=99n0D>6m;hcb>5<>oe03:17b<95;29?xd40j0;6<4?:1y'71`=9=;0D>6m;n374?6=3tyi87>52z\a0>;02h?0q~l::181[d234=1ml5rs314>5<5s493o7?;0:?4>de52z?4>7023W8=96s|22;94?4|Vk201:4m8:~f6e=83?1>7;tL2:g>4}O9lh0q)=;f;024>oe03:17b<95;29?ld32900eo;50;9jef<722h<6=4::183!53n3;;h6F<8c9jed<722cjo7>5;hc6>5<:183!53n3;?=6F<8c9l516=831vo:50;0xZg2<5>0j96s|b483>7}Yj<16;7on;|q172<72;q6?5m5152892>650;0x92<5><1U>;;4}r00=?6=:rTi4638:c:8yxd4l3:197<55zN05}#;=l1==j4H2:a?lgf2900elm50;9je0<722ci47>5;n051?6=3th84n4?:083>5}#;=l1=9?4H2:a?j7383:17p}m4;296~Xe<27<6l;4}r`6>5<5sWh>7095a`9~w7502909w0=7c;374>;02hi0q~<<8;296~;02;<>7S<95:p66?=838pRo64=68a<>{zj:o1<7;52;7xH6>c28qC=hl4}%17b?4682ci47>5;n051?6=3`h?6=44ic794?=nij0;66l8:186>5<7s-9?j7??d:J06l:182>5<7s-9?j7?;1:J001:4n5:pf0<72;qUn8527;cb?xu5;>0;6?u239a9516<5>0jo6s|22:94?4|5>09:85Q2778yv4413:1>vPm8:?4>g>55;091~J40m0:wE?jb:'71`=:8:0eo650;9l633=831bn94?::ka1?6=3`kh6=44b683>0<729q/?9h511f8L6>e3`kj6=44i`a94?=ni<0;66gm8;29?j41=3:17pl<8b83>4<729q/?9h51538L6>e3f;?<7>5;|qa0?6=:rTi8638:`78yvd22909wSl:;<59ed=z{;9<6=4={<1;g?73827<6lm4}r00{t::31<70}K;1n1=vF>ec9~ 62a2;;;7dl7:188k7022900eo:50;9jf0<722cjo7>5;c594?3=83:p(>:i:02g?M5?j2cjm7>5;hc`>5<>i5><0;66sm39a94?7=83:p(>:i:062?M5?j2e:8=4?::pf1<72;qUn9527;c6?xue=3:1>vPm5:?4>dg52z?05<2290;w)=;f;33`>N40k1bml4?::kbg?6=3`k>6=44ic:94?=h:??1<75rb2:`>5<6290;w)=;f;375>N40k1d=9>50;9~wg2=838pRo:4=68b1>{tj<0;6?uQb49>3?gf3ty9?:4?:3y>7=e=9=:01:4nc:p66>=838p1:4=649]63352z\a<>;02k20qplm:186>7<2sE93h7?tH0ga?x"4>i5><0;66gm4;29?ld22900elm50;9a3?6==3:15;h`;>5<6=44}c1;g?6=93:1>950;0x96>d28>;7095ab9~w75?2909w0952778Z7023ty9?44?:3y]f==:?3h37ps|b583>`}Yj=16;7l;;<:9f1=:9h0i863=4;`7?8412k>01>?5b59>7fg2<5:l1n9529;`7?8g=j=16n7l;;|qa1?6=mrTi9638:c789=g3<5;<1n852308a1>;4k3h>70=k:c7896c=j<16?k4m5:?:>g3<5h0i963m:c78yv41i3:1>vP=6`9>f?41=2.88;4=689m713=82wx>;650;0xZ70?34k1>;;4$265>70>3g9?97?4}r053?6=:rT9::529;051>"4{t:><1<77)=;6;05=>h4<<087p}=7483>7}Y:>?01>k52778 6212;<27c=;5;68yv40<3:1>vP=759>7a<5><1/?98527;8j6222<1v?9<:181[40;278o7<95:&003<5>01e?9;56:p624=838pR?9=;<12>7023-9?:7<99:l000<03ty9;<4?:3y]627<5;<1>;;4$265>70>3g9?9764}r05b?6=:rT9:k52258120=#;=<1>;74n266><=z{;;6i38=96*<47812<=i;=?1m6s|27a94?4|V;3:1>vP=679>3?41=2.88;4=689m713=k2wxni4?:3y]fa=:j3h37)=;6;``?k53=3:0q~lm:181[de34k1n55+3549ff=i;=?1=6s|b`83>7}Yjh1657l7;%172?dd3g9?97<4}ra:>5<5sWi270=i:c:8 6212ki0b>:::29~wf>=838pRn64=2g9f==#;=<1nn5a35790>{tk>0;6?uQc69>7a52z\`2>;4k3h37)=;6;``?k53=3<0q~m::181[e2349:6o64$265>ge>6:5rsb694?4|Vj>01?85b99'710=jj1e?9;58:pg7<72;qUo?52258a<>"4vPl0:?2e?d?3-9?:7ll;o171?g=j11/?985bb9m713=j2wxn44?:3y]f<=:?3h37)=;6;``?k53=3i0qpl=2`83>4g=91099vB<8e82M7bj2wG?5k55z&712<4k=1/88>5d39'00e=02.?9?4<339'00d=?2w/?9h51dd8^d2=:r;?6!42m398:6`=5e83?>i4;<0;6)<:e;102>h5=m0:76a<3583>!42m398:6`=5e81?>i4<;0;6)<:e;102>h5=m0876a<4083>!42m398:6`=5e87?>i4<90;6)<:e;102>h5=m0>76a<3g83>!42m398:6`=5e85?>i4;l0;6)<:e;102>h5=m0<76a<3e83>!42m398:6`=5e8;?>i4;k0;6)<:e;102>h5=m0276a<3883>!42m398:6`=5e8b?>i4;10;6)<:e;102>h5=m0i76a<3283>!42m398:6`=5e8`?>oc?3:1(?;j:e48j73c2910ei;50;&11`2d99i4>;:kg0?6=,;?n6i84n37g>7=h5=m0876gj1;29 73b2m<0b?;k:598m`6=83.99h4k6:l11a<232coj7>5$37f>a0ocl3:1(?;j:e48j73c2110eil50;&11`2d99i46;:kg=?6=,;?n6i84n37g>d=h5=m0i76gk3;29 73b2m<0b?;k:b98f74b29086<4<{M1;`?7|@8oi7p*<4g8154=nl90;66gk1;29?j54:3:17o=67;297?5==r.88k4<969j`5<722co=7>5;n106?6=3k9287>53;294~"47::180>5<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm38494?5=83:p(>:i:31g?M5?j2c:8>4?::k201<722e9?l4?::p`5<72:qUh=523869512<5:3>6<:<;|qg5?6=;rTo=63<958206=:;0?1=9:4}r106?6=:rT8??52384966g52z?0=1<5;h16?4851518yv5><3:1>v3<94817d=:;0<1=9:4}|qg4?6=:rTo<63<968g4>{tl80;6?uQd09>7<1=l81v>==:181[54:2785:4<339~yg45n3:1?7?53zN0>i4;;0;66l<9683>6<425}#;=l1:>5G39`8m4242900e<:;:188k75f2900qo=65;297?6=8r.88k493:J05<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~wa6=839pRi>4=2;7>423349297?;3:p`4<72:qUh<523869515<5:3>6<:;;|q077<72;qU?><4=2;5>75f3ty85>4?:3y>7<2=::k01>79:060?xu41=0;6?u2387966g<5:3=6<:;;|p`5<72;qUh=523859`5=z{m;1<74>:2yO7=b=9rB:io5r$26e>7763`n;6=44ie394?=h;:81<75m38594?5=;3?p(>:i:2;4?lb72900ei?50;9l764=831i?4:50;194?6|,:>m6;=4H2:a?l73;3:17d?;4;29?j44i3:17pl<9483>6<729q/?9h5629K7=d86=44i067>5<429~wa7=839pRi?4=2;7>424349297?;4:p764=838pR>==;<1:2?44i2wx?4=50;0x96?32;9j70=66;377>{t;0>1<775f3492:7?;4:~wa6=838pRi>4=2;4>a6?3n:7p}<3383>7}Y;:801>78:211?x{e::;1<7=51;1xH6>c28qC=hl4}%17b?4692co<7>5;hf2>5<5<42:0>w)=;f;1:3>oc83:17dj>:188k6552900n>7;:180>5<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm38794?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0=3<72:0;6=u+35d966b<@:2i7d?;3;29?l73<3:17b<;<1:0?73;278584>459~w6552909wS=<2:?0=3<5;h1v>7<:18185><388m63<978206=z{:3?6=4={<1:1?44i2785;4>459~yvb72909wSj?;<1:3?b73tyo=7>52z\g5>;41>0o=6s|32094?4|V:9970=67;106>{zj;996=4<:080I5?l3;pD7>5;c1:3?6=;3919v*<4g80=2=nl90;66gk1;29?j54:3:17o=64;297?6=8r.88k493:J05<4290;w)=;f;40?M5?j2c:8>4?::k201<722e9?l4?::a7<0=8391<7>t$26e>75c3A93n6g>4283>>o6<=0;66a=3`83>>{tl90;6>uQd19>7<2=9=>01>7::060?xuc93:1?vPk1:?0=1<6<:16?4;51568yv54:3:1>vP<339>7<0=::k0q~=63;296~;41=09?l52384951552z?0=0<5;h16?4851568yxuc83:1>vPk0:?0=25<5sW98>63<968077=zuk88?7>53;397~J40m0:wE?jb:'71`=:8;0ei>50;9j`4<722e8??4?::`0=2<72:0868u+35d97<1>i4;;0;66l<9583>6<729q/?9h5629K7=d86=44i067>5<N40k1b=9=50;9j512=831d>>o50;9~f6?129086=4?{%17b?44l2B84o5f15194?=n9=>1<75`22c94?=z{m:1<7=t^e2896?328>?70=65;377>{tl80;6>uQd09>7<2=9=901>7::067?xu4;;0;6?uQ320896?12;9j7p}<9283>7}:;0>1>>o4=2;5>4243ty8594?:3y>7<3=::k01>79:067?x{tl90;6?uQd19>7<1=l91vi?50;0xZa7<5:3<6i?4}r106?6=:rT8??5238597646}K;1n1=vF>ec9~ 62a2;;:7dj?:188ma7=831d?><50;9a7<1=8391?7;t$26e>6?03`n;6=44ie394?=h;:81<75m38694?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0=0<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg5>>3:1?7>50z&00c<5;m1C?5l4i060>5<?6=44o31b>5<<3;?863<948206=z{m;1<7=t^e3896?328>870=65;370>{t;:81<7>388m6s|38194?4|5:3?6?=n;<1:2?73;2wx?4:50;0x96?22;9j70=66;370>{z{m:1<7:181[b63492;7j>;|q077<72;qU?><4=2;4>6553twi>>;50;195?5|D:2o6==:188f6?029086>4:{%17b?5>?2co<7>5;hf2>5<5<4290;w)=;f;40?M5?j2c:8>4?::k201<722e9?l4?::a7<3=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=::n0D>6m;h377?6=3`;?87>5;n00e?6=3tyo<7>53z\g4>;41=0:89523879515<3;??63<948201=z{:996=4={_106>;41?09?l5rs2;0>5<5s49287<7;:18185>=388m63<978201=zuzn;6=4={_f3?85>?3n;7p}k1;296~Xc92785:4k1:p764=838pR>==;<1:3?54:2wvn?=9:180>4<4sE93h7?tH0ga?x"4>oc93:17b=<2;29?g5>?3:1?7=55z&00c<41>1bh=4?::kg5?6=3f98>7>5;c1:0?6=;3:1N40k1b=9=50;9j512=831d>>o50;9~f6?229086=4?{%17b?043A93n6g>4283>>o6<=0;66a=3`83>>{e;0<1<7=50;2x 62a2;9o7E=7b:k206<722c:894?::m17d<722wxh=4?:2y]`5=:;0>1=9:4=2;6>4243tyo=7>53z\g5>;41=0:8>5238795127>52z\077=:;0<1>>o4}r1:7?6=:r78594=3`9>7<0=9=90q~=64;296~;41<09?l52384951252z\g4>;41>0o<6s|d083>7}Yl816?495d09~w6552909wS=<2:?0=2<4;;1vqo<=b;297?7=;rF84i4>{I3ff>{#;=l1>>d41>0;6>4<:4y'71`=;0=0ei>50;9j`4<722e8??4?::`0=1<72:0;6=u+35d926=O;1h0e<:<:188m4232900c?=n:188yg5>=3:1?7>50z&00c<1;2B84o5f15194?=n9=>1<75`22c94?=zj:3=6=4<:183!53n388h6F<8c9j515=831b=9:50;9l66g=831vi>50;1xZa6<5:3?6<:;;<1:1?73;2wxh<4?:2y]`4=:;0>1=9=4=2;6>4233ty8??4?:3y]764<5:3=6?=n;|q0=6<72;q6?4:522c896?128>87p}<9583>7}:;0?1>>o4=2;5>4233twxh=4?:3y]`5=:;0=1h=5rse394?4|Vm;01>78:e38yv54:3:1>vP<339>7<1=;:80qpl=2b83>6<62:qG?5j51zJ2ag=z,:>m6??>;hf3>5<<50;9a7<2=8391<7>t$26e>35<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>:1C?5l4i060>5<?6=44o31b>5<53;294~"4<50;0xZ6553492:7<7;:31b?85>>3;??6s|38694?4|5:3>6?=n;<1:2?73<2wvi>50;0xZa6<5:3<6i>4}rf2>5<5sWn:70=67;f2?xu4;;0;6?uQ320896?02:997psm23f94?5=939p@>6k:0yK5`d1:kg4?6=3`n:6=44o211>5<==:188f6?329086=4?{%17b?043A93n6g>4283>>o6<=0;66a=3`83>>{e;0?1<7=50;2x 62a2?90D>6m;h377?6=3`;?87>5;n00e?6=3th85;4?:283>5}#;=l1>>j4H2:a?l73;3:17d?;4;29?j44i3:17p}k0;297~Xc8278594>459>7<3=9=90q~j>:180[b6349287?;3:?0=0<6<=1v>==:181[54:2785;4=3`9~w6?42909w0=64;00e>;41?0:8>5rs2;7>5<5s49297<{t;:81<7?398>6srb2ca>5<4290;w)=;f;41?M5?j2c:8>4?::k201<722e9?l4?::a7db=8391<7>t$26e>34<@:2i7d?;3;29?l73<3:17b<4?:1y'71`=>;1C?5l4i060>5<?6=44o31b>5<53;294~"46F<8c9j515=831b=9:50;9l66g=831vn>l<:180>5<7s-9?j78=;I1;f>o6<:0;66g>4583>>i5;h0;66sm3c794?5=83:p(>:i:708L6>e3`;??7>5;h370?6=3f88m7>5;|`0f2<72:0;6=u+35d927=O;1h0e<:<:188m4232900c?=n:188yg5e13:1?7>50z&00c<1:2B84o5f15194?=n9=>1<75`22c94?=zj:hi6=4<:183!53n3<97E=7b:k206<722c:894?::m17d<722wi?oj50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl6<729q/?9h5639K7=d86=44i067>5<;4io09?l523c3951253z?1777g5=9=>0q~=m2;297~;5;:0o<63v3=2e8g4>;4jo09?l5rs2`5>5<4s48897j?;<1a3?44i278n44>459~w6d?2908w0<<6;f3?85e1388m63ll:180845k3n;70=md;00e>;4jo0:895rs214>5<5sW98;63=2e8077=#;=<1?>84n266>5=z{:9>6=4={_101>;5:j08??5+3549760>6<5rs217>5<5sW98863=2c8077=#;=<1?>84n266>7=z{:>96=4={_176>;5;?08??5+3549760>6>5rs262>5<5sW9?=63=348077=#;=<1?>84n266>1=z{:>;6=4={_174>;5;=08??5+3549760>685rs21e>5<5sW98j63=328077=#;=<1?>84n266>3=z{:9n6=4={_10a>;5;;08??5+3549760>6:5rs21g>5<5sW98h63=308077=#;=<1?>84n266>==z{:9i6=4={_10f>;5;908??5+3549760>645rs21:>5<5sW98563=2g8077=#;=<1?>84n266>d=z{:936=4={_10<>;5:l08??5+3549760>6o5rs210>5<5sW98?63<42817d=#;=<1?>84n266>f=z{m=1<7:9:e48j622291vi;50;1xZa3<5;8h6i?4=2`e>4243-9?:7j9;o171?7"4429'710=l?1e?9;53:pa4<72:qUi<522279`4=:;k31=9=4$265>a0>695rsd294?5|Vl:01?=;:e3896d028>87)=;6;f5?k53=3?0q~ji:180[ba3488?7j>;<1a1?73;2.88;4k6:l000<13tyoi7>53z\ga>;5;;0o=63{tlm0;6>uQde9>667=l816?o?51518 6212m<0b>:::99~wad=839pRil4=313>a7<5:km6<:<;%172?b13g9?9774}rf:>5<4sWn270<=f;f2?85fl3;??6*<478g2>h4<<0j7p}k8;297~Xc0279>h4k1:?0eg<6<:1/?985d79m713=j2wxh>4?:5y]`6=::;o1h=523``9512<5:>86<:=;%172?b13g9?97m4}|qa0?6=:rTi8638:c68yvd22909wSl:;<59f0=z{;;02;38=56`<4483?xu5>10;6>uQ27:892<5>116>?o5d49'710=:?30b>:::09~w7002908wS<97:?4>7003489m7j;;%172?4112d8884=;|q133<72:qU>:84=68133=::;k1i?5+354963?>6>5rs356>5<4sW8<9638:356?845i3o:7)=;6;05=>h4<<0?7p}=7583>6}Y:>>01:4=759>67g=m91/?98527;8j6222<1v?9<:180[40;27<6?9<;<01e?ba3-9?:7<99:l000<13ty9;?4?:2y]624<5>09;?5223c9``=#;=<1>;74n266>2=z{;=:6=4<{_045>;02;=:70<=a;fg?!53>38=56`<448;?xu5>o0;6>uQ27d892<5>o16>?o5dc9'710=:?30b>:::89~w70c2908wS<9d:?4>70c3489m7j6;%172?4112d8884n;|q12f<72:qU>;m4=6812f=::;k1h55+354963?>6o5rs345>5<4sW8=:638:345?845i3n87)=;6;05=>h4<<0h7p}<9d83>7}:?3ho70<=a;103>"4{t;0i1<7;5:h08?85+35497>6<5rs2;a>5<5s4=1nl5223c9762<,:>=6>7k;o171?452z?4>f?<5;8j6>:=;%172?5>l2d8884<;|q0e=<72;q6;7m7;<01e?5392.88;4<9e9m713=<2wx?l950;0x92l4<419'710=;0n0b>:::49~w6g12909w095c79>67g=;:l0(>:9:2;g?k53=3<0q~=n5;296~;02j?01?392h6`<4484?xu4i=0;6?u27;a7?845i398h6*<4780=a=i;=?146s|3`094?4|5>0h>63=2`807g=#;=<1?4j4n266><=z{:k;6=4={<59g5=::;k1?>74$265>6?c3g9?97o4}r1:b?6=:r7<6oh4=30b>65?3-9?:7=6d:l0003?d>3489m7=<3:&003<41m1e?9;5c:~f746290>6?4:{M1;`?7|@8oi7pB<8d82!2203:0q)=;f;024>oe03:17b<95;29?ld32900eo;50;9jef<722h<6=4::183!53n3;;h6F<8c9jed<722cjo7>5;hc6>5<:183!53n3;?=6F<8c9l516=831vo:50;0xZg2<5>0j96s|b483>7}Yj<16;7on;|q172<72;q6?5m5152892>650;0x92<5><1U>;;4}r00=?6=:rTi4638:c:8yxd38;0;6>4>:2yO7=b=9rB:io5rL2:f>4}#<<21<6s+35d96565<7s-9?j7??c:J0{t::21<70;6?u27;051>X5><1v?=7:181[d?34=1n55r}c617?6=;3;1?vB<8e82M7bj2wG?5k51z&71=<73t.88k4=019jf=<722e9:84?::ka0?6=3k=1<7=50;2x 62a28:h7E=7b:kb1?6=3`h36=44o346>5<c28qC=hl4}M1;a?7|,=?36=5r$26e>7673`h36=44o346>5<1<75m7;297?6=8r.88k4>0b9K7=d>i5><0;66s|b583>7}Yj=16;7o:;|q172<72;q6;7<95:\120=z{;936=4={_`;?81=j11vqo<=6;29a?5=99q/?9h52348k7452900e??<:188m77?2900e??6:188m77f2900e??m:188m77d2900e??k:188m77b2900e??i:188m7472900e??;:188m7722900e??8:188f74429026=4?{%17b?143A93n6g>4283>>o6<=0;66g>4483>>o64683>>o6<10;66g>4883>>o6>{e:;>1<7850;2x 62a2?o0D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;h373?6=3f88m7>5;|`160<72:0;6=u+35d927=O;1h0e<:<:188m4232900c?=n:188yv45:3:1>vP=239>673=::k0q~<>3;296~X59:16>?:51518yv4603:1>vP=199>675=9=90q~<>9;296~X59016>?=51568yv46i3:1>vP=1`9>675=9=?0q~<>b;296~X59k16>?=51558yv46k3:1>vP=1b9>675=9=<0q~<>d;296~X59m16>?=515:8yv46m3:1>vP=1d9>675=9=k0q~<>f;296~X59o16>?=515;8yv4583:1>vP=219>672=9=>0q~<>4;296~X59=16>?:51548yv46=3:1>vP=149>672=9=?0q~<>7;296~X59>16>?:51558yv45;3:1>v3=22817d=::;?1=9=4}r010?6=:r79>94=3`9>673=9=>0qpl67;291?5=?r.88k467:m:6?6=3`;>:7>5;h1f3?6=3`336=44i8394?=e1?0;6>4?:1y'71`=>:1C?5l4i060>5<?6=44o31b>5<5}#;=l1>>j4H2:a?l73;3:17d?;4;29?j44i3:17p}62;296~X>:27297<4233ty8i:4?:3y]7`1<50<1=9=4}r;;>5<5sW33707;:060?xu>93:1>vP61:?:0?73<2wx5>4?:3y>=3<5;h16584>429~w<2=838p14:522c89<3=9=>0qpl0<42>q/?9h53dd8k6cf2900e<;::188m6c?2900e>h?:188m6c02900n>kj:180>5<7s-9?j78<;I1;f>o6<:0;66g>4583>>i5;h0;66sm3da94?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0aa<72:0;6=u+35d966b<@:2i7d?;3;29?l73<3:17b<5483>7}Y9kj:067?xu4m10;6?uQ3d:896cb28>87p}7}Y;o:01>kl:060?xu4m>0;6?uQ3d5896cd28>?7p}7}:;lo1>>o4=2gg>4243ty8in4?:3y>7`e=::k01>kk:067?x{e9<91<7:50;2x 62a2??0D>6m;h377?6=3`;?87>5;h371?6=3f88m7>5;|`fa?6=;3:1N40k1b=9=50;9j512=831d>>o50;9~f63f29086=4?{%17b?053A93n6g>4283>>o6<=0;66a=3`83>>{e;<21<7;50;2x 62a2?h0D>6m;h377?6=3`;?87>5;h371?6=3`;?:7>5;n00e?6=3th8>;4?:483>5}#;=l1:i5G39`8m4242900e<:;:188m4222900e<:9:188k75f2900qo==8;291?6=8r.88k49c:J05<4290;w)=;f;00`>N40k1b=9=50;9j512=831d>>o50;9~f1<7>t$26e>75a3A93n6g>4283>>o6<=0;66g>4483>>i5;h0;66sm3d;94?5=83:p(>:i:718L6>e3`;??7>5;h370?6=3f88m7>5;|`0b4<72:0;6=u+35d966b<@:2i7d?;3;29?l73<3:17b<6m;h376?6=3f88m7>5;|qbf?6=krTjn63>508a0>;68?0i863<518a0>;4:90i863<578a0>;59;0i863=208a0>;38;0i86361;`7?825;3h?70=j7;`7?xu4:90;6?uQ332896472;<>7p}0}Y;l=01>k8:346?8?02:o<70=jf;1f3>;4m00:8>5rsda94?4|Vli01<>9:c;8yv2793:1>vP;009>054=j11v9<<:181[25;27?>>4=649~w<7=839pR4?4=839633<50=15<5rs071>5<5s4;>=7?j4:?216<5;h1v><::181855>388m63<2b8206=z{:8<6=4={<11n4>459~w4332908w0?:1;07f>;>13;??636=4;{<365?43l278ik4>549>=<<6<=16?k?51568yv72>3:1?v3>50810c=:1>0:9;52988200=z{:826=4={<114?d?3499m7<:372?825;3h37p}7}:;ll1?k>4=2d2>75f3ty8il4?:3y>7`1=j116?hh53dc8yvcc290=w0=:0;`6?85583h>70=:6;`6?872;3;??63je;00e>;4=h0:895rs27:>5<3s49><7=;b:?157<4m5:?01d<5;h1v4<50;0x9<7=j1165:462:p==<72;q65:468:?:=?44i2wx>?<50;0x97462k201?<9:301?xu4=?0;6>u23449633<58?86<:;;<16e?73;2wx8=<50;1x943628o>70:?2;051>;4m00:895rs274>5<5s49>:7l7;<16{t;;h1<775f3499m7?;2:p677=838p1?<>:346?872;3;?96s|34794?3|V:8o70=:0;05b>;4=10:8;523349510<5:836<::;%172?52<2d8884?;|q016<72=q6?8>527f8963?28>?70==6;371>;4:10:895+3549702>6<5rs271>5<3s49><7<9c:?01=<6<:16??851568964?28>87)=;6;160>h4<<097p}<5083>1}:;<:1>;84=27;>4223499:7?;3:?06=<6n:181877>38=o63je;370>"4{t9921<7=t=025>g`<58:=6?89;4243-9?:7??9:l000<63ty9=:4?:3y>644=:?k01?<9:334?!53>38::6`<4483?xu59<0;6?u2200963><5;8=6??:;%172?46>2d8884>;|q151<72;q6><<5275897412;;?7)=;6;022>h4<<097p}=2183>7}::881>:84=305>7473-9?:7<>6:l000<43ty9=k4?:3y>644=:>?01?<9:33e?!53>38::6`<4487?xu59l0;6?u22009622<5;8=6??j;%172?46>2d8884:;|q15a<72;q6><<5261897412;;o7)=;6;022>h4<<0=7p}=1b83>7}::881>:<4=305>77d3-9?:7<>6:l000<03ty9=o4?:3y>644=:>;01?<9:33a?!53>38::6`<448;?xu59h0;6?u2200963`<5;8=6??n;%172?46>2d88846;|q15<<72;q6><<527f897412;;27)=;6;022>h4<<0j7p}=1983>7}::881>;m4=305>77?3-9?:7<>6:l0004?:3y>644=:?<01?<9:330?!53>38::6`<448`?x{e;ji1<775c;32I5?l3;pD>576:;%665;h33f?6=3`9;?7>5;h131?6=3`9;;7>5;h37f?6=3k=?6=4<:387I5?l3;pD>47<4}%17b?4712ci47>5;n051?6=3`h?6=44b683>6<729q/?9h511a8L6>e3E93h7?t$073>1323tcj97>5;h`;>5<6=44}c`4>5<5290;w)=;f;36<>N40k1b=9<50;9l66g=831vo:50;0xZg2<5k=1=9<4}r003?6=:r7<6l;4=c5966g52z?4>7023W8=96s|22;94?4|Vk201:4m8:~f663290>6?4:{M1;`?7|@8oi7pB<8d8b!220380(9;8:2ab?!23n3h37)::1;051>"37c:;a;38 1342:>i7c:;b;28y!53n38;m6gm4;29?ld22900eo650;9l633=831b?9l50;9a3?6==3819vB<8e82M7bj2w/?9h51g68mg>=831d>;;50;9jf1<722ci97>5;hc`>5<0;684?:1y'71`=99n0D>6m;hcb>5<>oe03:17b<95;29?xd40j0;6<4?:1y'71`=9=;0D>6m;n374?6=3tyi87>52z\a0>;02h?0q~l::181[d234=1ml5rs314>5<5s493o7?;0:?4>de52z?4>7023W8=96s|22;94?4|Vk201:4m8:~f6e629086=4?{%17b?44m2B84o5f15194?=n9=>1<75`22c94?=z{k>1<7{t;=h1<7=t^26a?81=j116?n?51518yv5d83:1>v38:c7896e62;9j7psm31494?3=:3?p@>6k:0yK5`d7=#<<=1?no4$56e>g><,=?:6?8:;%67`?d33g>?57?4$56f>g3j6<5+441971di6=5r$26e>76f3`h?6=44ic794?=nj10;66a=6483>>o47<2sE93h7?tH0ga?x"4>i5><0;66gm4;29?ld22900elm50;9a3?6==3:15;h`;>5<6=44}c1;g?6=93:1>950;0x96>d28>;7095ab9~w75?2909w0952778Z7023ty9?44?:3y]f==:?3h37psm3b394?5=83:p(>:i:31f?M5?j2c:8>4?::k201<722e9?l4?::pf1<72;qUn9527;`7?xue=3:1>vPm5:?0g4<6<=1v?8::181[41=27<6?8:;|q00g<72:qU?9l4=68a<>;4k80:8>5rs2a3>5<5s4=1n8523b3966g2}K;1n1=vF>ec9~H6>b2hq/88652:&712<4kh1/89h5b99'007=:??0(9:k:c68j12>281/89k5b49m01g=92.?994<4d9m01e=82w/?9h51gc8mg2=831bn84?::ka5;h17a?6=3k=1<7;52;7xH6>c28qC=hl4}%17b?7a<2ci47>5;n051?6=3`h?6=44ic794?=nij0;66l8:186>5<7s-9?j7??d:J06l:182>5<7s-9?j7?;1:J001:4n5:pf0<72;qUn8527;cb?xu5;>0;6?u239a9516<5>0jo6s|22:94?4|5>09:85Q2778yv4413:1>vPm8:?4>g>5}#;=l1>>k4H2:a?l73;3:17d?;4;29?j44i3:17pl6<729q/?9h522g8L6>e3`;??7>5;h370?6=3f88m7>5;|qa0?6=:rTi8638:c68yvd22909wSl:;<1`7?73<2wxn54?:3y]f==:;j;1=9:4}r051?6=:rT9:8527;051>{t;=o1<7=t^26f?85d93;??63>o4}r1`4?6=:r7<6o64=2a2>75f3twi?8k50;797?1|D:2o67):;d;`7?k2313;0(9:j:c78j12f281/88=535`8j12e291v(>:i:32b?ld32900eo;50;9jf=<722e9:84?::k00g<722h<6=4::386I5?l3;pD5;h`6>5<0e9K7=d>of=3:17dl7:188k7022900qo=7c;295?6=8r.88k4>409K7=d;6=44}r`7>5<5sWh?7095a49~wg3=838pRo;4=68be>{t::=1<742734=1mn5rs31;>5<5s4=1>;;4^346?xu5;00;6?uQb99>3?d?3twi?ll50;194?6|,:>m6;<4H2:a?l73;3:17d?;4;29?j44i3:17pl6<729q/?9h522g8L6>e3`;??7>5;h370?6=3f88m7>5;|qa0?6=:rTi8638:c68yvd22909wSl:;<1`5?73<2wxn54?:3y]f==:;hh1=9:4}r051?6=:rT9:8527;051>{t;=h1<7=t^26a?85fj3;??63>o4}r1`4?6=:r7<6o;4=2a2>75f3twi5o4?:283>5}#;=l1>>k4H2:a?l73;3:17d?;4;29?j44i3:17pl<0983>6<729q/?9h5639K7=d86=44i067>5<86=44i067>5<50z&00c<1;2B84o5f15194?=n9=>1<75`22c94?=zj><1<7<50;2x 62a28?37E=7b:k207<722e9?l4?::p017=838pR9:>;<672?44i2wx?k;50;0xZ6`2349m97<95:p7a1=83>pR>j8;<;a>42434>?97?;3:?42?73:2wx5l4?:7y]=d=:;9>1?9l4=225>62e349m97=;e:?01`<44?:2y]755<5::?6o;4=22b>4243ty8<84?:2y]753<5::=6o;4=22`>4243ty:8o4?:2y]51d<5:l>6o64=27f>g>702343i6<:;;|q04<<72;q6?=651518966f2;9j7p}<0c83>7}:;921=9:4=22`>75f3ty89h4?:3y>70c=:??019:::067?xu48=0;6?u23169633<5::j6<:;;|q043<72;q6?=852778966d28>?7p};4583>7}:<=<1=9<4=566>75f3ty87c3=j<16?8k5b49>75>=::k0q~9::1818132k201:8522c8yxd3:90;6>4>:2yO7=b=9rB:io5rL2:f>4}#<<21:6s+35d95c66=44i27a>5<6<729q/?9h5a29K7=d96=44ida94?=h::k1<75rs026>5<5sW;;9638:da8yv44?3:1>v38:31b?[54:2wx>>650;0xZ63e34=1=9<4}|`0`d<72:0:6>uC39f95~N6mk1v@>6j:0y'00>=>2w/?9h51g28m4622900e>;m:188k6552900n:4?:283>5}#;=l1m>5G39`8m4252900ehm50;9l66g=831v<>::181[77=27<6hm4}r003?6=:r7<6?=n;_106>{t::21<76<62:qG?5j51zJ2ag=zD:2n6{#;=l1=k>4i026>5<5<0;6>4?:1y'71`=i:1C?5l4i061>5<6=4={_331>;02li0q~<<7;296~;02;9j7S=<2:p66>=838pR>;m;<59514i4?:282>6}K;1n1=vF>ec9~H6>b28q/88656:'71`=9o:0e<>::188m63e2900c>==:188f2<72:0;6=u+35d9e6=O;1h0e<:=:188m`e=831d>>o50;9~w4622909wS??5:?4>`e52z?4>75f3W98>6s|22:94?4|V:?i70951508yxd3;00;6>4>:2yO7=b=9rB:io5rL2:f>4}#<<21:6s+35d95c66=44i27a>5<6<729q/?9h5a29K7=d96=44ida94?=h::k1<75rs026>5<5sW;;9638:da8yv44?3:1>v38:31b?[54:2wx>>650;0xZ63e34=1=9<4}|`0`7<72;0;6=u+35d950><@:2i7d?;2;29?j44i3:17pl7<729q/?9h514:8L6>e3`;?>7>5;n00e?6=3th8i?4?:383>5}#;=l1=864H2:a?l73:3:17b<4?:1y'71`=::n0D>6m;h377?6=3`;?87>5;n00e?6=3th8ok4?:383>5}#;=l1=864H2:a?l73:3:17b<6m;h376?6=3f88m7>5;|q765<72=qU8?>4=2a:>147349hh7:=0:?765<4;;1v>h9:181[5a>278on416?i>53e5896ed2:n<7p};4383>7}Y<=801>ml:562?xu3:k0;6>uQ43`891312=8j70=k0;61e>{t<;<1<73>9:6s|a983>1}Yi11Um:5239d960g<5:ih6>>8;|q75c<72;qU817a3ty8i84?:3y]7`3<5:io6>k<;|q75a<72;qU81753ty8j?4?:3y]7c4<5=?=6>h=;|q0a2<72;qU?h94=2a4>6c03tyno7>53z\fg>;4km0no63{t99h1<78t^02a?85d13ki70::6;ca?85c83ki70=l7;ca?85dk3;;n6s|3g594?4|V:l<70=ld;1e1>{t;m31<76s|3d394?4|V:o:70=j2;00e>{t<9;1<7;=6s|40g94?4|V=;n70::6;607>{t<=91<7?=6s|43a94?4|V=8h70:=d;106>{t<8<1<73>::6s|a883>7}Yi016?nj5a89~wd0=838pRl84=2ag>d052z\0`4=:;m81>>o4}r1g1?6=:rT8h8523e4966g;87>53z\741=:<<<18==4=2f3>1643ty?>94?:3y]072<5=?=69<;;|q0b=<72;qU?k64=2ag>6`?3ty?<84?:3y]053<5=:=6>==;|q77=<72;qU8>64=51:>6553ty8jo4?:3y]7cd<5:n;6>hm;|q0ba<72;qU?kj4=2ag>6`c3ty?854?:5y]01><5:i269:8;<662?23?278h=4;469~w6c32909wS=j4:?0`5<4m=1v>399i636=4={<1g4?71=278oi4>649~w4g12909w0=l9;3b2>;4l90:m;5rs07:>5<5s49o<7?:9:?0ga<6=01v9<>:18182583;;963;23817d=z{0?<5:n?6<:;;|q6f?6=:r78h=4:9:?0`1<6<:1v9>8:18185dl3>;?63;07801g=z{:8;6=4={<662?559278o:4<219~wp1>m6:8c8913120k01>j?:8c896ed20k0q~=le;296~;4km0:i>523bd966g52z?0`5<6i116?nj51`:8yv4f<3:1>v3;5781e1=:;ji1?==4}r617?6=:r78o:4;229>074=9=80q~7>:18485dl33:70=l7;;2?85ci3;;963;078240=:<;n1==;4=51:>462349n>7?;2:p5=6=838p1>m6:0:3?85c83;3<6s|1c794?4|5:n;6{t;m91<7425349o87<j?:0;a?85dl3;j96s|29594?4|5:i26?68;<662?4??2wx?il50;0x96ec2:nh70=ka;16f>{t:o>1<77`334>>:7j?:05`?85dk39;96s|15`94?4|5:i26<:m;<1`g?73j2wx8>o50;0x96ec2=9<70:<9;16f>{t9m21<74b?349hh7?j2:p24<72;q6?i>5609>7a0=9=80q~<<7;296~;40j0:8=52432970d52z\13f=:<<<1>:m4$265>71e3g9?97>4}r04e?6=:rT9;l52444962g<,:>=6?9m;o171?752z\1<0=:<<<1>5;4$265>71e3g9?97<4}r0;0?6=:rT9495244496=2<,:>=6?9m;o171?552z\1<6=:<<<1>5=4$265>71e3g9?97:4}r0;6?6=:rT94?5244496=4<,:>=6?9m;o171?352z\1<4=:<<<1>5?4$265>71e3g9?9784}r0;4?6=:rT94=5244496=6<,:>=6?9m;o171?152z\13c=:<<<1>:h4$265>71e3g9?9764}r04a?6=:rT9;h52444962c<,:>=6?9m;o171??52z\13a=:<<<1>:j4$265>71e3g9?97o4}r04=?6=:rT9;452444962?<,:>=6?9m;o171?d3349h57:j;%172?>23g9?97?4}r:0>5<4sW2870=l9;6g?822>3>o7)=;6;:6?k53=380q~6=:180[>5349h57:m;<662?2e3-9?:76:;o171?5270::6;6:?!53>32>7c=;5;68yv1a2908wS9i;<1`=?2?34>>:7:7;%172?>23g9?97;4}r5f>5<4sW=n70=l9;64?822>3><7)=;6;:6?k53=3<0q~9k:180[1c349h57:9;<662?213-9?:76:;o171?1>70::6;66?!53>32>7c=;5;:8yv1e2908wS9m;<1`=?2334>>:7:;;%172?>23g9?9774}r5b>5<4sW=j70=l9;60?822>3>87)=;6;:6?k53=3k0q~96:180[1>349h57:=;<662?253-9?:76:;o171?d:70::6;62?!53>32>7c=;5;a8yv102908wS98;<1`=?2734>>:7:?;%172?>23g9?97j4}r:f>5<4sW2n70=l9;7;?822>3?37)=;6;:6?k53=3o0q~6k:180[>c349h57;8;<662?303-9?:76:;o171?`3?=7)=;6;:6?k53=3;;7p}7b;296~X?j27?9;4:5:&0031:p:9:978j6222890q~67:181[>?34>>:7;=;%172?>23g9?97?;;|q;3?6=:rT3;63;57865>"4{t080;6?uQ809>000=

j1|BCF~6zHIZpqMN \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.pad b/cpld/XC95144XL/WarpSE.pad index 3a6643e..3f92a30 100644 --- a/cpld/XC95144XL/WarpSE.pad +++ b/cpld/XC95144XL/WarpSE.pad @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 3-25-2023 0:52AM + 3-26-2023 4:30AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The pipe '|' diff --git a/cpld/XC95144XL/WarpSE.pnx b/cpld/XC95144XL/WarpSE.pnx index 8d0e15a..e54b78a 100644 --- a/cpld/XC95144XL/WarpSE.pnx +++ b/cpld/XC95144XL/WarpSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt index 8ccbdce..aacc19b 100644 --- a/cpld/XC95144XL/WarpSE.rpt +++ b/cpld/XC95144XL/WarpSE.rpt @@ -1,7 +1,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 3-25-2023, 0:52AM +Design Name: WarpSE Date: 3-26-2023, 4:30AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -9,22 +9,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -119/144 ( 83%) 428 /720 ( 59%) 230/432 ( 53%) 94 /144 ( 65%) 71 /81 ( 88%) +118/144 ( 82%) 384 /720 ( 53%) 224/432 ( 52%) 93 /144 ( 65%) 71 /81 ( 88%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 28/54 39/90 11/11* -FB2 10/18 8/54 11/90 8/10 -FB3 7/18 33/54 81/90 10/10* -FB4 17/18 34/54 40/90 10/10* -FB5 16/18 32/54 72/90 8/10 -FB6 18/18* 32/54 55/90 10/10* -FB7 18/18* 30/54 55/90 8/10 -FB8 15/18 33/54 75/90 6/10 +FB1 18/18* 21/54 25/90 11/11* +FB2 6/18 4/54 6/90 8/10 +FB3 9/18 34/54 82/90 10/10* +FB4 18/18* 31/54 34/90 10/10* +FB5 14/18 34/54 69/90 8/10 +FB6 18/18* 34/54 63/90 10/10* +FB7 18/18* 31/54 34/90 8/10 +FB8 17/18 35/54 71/90 6/10 ----- ----- ----- ----- - 119/144 230/432 428/720 71/81 + 118/144 224/432 384/720 71/81 * - Resource is exhausted @@ -51,7 +51,7 @@ GSR : 0 0 | ** Power Data ** -There are 119 macrocells in high performance mode (MCHP). +There are 118 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -87,9 +87,9 @@ WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 10 26 FB3_9 28 I/O O STD FAST RESET +nDTACK_FSB 9 26 FB3_9 28 I/O O STD FAST RESET nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 1 3 FB4_2 87 I/O O STD FAST SET +nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET nDoutOE 1 2 FB4_5 89 I/O O STD FAST nDinOE 3 6 FB4_6 90 I/O O STD FAST nRES 1 1 FB4_8 91 I/O I/O STD FAST @@ -112,111 +112,110 @@ nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET RA<1> 2 3 FB7_2 50 I/O O STD FAST RA<7> 2 3 FB7_5 52 I/O O STD FAST RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 7 7 FB7_8 54 I/O O STD FAST +RA<8> 5 6 FB7_8 54 I/O O STD FAST RA<10> 1 1 FB7_9 55 I/O O STD FAST RA<9> 2 3 FB7_11 56 I/O O STD FAST C25MEN 0 0 FB7_12 58 I/O O STD FAST C20MEN 0 0 FB7_14 59 I/O O STD FAST RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 3 7 FB8_5 64 I/O O STD FAST +nRAS 0 0 FB8_5 64 I/O O STD FAST nRAMLWE 1 4 FB8_6 65 I/O O STD FAST nRAMUWE 1 4 FB8_8 66 I/O O STD FAST nBERR_FSB 4 8 FB8_12 70 I/O O STD FAST RESET nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 83 Buried Nodes ** +** 82 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/RegUrgentSync 1 1 FB1_1 STD RESET -ram/RefUrgent 1 2 FB1_2 STD RESET -ram/RefReqSync 1 1 FB1_3 STD RESET -ram/RefReq 1 2 FB1_4 STD RESET -ram/RefRAS 1 2 FB1_5 STD RESET -iobs/IOACTr 1 1 FB1_6 STD RESET -fsb/ASrf 1 1 FB1_7 STD RESET -iobs/IOU1 2 2 FB1_8 STD RESET -iobs/IOL1 2 2 FB1_9 STD RESET -cnt/TimerTC 2 5 FB1_10 STD RESET -iobs/IOU0 3 5 FB1_11 STD RESET -iobs/IOL0 3 5 FB1_12 STD RESET -cnt/Timer<3> 3 6 FB1_13 STD RESET -cnt/Timer<2> 3 5 FB1_14 STD RESET -cnt/Timer<1> 3 4 FB1_15 STD RESET -cnt/RefUrgent 3 5 FB1_16 STD RESET -iobs/IOReady 4 8 FB1_17 STD RESET -cnt/RefReq 4 5 FB1_18 STD RESET -iobm/VPArr 1 1 FB2_9 STD RESET -iobm/VPArf 1 1 FB2_10 STD RESET -iobm/RESrr 1 1 FB2_11 STD RESET -iobm/RESrf 1 1 FB2_12 STD RESET -iobm/IOREQr 1 1 FB2_13 STD RESET -iobm/Er2 1 1 FB2_14 STD RESET -iobm/Er 1 1 FB2_15 STD RESET -iobm/DTACKrr 1 1 FB2_16 STD RESET -iobm/DTACKrf 1 1 FB2_17 STD RESET -cnt/Timer<0> 2 3 FB2_18 STD RESET -fsb/VPA 18 26 FB3_1 STD RESET -iobs/IOREQ 13 19 FB3_7 STD RESET -fsb/Ready1r 6 17 FB3_10 STD RESET -iobs/IORW1 16 19 FB3_12 STD RESET -iobs/IORW0 17 20 FB3_15 STD RESET -iobm/BERRrr 1 1 FB4_3 STD RESET -iobm/BERRrf 1 1 FB4_4 STD RESET -cnt/PORS_FSM_FFd1 1 4 FB4_7 STD RESET -cnt/IPL2r 1 1 FB4_9 STD RESET -iobm/IOS_FSM_FFd1 2 3 FB4_10 STD RESET -cnt/nRESout 2 4 FB4_12 STD RESET -cnt/PORS_FSM_FFd2 2 3 FB4_13 STD RESET +ram/RefUrg 1 2 FB1_1 STD RESET +ram/RefReqSync 1 1 FB1_2 STD RESET +ram/RefReq 1 2 FB1_3 STD RESET +iobs/IOACTr 1 1 FB1_4 STD RESET +iobm/Er 1 1 FB1_5 STD RESET +iobm/DTACKrr 1 1 FB1_6 STD RESET +iobm/DTACKrf 1 1 FB1_7 STD RESET +iobm/BERRrr 1 1 FB1_8 STD RESET +iobm/BERRrf 1 1 FB1_9 STD RESET +cnt/nIPL2r 1 1 FB1_10 STD RESET +cnt/Er<0> 1 1 FB1_11 STD RESET +ALE0S 1 2 FB1_12 STD RESET +ram/RS_FSM_FFd1 2 3 FB1_13 STD RESET +iobs/IOU1 2 2 FB1_14 STD RESET +iobs/IOL1 2 2 FB1_15 STD RESET +iobm/IOS_FSM_FFd1 2 3 FB1_16 STD RESET +ALE0M 2 4 FB1_17 STD RESET +ram/RefDone 3 5 FB1_18 STD RESET +iobm/VPArr 1 1 FB2_13 STD RESET +iobm/VPArf 1 1 FB2_14 STD RESET +iobm/RESrr 1 1 FB2_15 STD RESET +iobm/RESrf 1 1 FB2_16 STD RESET +iobm/IOREQr 1 1 FB2_17 STD RESET +iobm/Er2 1 1 FB2_18 STD RESET +IORW0 17 20 FB3_1 STD RESET +fsb/VPA 16 26 FB3_6 STD RESET +iobs/IORW1 16 19 FB3_11 STD RESET +fsb/Ready1r 6 17 FB3_13 STD RESET +cs/nOverlay 3 8 FB3_14 STD RESET +IOREQ 13 19 FB3_16 STD RESET +iobs/Clear1 1 3 FB3_18 STD RESET +ram/RegUrgSync 1 1 FB4_1 STD RESET +ram/BACTr 1 2 FB4_3 STD RESET +nRESout 1 2 FB4_4 STD RESET +fsb/ASrf 1 1 FB4_7 STD RESET +cnt/Er<1> 1 1 FB4_9 STD RESET +cnt/TimerTC 2 6 FB4_10 STD RESET +cnt/LTimer<3> 2 6 FB4_12 STD RESET +cnt/LTimer<2> 2 5 FB4_13 STD RESET +cnt/LTimer<1> 2 4 FB4_14 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cnt/LTimer<0> 2 3 FB4_14 STD RESET -cnt/LTimer<3> 4 6 FB4_15 STD RESET -cnt/LTimer<2> 4 5 FB4_16 STD RESET -cnt/LTimer<1> 4 4 FB4_17 STD RESET -iobm/IOBERR 9 13 FB4_18 STD RESET -ram/RASEL 17 13 FB5_1 STD RESET -ram/RefDone 2 4 FB5_3 STD RESET -cs/nOverlay1 2 3 FB5_4 STD RESET -fsb/Ready0r 3 8 FB5_7 STD RESET -cs/nOverlay0 3 8 FB5_8 STD RESET -ram/RAMEN 9 13 FB5_10 STD RESET -ram/RS_FSM_FFd2 10 12 FB5_13 STD RESET -ram/RAMReady 12 13 FB5_17 STD RESET +RefReq 2 5 FB4_15 STD RESET +IOU0 3 5 FB4_16 STD RESET +IOL0 3 5 FB4_17 STD RESET +RefUrg 5 7 FB4_18 STD RESET +iobs/Load1 14 18 FB5_3 STD RESET +iobs/PS_FSM_FFd2 12 19 FB5_7 STD RESET +ram/RS_FSM_FFd2 8 10 FB5_8 STD RESET +iobs/PS_FSM_FFd1 2 3 FB5_10 STD RESET +iobs/IOReady 4 8 FB5_16 STD RESET +iobs/Once 15 18 FB5_17 STD RESET iobm/ETACK 1 6 FB6_1 STD RESET -iobm/DoutOE 2 3 FB6_3 STD RESET -iobm/ALE0 2 4 FB6_4 STD RESET -iobm/IOS_FSM_FFd3 3 6 FB6_5 STD RESET -iobm/ES<3> 3 6 FB6_6 STD RESET -iobm/ES<1> 3 4 FB6_7 STD RESET -iobm/ES<0> 3 7 FB6_8 STD RESET -iobm/ES<4> 4 7 FB6_10 STD RESET -iobm/IOS_FSM_FFd2 5 11 FB6_13 STD RESET -iobm/ES<2> 5 7 FB6_16 STD RESET -iobm/IOACT 6 12 FB6_18 STD RESET -cnt/LTimer<13> 3 15 FB7_1 STD RESET -cnt/LTimer<9> 4 12 FB7_3 STD RESET -cnt/LTimer<8> 4 11 FB7_4 STD RESET -cnt/LTimer<7> 4 10 FB7_7 STD RESET -cnt/LTimer<6> 4 9 FB7_10 STD RESET -cnt/LTimer<5> 4 8 FB7_13 STD RESET -cnt/LTimer<4> 4 7 FB7_15 STD RESET -cnt/LTimer<12> 4 15 FB7_16 STD RESET -cnt/LTimer<11> 4 14 FB7_17 STD RESET -cnt/LTimer<10> 4 13 FB7_18 STD RESET -iobs/Once 15 18 FB8_1 STD RESET -iobs/Clear1 1 3 FB8_3 STD RESET -iobs/ALE0 1 2 FB8_4 STD RESET -iobs/PS_FSM_FFd1 2 3 FB8_7 STD RESET -ram/RS_FSM_FFd1 8 11 FB8_9 STD RESET -ram/RS_FSM_FFd3 9 11 FB8_11 STD RESET +iobm/IOS_FSM_FFd3 3 6 FB6_3 STD RESET +iobm/ES<3> 3 6 FB6_4 STD RESET +iobm/ES<1> 3 4 FB6_5 STD RESET +iobm/ES<0> 3 7 FB6_6 STD RESET +iobm/DoutOE 3 6 FB6_7 STD RESET +iobm/ES<4> 4 7 FB6_8 STD RESET +iobm/IOS_FSM_FFd2 5 11 FB6_10 STD RESET +iobm/ES<2> 5 7 FB6_13 STD RESET +IOACT 6 12 FB6_16 STD RESET +IOBERR 9 13 FB6_18 STD RESET +cnt/LTimerTC 2 16 FB7_1 STD RESET +cnt/LTimer<9> 2 12 FB7_3 STD RESET +cnt/LTimer<8> 2 11 FB7_4 STD RESET +cnt/LTimer<7> 2 10 FB7_7 STD RESET +cnt/LTimer<6> 2 9 FB7_10 STD RESET +cnt/LTimer<5> 2 8 FB7_13 STD RESET +cnt/LTimer<4> 2 7 FB7_15 STD RESET +cnt/LTimer<12> 2 15 FB7_16 STD RESET +cnt/LTimer<11> 2 14 FB7_17 STD RESET +cnt/LTimer<10> 2 13 FB7_18 STD RESET +ram/RASEL 14 12 FB8_1 STD RESET +cnt/Timer<2> 5 6 FB8_3 STD RESET +cnt/Timer<0> 2 4 FB8_4 STD RESET +cnt/INITS_FSM_FFd2 2 6 FB8_7 STD RESET +fsb/Ready0r 2 7 FB8_9 STD RESET +cnt/Timer<1> 4 5 FB8_10 STD RESET +ram/RAMReady 9 12 FB8_11 STD RESET +ram/RAMEN 9 12 FB8_14 STD RESET +ram/RS_FSM_FFd3 13 12 FB8_16 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -iobs/PS_FSM_FFd2 12 19 FB8_14 STD RESET -iobs/Load1 14 18 FB8_16 STD RESET -ram/BACTr 1 2 FB8_17 STD RESET +cnt/INITS_FSM_FFd1 1 7 FB8_17 STD RESET +cnt/LTimer<0> 1 3 FB8_18 STD RESET ** 35 Inputs ** @@ -274,66 +273,63 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 28/26 -Number of signals used by logic mapping into function block: 28 +Number of function block inputs used/remaining: 21/33 +Number of signals used by logic mapping into function block: 21 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RegUrgentSync 1 0 0 4 FB1_1 (b) (b) -ram/RefUrgent 1 0 0 4 FB1_2 11 I/O I -ram/RefReqSync 1 0 0 4 FB1_3 12 I/O I -ram/RefReq 1 0 0 4 FB1_4 (b) (b) -ram/RefRAS 1 0 0 4 FB1_5 13 I/O I -iobs/IOACTr 1 0 0 4 FB1_6 14 I/O I -fsb/ASrf 1 0 0 4 FB1_7 (b) (b) -iobs/IOU1 2 0 0 3 FB1_8 15 I/O I -iobs/IOL1 2 0 0 3 FB1_9 16 I/O I -cnt/TimerTC 2 0 0 3 FB1_10 (b) (b) -iobs/IOU0 3 0 0 2 FB1_11 17 I/O I -iobs/IOL0 3 0 0 2 FB1_12 18 I/O I -cnt/Timer<3> 3 0 0 2 FB1_13 (b) (b) -cnt/Timer<2> 3 0 0 2 FB1_14 19 I/O I -cnt/Timer<1> 3 0 0 2 FB1_15 20 I/O I -cnt/RefUrgent 3 0 0 2 FB1_16 (b) (b) -iobs/IOReady 4 0 0 1 FB1_17 22 GCK/I/O GCK -cnt/RefReq 4 0 0 1 FB1_18 (b) (b) +ram/RefUrg 1 0 0 4 FB1_1 (b) (b) +ram/RefReqSync 1 0 0 4 FB1_2 11 I/O I +ram/RefReq 1 0 0 4 FB1_3 12 I/O I +iobs/IOACTr 1 0 0 4 FB1_4 (b) (b) +iobm/Er 1 0 0 4 FB1_5 13 I/O I +iobm/DTACKrr 1 0 0 4 FB1_6 14 I/O I +iobm/DTACKrf 1 0 0 4 FB1_7 (b) (b) +iobm/BERRrr 1 0 0 4 FB1_8 15 I/O I +iobm/BERRrf 1 0 0 4 FB1_9 16 I/O I +cnt/nIPL2r 1 0 0 4 FB1_10 (b) (b) +cnt/Er<0> 1 0 0 4 FB1_11 17 I/O I +ALE0S 1 0 0 4 FB1_12 18 I/O I +ram/RS_FSM_FFd1 2 0 0 3 FB1_13 (b) (b) +iobs/IOU1 2 0 0 3 FB1_14 19 I/O I +iobs/IOL1 2 0 0 3 FB1_15 20 I/O I +iobm/IOS_FSM_FFd1 2 0 0 3 FB1_16 (b) (b) +ALE0M 2 0 0 3 FB1_17 22 GCK/I/O GCK +ram/RefDone 3 0 0 2 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: E 11: iobm/IOBERR 20: nADoutLE1 - 2: cnt/RefReq 12: iobs/IOACTr 21: nAS_FSB - 3: cnt/RefUrgent 13: iobs/IOL1 22: nLDS_FSB - 4: cnt/Timer<0> 14: iobs/IOReady 23: nUDS_FSB - 5: cnt/Timer<1> 15: iobs/IOU1 24: ram/RS_FSM_FFd1 - 6: cnt/Timer<2> 16: iobs/Load1 25: ram/RS_FSM_FFd2 - 7: cnt/Timer<3> 17: iobs/Once 26: ram/RefDone - 8: cnt/TimerTC 18: iobs/PS_FSM_FFd1 27: ram/RefReqSync - 9: fsb/ASrf 19: iobs/PS_FSM_FFd2 28: ram/RegUrgentSync - 10: iobm/IOACT + 1: E 8: iobs/Load1 15: nUDS_FSB + 2: IOACT 9: iobs/PS_FSM_FFd1 16: ram/RS_FSM_FFd1 + 3: RefReq 10: iobs/PS_FSM_FFd2 17: ram/RS_FSM_FFd2 + 4: iobm/IOREQr 11: nBERR_IOB 18: ram/RS_FSM_FFd3 + 5: iobm/IOS_FSM_FFd1 12: nDTACK_IOB 19: ram/RefDone + 6: iobm/IOS_FSM_FFd2 13: nIPL2 20: ram/RefReqSync + 7: iobm/IOS_FSM_FFd3 14: nLDS_FSB 21: ram/RegUrgSync Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RegUrgentSync ..X..................................... 1 -ram/RefUrgent .........................X.X............ 2 -ram/RefReqSync .X...................................... 1 -ram/RefReq .........................XX............. 2 -ram/RefRAS .......................XX............... 2 -iobs/IOACTr .........X.............................. 1 -fsb/ASrf ....................X................... 1 -iobs/IOU1 ...............X......X................. 2 -iobs/IOL1 ...............X.....X.................. 2 -cnt/TimerTC X..XXXX................................. 5 -iobs/IOU0 ..............X..XXX..X................. 5 -iobs/IOL0 ............X....XXX.X.................. 5 -cnt/Timer<3> X..XXXXX................................ 6 -cnt/Timer<2> X..XXX.X................................ 5 -cnt/Timer<1> X..XX..X................................ 4 -cnt/RefUrgent X..XXXX................................. 5 -iobs/IOReady ........X.XX.X..X.XXX................... 8 -cnt/RefReq X..XXXX................................. 5 +ram/RefUrg ..................X.X................... 2 +ram/RefReqSync ..X..................................... 1 +ram/RefReq ..................XX.................... 2 +iobs/IOACTr .X...................................... 1 +iobm/Er X....................................... 1 +iobm/DTACKrr ...........X............................ 1 +iobm/DTACKrf ...........X............................ 1 +iobm/BERRrr ..........X............................. 1 +iobm/BERRrf ..........X............................. 1 +cnt/nIPL2r ............X........................... 1 +cnt/Er<0> X....................................... 1 +ALE0S ........XX.............................. 2 +ram/RS_FSM_FFd1 ...............XXX...................... 3 +iobs/IOU1 .......X......X......................... 2 +iobs/IOL1 .......X.....X.......................... 2 +iobm/IOS_FSM_FFd1 ....XXX................................. 3 +ALE0M ...XXXX................................. 4 +ram/RefDone ...............XXXXX.................... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 8/46 -Number of signals used by logic mapping into function block: 8 +Number of function block inputs used/remaining: 4/50 +Number of signals used by logic mapping into function block: 4 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -344,384 +340,392 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_6 2 GTS/I/O I (unused) 0 0 0 5 FB2_7 (b) (unused) 0 0 0 5 FB2_8 3 GTS/I/O I -iobm/VPArr 1 0 0 4 FB2_9 4 GTS/I/O I -iobm/VPArf 1 0 0 4 FB2_10 (b) (b) -iobm/RESrr 1 0 0 4 FB2_11 6 I/O I -iobm/RESrf 1 0 0 4 FB2_12 7 I/O I -iobm/IOREQr 1 0 0 4 FB2_13 (b) (b) -iobm/Er2 1 0 0 4 FB2_14 8 I/O I -iobm/Er 1 0 0 4 FB2_15 9 I/O I -iobm/DTACKrr 1 0 0 4 FB2_16 (b) (b) -iobm/DTACKrf 1 0 0 4 FB2_17 10 I/O I -cnt/Timer<0> 2 0 0 3 FB2_18 (b) (b) +(unused) 0 0 0 5 FB2_9 4 GTS/I/O I +(unused) 0 0 0 5 FB2_10 (b) +(unused) 0 0 0 5 FB2_11 6 I/O I +(unused) 0 0 0 5 FB2_12 7 I/O I +iobm/VPArr 1 0 0 4 FB2_13 (b) (b) +iobm/VPArf 1 0 0 4 FB2_14 8 I/O I +iobm/RESrr 1 0 0 4 FB2_15 9 I/O I +iobm/RESrf 1 0 0 4 FB2_16 (b) (b) +iobm/IOREQr 1 0 0 4 FB2_17 10 I/O I +iobm/Er2 1 0 0 4 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: E 4: cnt/TimerTC 7: nDTACK_IOB - 2: nRES.PIN 5: iobm/Er 8: nVPA_IOB - 3: cnt/Timer<0> 6: iobs/IOREQ + 1: IOREQ 3: iobm/Er 4: nVPA_IOB + 2: nRES.PIN Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr .......X................................ 1 -iobm/VPArf .......X................................ 1 +iobm/VPArr ...X.................................... 1 +iobm/VPArf ...X.................................... 1 iobm/RESrr .X...................................... 1 iobm/RESrf .X...................................... 1 -iobm/IOREQr .....X.................................. 1 -iobm/Er2 ....X................................... 1 -iobm/Er X....................................... 1 -iobm/DTACKrr ......X................................. 1 -iobm/DTACKrf ......X................................. 1 -cnt/Timer<0> X.XX.................................... 3 +iobm/IOREQr X....................................... 1 +iobm/Er2 ..X..................................... 1 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -fsb/VPA 18 13<- 0 0 FB3_1 (b) (b) -(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I -(unused) 0 0 /\3 2 FB3_3 (b) (b) -(unused) 0 0 0 5 FB3_4 (b) -(unused) 0 0 \/5 0 FB3_5 24 I/O I -(unused) 0 0 \/5 0 FB3_6 25 I/O I -iobs/IOREQ 13 10<- \/2 0 FB3_7 (b) (b) -(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 10 7<- \/2 0 FB3_9 28 I/O O -fsb/Ready1r 6 2<- \/1 0 FB3_10 (b) (b) -(unused) 0 0 \/5 0 FB3_11 29 I/O I -iobs/IORW1 16 11<- 0 0 FB3_12 30 I/O I -(unused) 0 0 /\5 0 FB3_13 (b) (b) -(unused) 0 0 \/5 0 FB3_14 32 I/O I -iobs/IORW0 17 12<- 0 0 FB3_15 33 I/O I -(unused) 0 0 /\5 0 FB3_16 (b) (b) -nROMWE 1 0 /\2 2 FB3_17 34 I/O O -(unused) 0 0 \/5 0 FB3_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 12: A_FSB<21> 23: iobs/IORW0 - 2: A_FSB<11> 13: A_FSB<22> 24: iobs/IORW1 - 3: A_FSB<12> 14: A_FSB<23> 25: iobs/IOReady - 4: A_FSB<13> 15: A_FSB<8> 26: iobs/Once - 5: A_FSB<14> 16: A_FSB<9> 27: iobs/PS_FSM_FFd1 - 6: A_FSB<15> 17: cs/nOverlay1 28: iobs/PS_FSM_FFd2 - 7: A_FSB<16> 18: fsb/ASrf 29: nADoutLE1 - 8: A_FSB<17> 19: fsb/Ready0r 30: nAS_FSB - 9: A_FSB<18> 20: fsb/Ready1r 31: nDTACK_FSB - 10: A_FSB<19> 21: fsb/VPA 32: nWE_FSB - 11: A_FSB<20> 22: iobs/IOACTr 33: ram/RAMReady - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -fsb/VPA XXXXXXXXXXXXXXXXXXXXX...X...XX.XX....... 26 -iobs/IOREQ ...XX.XXXXXXXX..XX...X...XXXXX.X........ 19 -nDTACK_FSB XXXXXXXXXXXXXXXXXXXX....X...XXXXX....... 26 -fsb/Ready1r ...XX.XXXXXXXX..XX.X....X...XX.X........ 17 -iobs/IORW1 ...XX.XXXXXXXX..XX.....X.XXXXX.X........ 19 -iobs/IORW0 ...XX.XXXXXXXX..XX....XX.XXXXX.X........ 20 -nROMWE .............................X.X........ 2 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB4 *********************************** Number of function block inputs used/remaining: 34/20 Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\3 2 FB4_1 (b) (b) -nAoutOE 1 0 0 4 FB4_2 87 I/O O -iobm/BERRrr 1 0 0 4 FB4_3 (b) (b) -iobm/BERRrf 1 0 0 4 FB4_4 (b) (b) -nDoutOE 1 0 0 4 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -cnt/PORS_FSM_FFd1 1 0 0 4 FB4_7 (b) (b) -nRES 1 0 0 4 FB4_8 91 I/O I/O -cnt/IPL2r 1 0 0 4 FB4_9 92 I/O I -iobm/IOS_FSM_FFd1 2 0 0 3 FB4_10 (b) (b) -nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/nRESout 2 0 0 3 FB4_12 94 I/O I -cnt/PORS_FSM_FFd2 2 0 0 3 FB4_13 (b) (b) -cnt/LTimer<0> 2 0 0 3 FB4_14 95 I/O I -cnt/LTimer<3> 4 0 0 1 FB4_15 96 I/O I -cnt/LTimer<2> 4 0 0 1 FB4_16 (b) (b) -cnt/LTimer<1> 4 0 \/1 0 FB4_17 97 I/O I -iobm/IOBERR 9 4<- 0 0 FB4_18 (b) (b) +IORW0 17 12<- 0 0 FB3_1 (b) (b) +(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 /\3 2 FB3_3 (b) (b) +(unused) 0 0 \/1 4 FB3_4 (b) (b) +(unused) 0 0 \/5 0 FB3_5 24 I/O I +fsb/VPA 16 11<- 0 0 FB3_6 25 I/O I +(unused) 0 0 /\5 0 FB3_7 (b) (b) +(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 9 5<- \/1 0 FB3_9 28 I/O O +(unused) 0 0 \/5 0 FB3_10 (b) (b) +iobs/IORW1 16 11<- 0 0 FB3_11 29 I/O I +(unused) 0 0 /\5 0 FB3_12 30 I/O I +fsb/Ready1r 6 1<- 0 0 FB3_13 (b) (b) +cs/nOverlay 3 0 /\1 1 FB3_14 32 I/O I +(unused) 0 0 \/5 0 FB3_15 33 I/O I +IOREQ 13 8<- 0 0 FB3_16 (b) (b) +nROMWE 1 0 /\3 1 FB3_17 34 I/O O +iobs/Clear1 1 0 \/4 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 13: cnt/PORS_FSM_FFd2 24: iobm/IOS_FSM_FFd1 - 2: A_FSB<21> 14: cnt/TimerTC 25: iobm/IOS_FSM_FFd2 - 3: A_FSB<22> 15: cnt/nRESout 26: iobm/IOS_FSM_FFd3 - 4: A_FSB<23> 16: fsb/VPA 27: iobm/RESrf - 5: C8M 17: iobm/BERRrf 28: iobm/RESrr - 6: cnt/IPL2r 18: iobm/BERRrr 29: nAS_FSB - 7: cnt/LTimer<0> 19: iobm/DTACKrf 30: nAoutOE - 8: cnt/LTimer<13> 20: iobm/DTACKrr 31: nBERR_IOB - 9: cnt/LTimer<1> 21: iobm/DoutOE 32: nBR_IOB - 10: cnt/LTimer<2> 22: iobm/ETACK 33: nIPL2 - 11: cnt/LTimer<3> 23: iobm/IOBERR 34: nWE_FSB - 12: cnt/PORS_FSM_FFd1 + 1: A_FSB<10> 13: A_FSB<22> 24: iobs/IOACTr + 2: A_FSB<11> 14: A_FSB<23> 25: iobs/IORW1 + 3: A_FSB<12> 15: A_FSB<8> 26: iobs/IOReady + 4: A_FSB<13> 16: A_FSB<9> 27: iobs/Once + 5: A_FSB<14> 17: IORW0 28: iobs/PS_FSM_FFd1 + 6: A_FSB<15> 18: nRES.PIN 29: iobs/PS_FSM_FFd2 + 7: A_FSB<16> 19: cs/nOverlay 30: nADoutLE1 + 8: A_FSB<17> 20: fsb/ASrf 31: nAS_FSB + 9: A_FSB<18> 21: fsb/Ready0r 32: nDTACK_FSB + 10: A_FSB<19> 22: fsb/Ready1r 33: nWE_FSB + 11: A_FSB<20> 23: fsb/VPA 34: ram/RAMReady + 12: A_FSB<21> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nAoutOE ...........XX..................X........ 3 -iobm/BERRrr ..............................X......... 1 -iobm/BERRrf ..............................X......... 1 -nDoutOE ....................X........X.......... 2 -nDinOE XXXX........................X....X...... 6 -cnt/PORS_FSM_FFd1 .....X.X...XX........................... 4 -nRES ..............X......................... 1 -cnt/IPL2r ................................X....... 1 -iobm/IOS_FSM_FFd1 .......................XXX.............. 3 -nVPA_FSB ...............X............X........... 2 -cnt/nRESout .......X...XX.X......................... 4 -cnt/PORS_FSM_FFd2 .......X...XX........................... 3 -cnt/LTimer<0> ......XX.....X.......................... 3 -cnt/LTimer<3> ......XXXXX..X.......................... 6 -cnt/LTimer<2> ......XXXX...X.......................... 5 -cnt/LTimer<1> ......XXX....X.......................... 4 -iobm/IOBERR ....X...........XXXX.XXXXXXX..X......... 13 +IORW0 ...XX.XXXXXXXX..X.XX....X.XXXXX.X....... 20 +fsb/VPA XXXXXXXXXXXXXXXX..XXXXX..X...XX.XX...... 26 +nDTACK_FSB XXXXXXXXXXXXXXXX..XXXX...X...XXXXX...... 26 +iobs/IORW1 ...XX.XXXXXXXX....XX....X.XXXXX.X....... 19 +fsb/Ready1r ...XX.XXXXXXXX....XX.X...X...XX.X....... 17 +cs/nOverlay ..........XXXX...XXX..........X......... 8 +IOREQ ...XX.XXXXXXXX....XX...X..XXXXX.X....... 19 +nROMWE ..............................X.X....... 2 +iobs/Clear1 ...........................XXX.......... 3 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 31/23 +Number of signals used by logic mapping into function block: 31 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RegUrgSync 1 0 0 4 FB4_1 (b) (b) +nAoutOE 2 0 0 3 FB4_2 87 I/O O +ram/BACTr 1 0 0 4 FB4_3 (b) (b) +nRESout 1 0 0 4 FB4_4 (b) (b) +nDoutOE 1 0 0 4 FB4_5 89 I/O O +nDinOE 3 0 0 2 FB4_6 90 I/O O +fsb/ASrf 1 0 0 4 FB4_7 (b) (b) +nRES 1 0 0 4 FB4_8 91 I/O I/O +cnt/Er<1> 1 0 0 4 FB4_9 92 I/O I +cnt/TimerTC 2 0 0 3 FB4_10 (b) (b) +nVPA_FSB 1 0 0 4 FB4_11 93 I/O O +cnt/LTimer<3> 2 0 0 3 FB4_12 94 I/O I +cnt/LTimer<2> 2 0 0 3 FB4_13 (b) (b) +cnt/LTimer<1> 2 0 0 3 FB4_14 95 I/O I +RefReq 2 0 0 3 FB4_15 96 I/O I +IOU0 3 0 0 2 FB4_16 (b) (b) +IOL0 3 0 0 2 FB4_17 97 I/O I +RefUrg 5 0 0 0 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<20> 12: cnt/LTimer<2> 22: iobs/PS_FSM_FFd1 + 2: A_FSB<21> 13: cnt/Timer<0> 23: iobs/PS_FSM_FFd2 + 3: A_FSB<22> 14: cnt/Timer<1> 24: nADoutLE1 + 4: A_FSB<23> 15: cnt/Timer<2> 25: nAS_FSB + 5: RefUrg 16: cnt/TimerTC 26: nAoutOE + 6: cnt/Er<0> 17: fsb/ASrf 27: nBR_IOB + 7: cnt/Er<1> 18: fsb/VPA 28: nLDS_FSB + 8: cnt/INITS_FSM_FFd1 19: iobm/DoutOE 29: nRESout + 9: cnt/INITS_FSM_FFd2 20: iobs/IOL1 30: nUDS_FSB + 10: cnt/LTimer<0> 21: iobs/IOU1 31: nWE_FSB + 11: cnt/LTimer<1> + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RegUrgSync ....X................................... 1 +nAoutOE .......XX................XX............. 4 +ram/BACTr ................X.......X............... 2 +nRESout .......XX............................... 2 +nDoutOE ..................X......X.............. 2 +nDinOE XXXX....................X.....X......... 6 +fsb/ASrf ........................X............... 1 +nRES ............................X........... 1 +cnt/Er<1> .....X.................................. 1 +cnt/TimerTC ....XXX.....XXX......................... 6 +nVPA_FSB .................X......X............... 2 +cnt/LTimer<3> .....XX..XXX...X........................ 6 +cnt/LTimer<2> .....XX..XX....X........................ 5 +cnt/LTimer<1> .....XX..X.....X........................ 4 +RefReq ....XXX......XX......................... 5 +IOU0 ....................XXXX.....X.......... 5 +IOL0 ...................X.XXX...X............ 5 +RefUrg ....XXX.....XXXX........................ 7 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 32/22 -Number of signals used by logic mapping into function block: 32 +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RASEL 17 12<- 0 0 FB5_1 (b) (b) -nROMCS 2 2<- /\5 0 FB5_2 35 I/O O -ram/RefDone 2 0 /\2 1 FB5_3 (b) (b) -cs/nOverlay1 2 0 0 3 FB5_4 (b) (b) -nCAS 1 0 0 4 FB5_5 36 I/O O -nOE 1 0 0 4 FB5_6 37 I/O O -fsb/Ready0r 3 0 0 2 FB5_7 (b) (b) -cs/nOverlay0 3 0 \/1 1 FB5_8 39 I/O (b) -RA<4> 2 1<- \/4 0 FB5_9 40 I/O O -ram/RAMEN 9 4<- 0 0 FB5_10 (b) (b) -RA<3> 2 0 \/2 1 FB5_11 41 I/O O -RA<5> 2 2<- \/5 0 FB5_12 42 I/O O -ram/RS_FSM_FFd2 10 5<- 0 0 FB5_13 (b) (b) +(unused) 0 0 \/1 4 FB5_1 (b) (b) +nROMCS 2 1<- \/4 0 FB5_2 35 I/O O +iobs/Load1 14 9<- 0 0 FB5_3 (b) (b) +(unused) 0 0 /\5 0 FB5_4 (b) (b) +nCAS 1 0 \/1 3 FB5_5 36 I/O O +nOE 1 1<- \/5 0 FB5_6 37 I/O O +iobs/PS_FSM_FFd2 12 7<- 0 0 FB5_7 (b) (b) +ram/RS_FSM_FFd2 8 5<- /\2 0 FB5_8 39 I/O (b) +RA<4> 2 2<- /\5 0 FB5_9 40 I/O O +iobs/PS_FSM_FFd1 2 0 /\2 1 FB5_10 (b) (b) +RA<3> 2 0 0 3 FB5_11 41 I/O O +RA<5> 2 0 0 3 FB5_12 42 I/O O +(unused) 0 0 0 5 FB5_13 (b) RA<2> 2 0 \/1 2 FB5_14 43 I/O O RA<6> 2 1<- \/4 0 FB5_15 46 I/O O -(unused) 0 0 \/5 0 FB5_16 (b) (b) -ram/RAMReady 12 9<- \/2 0 FB5_17 49 I/O (b) -(unused) 0 0 \/5 0 FB5_18 (b) (b) +iobs/IOReady 4 4<- \/5 0 FB5_16 (b) (b) +iobs/Once 15 10<- 0 0 FB5_17 49 I/O (b) +(unused) 0 0 /\5 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<12> 12: A_FSB<5> 23: ram/RAMEN - 2: A_FSB<13> 13: A_FSB<6> 24: ram/RAMReady - 3: A_FSB<14> 14: A_FSB<7> 25: ram/RASEL - 4: A_FSB<15> 15: nRES.PIN 26: ram/RS_FSM_FFd1 - 5: A_FSB<16> 16: cs/nOverlay0 27: ram/RS_FSM_FFd2 - 6: A_FSB<20> 17: cs/nOverlay1 28: ram/RS_FSM_FFd3 - 7: A_FSB<21> 18: fsb/ASrf 29: ram/RefDone - 8: A_FSB<22> 19: fsb/Ready0r 30: ram/RefReq - 9: A_FSB<23> 20: nAS_FSB 31: ram/RefReqSync - 10: A_FSB<3> 21: nWE_FSB 32: ram/RefUrgent - 11: A_FSB<4> 22: ram/BACTr + 1: A_FSB<12> 13: A_FSB<3> 24: iobs/PS_FSM_FFd1 + 2: A_FSB<13> 14: A_FSB<4> 25: iobs/PS_FSM_FFd2 + 3: A_FSB<14> 15: A_FSB<5> 26: nADoutLE1 + 4: A_FSB<15> 16: A_FSB<6> 27: nAS_FSB + 5: A_FSB<16> 17: A_FSB<7> 28: nWE_FSB + 6: A_FSB<17> 18: IOBERR 29: ram/RAMEN + 7: A_FSB<18> 19: cs/nOverlay 30: ram/RASEL + 8: A_FSB<19> 20: fsb/ASrf 31: ram/RS_FSM_FFd1 + 9: A_FSB<20> 21: iobs/IOACTr 32: ram/RS_FSM_FFd2 + 10: A_FSB<21> 22: iobs/IOReady 33: ram/RS_FSM_FFd3 + 11: A_FSB<22> 23: iobs/Once 34: ram/RefUrg + 12: A_FSB<23> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL ......XXX.......XX.X.XX..XXX.X.X........ 13 -nROMCS .....XXXX.......X....................... 5 -ram/RefDone .........................XX.X.X......... 4 -cs/nOverlay1 ...............X.X.X.................... 3 -nCAS ........................X............... 1 -nOE ...................XX................... 2 -fsb/Ready0r ......XXX.......XXXX...X................ 8 -cs/nOverlay0 .....XXXX.....XX.X.X.................... 8 -RA<4> ..X........X............X............... 3 -ram/RAMEN ......XXX.......XX.X.XX..XXX.X.X........ 13 -RA<3> .X........X.............X............... 3 -RA<5> ...X........X...........X............... 3 -ram/RS_FSM_FFd2 ......XXX.......XX.X.X...XXX.X.X........ 12 -RA<2> X........X..............X............... 3 -RA<6> ....X........X..........X............... 3 -ram/RAMReady ......XXX.......XX.X.XX..XXX.X.X........ 13 +nROMCS ........XXXX......X..................... 5 +iobs/Load1 .XX.XXXXXXXX......XX..XXXXXX............ 18 +nCAS .............................X.......... 1 +nOE ..........................XX............ 2 +iobs/PS_FSM_FFd2 .XX.XXXXXXXX......XXX.XXXXXX............ 19 +ram/RS_FSM_FFd2 ..........XX......XX......X.X.XXXX...... 10 +RA<4> ..X...........X..............X.......... 3 +iobs/PS_FSM_FFd1 ....................X..XX............... 3 +RA<3> .X...........X...............X.......... 3 +RA<5> ...X...........X.............X.......... 3 +RA<2> X...........X................X.......... 3 +RA<6> ....X...........X............X.......... 3 +iobs/IOReady .................X.XXXX.XXX............. 8 +iobs/Once .XX.XXXXXXXX......XX..XXXXXX............ 18 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 32/22 -Number of signals used by logic mapping into function block: 32 +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use iobm/ETACK 1 0 0 4 FB6_1 (b) (b) nVMA_IOB 3 0 0 2 FB6_2 74 I/O O -iobm/DoutOE 2 0 0 3 FB6_3 (b) (b) -iobm/ALE0 2 0 0 3 FB6_4 (b) (b) -iobm/IOS_FSM_FFd3 3 0 0 2 FB6_5 76 I/O I -iobm/ES<3> 3 0 0 2 FB6_6 77 I/O I -iobm/ES<1> 3 0 0 2 FB6_7 (b) (b) -iobm/ES<0> 3 0 0 2 FB6_8 78 I/O I +iobm/IOS_FSM_FFd3 3 0 0 2 FB6_3 (b) (b) +iobm/ES<3> 3 0 0 2 FB6_4 (b) (b) +iobm/ES<1> 3 0 0 2 FB6_5 76 I/O I +iobm/ES<0> 3 0 0 2 FB6_6 77 I/O I +iobm/DoutOE 3 0 0 2 FB6_7 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I nLDS_IOB 4 0 0 1 FB6_9 79 I/O O -iobm/ES<4> 4 0 0 1 FB6_10 (b) (b) +iobm/IOS_FSM_FFd2 5 0 0 0 FB6_10 (b) (b) nUDS_IOB 4 0 0 1 FB6_11 80 I/O O nAS_IOB 3 0 0 2 FB6_12 81 I/O O -iobm/IOS_FSM_FFd2 5 0 0 0 FB6_13 (b) (b) +iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) nADoutLE1 2 0 0 3 FB6_14 82 I/O O -nADoutLE0 1 0 0 4 FB6_15 85 I/O O -iobm/ES<2> 5 0 0 0 FB6_16 (b) (b) -nDinLE 1 0 \/1 3 FB6_17 86 I/O O -iobm/IOACT 6 1<- 0 0 FB6_18 (b) (b) +nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O +IOACT 6 1<- 0 0 FB6_16 (b) (b) +nDinLE 1 0 \/4 0 FB6_17 86 I/O O +IOBERR 9 4<- 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 12: iobm/ETACK 23: iobm/VPArr - 2: iobm/ALE0 13: iobm/Er 24: iobs/ALE0 - 3: iobm/BERRrf 14: iobm/Er2 25: iobs/Clear1 - 4: iobm/BERRrr 15: iobm/IOACT 26: iobs/IOL0 - 5: iobm/DTACKrf 16: iobm/IOREQr 27: iobs/IORW0 - 6: iobm/DTACKrr 17: iobm/IOS_FSM_FFd1 28: iobs/IOU0 - 7: iobm/ES<0> 18: iobm/IOS_FSM_FFd2 29: iobs/Load1 - 8: iobm/ES<1> 19: iobm/IOS_FSM_FFd3 30: nADoutLE1 - 9: iobm/ES<2> 20: iobm/RESrf 31: nAoutOE - 10: iobm/ES<3> 21: iobm/RESrr 32: nVMA_IOB - 11: iobm/ES<4> 22: iobm/VPArf + 1: ALE0M 13: iobm/ES<0> 24: iobm/IOS_FSM_FFd3 + 2: ALE0S 14: iobm/ES<1> 25: iobm/RESrf + 3: C8M 15: iobm/ES<2> 26: iobm/RESrr + 4: IOACT 16: iobm/ES<3> 27: iobm/VPArf + 5: IOBERR 17: iobm/ES<4> 28: iobm/VPArr + 6: IOL0 18: iobm/ETACK 29: iobs/Clear1 + 7: IORW0 19: iobm/Er 30: iobs/Load1 + 8: IOU0 20: iobm/Er2 31: nADoutLE1 + 9: iobm/BERRrf 21: iobm/IOREQr 32: nAoutOE + 10: iobm/BERRrr 22: iobm/IOS_FSM_FFd1 33: nBERR_IOB + 11: iobm/DTACKrf 23: iobm/IOS_FSM_FFd2 34: nVMA_IOB + 12: iobm/DTACKrr Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/ETACK ......XXXXX....................X........ 6 -nVMA_IOB ......XXXXX...X......XX.......XX........ 10 -iobm/DoutOE .................XX.......X............. 3 -iobm/ALE0 ...............XXXX..................... 4 -iobm/IOS_FSM_FFd3 X..............XXXX...........X......... 6 -iobm/ES<3> ......XXXX..XX.......................... 6 -iobm/ES<1> ......XX....XX.......................... 4 -iobm/ES<0> ......XXXXX.XX.......................... 7 -nLDS_IOB ................XXX......XX...X......... 6 -iobm/ES<4> ......XXXXX.XX.......................... 7 -nUDS_IOB ................XXX.......XX..X......... 6 -nAS_IOB ................XXX...........X......... 4 -iobm/IOS_FSM_FFd2 X.XXXX.....X....XXXXX................... 11 -nADoutLE1 ........................X...XX.......... 3 -nADoutLE0 .X.....................X................ 2 -iobm/ES<2> ......XXXXX.XX.......................... 7 -nDinLE ................XX...................... 2 -iobm/IOACT X.XXXX.....X...XXXXXX................... 12 +iobm/ETACK ............XXXXX................X...... 6 +nVMA_IOB ...X........XXXXX.........XX...X.X...... 10 +iobm/IOS_FSM_FFd3 ..X.................XXXX.......X........ 6 +iobm/ES<3> ............XXXX..XX.................... 6 +iobm/ES<1> ............XX....XX.................... 4 +iobm/ES<0> ............XXXXX.XX.................... 7 +iobm/DoutOE ......X.............XXXX.......X........ 6 +iobm/ES<4> ............XXXXX.XX.................... 7 +nLDS_IOB .....XX..............XXX.......X........ 6 +iobm/IOS_FSM_FFd2 ..X.....XXXX.....X...XXXXX.............. 11 +nUDS_IOB ......XX.............XXX.......X........ 6 +nAS_IOB .....................XXX.......X........ 4 +iobm/ES<2> ............XXXXX.XX.................... 7 +nADoutLE1 ............................XXX......... 3 +nADoutLE0 XX...................................... 2 +IOACT ..X.....XXXX.....X..XXXXXX.............. 12 +nDinLE .....................XX................. 2 +IOBERR ..X.X...XXXX.....X...XXXXX......X....... 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 30/24 -Number of signals used by logic mapping into function block: 30 +Number of function block inputs used/remaining: 31/23 +Number of signals used by logic mapping into function block: 31 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimer<13> 3 0 0 2 FB7_1 (b) (b) +cnt/LTimerTC 2 0 0 3 FB7_1 (b) (b) RA<1> 2 0 0 3 FB7_2 50 I/O O -cnt/LTimer<9> 4 0 0 1 FB7_3 (b) (b) -cnt/LTimer<8> 4 0 0 1 FB7_4 (b) (b) +cnt/LTimer<9> 2 0 0 3 FB7_3 (b) (b) +cnt/LTimer<8> 2 0 0 3 FB7_4 (b) (b) RA<7> 2 0 0 3 FB7_5 52 I/O O RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/LTimer<7> 4 0 \/1 0 FB7_7 (b) (b) -RA<8> 7 2<- 0 0 FB7_8 54 I/O O -RA<10> 1 0 /\1 3 FB7_9 55 I/O O -cnt/LTimer<6> 4 0 0 1 FB7_10 (b) (b) +cnt/LTimer<7> 2 0 0 3 FB7_7 (b) (b) +RA<8> 5 0 0 0 FB7_8 54 I/O O +RA<10> 1 0 0 4 FB7_9 55 I/O O +cnt/LTimer<6> 2 0 0 3 FB7_10 (b) (b) RA<9> 2 0 0 3 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/LTimer<5> 4 0 0 1 FB7_13 (b) (b) +cnt/LTimer<5> 2 0 0 3 FB7_13 (b) (b) C20MEN 0 0 0 5 FB7_14 59 I/O O -cnt/LTimer<4> 4 0 0 1 FB7_15 60 I/O (b) -cnt/LTimer<12> 4 0 0 1 FB7_16 (b) (b) -cnt/LTimer<11> 4 0 0 1 FB7_17 61 I/O (b) -cnt/LTimer<10> 4 0 0 1 FB7_18 (b) (b) +cnt/LTimer<4> 2 0 0 3 FB7_15 60 I/O (b) +cnt/LTimer<12> 2 0 0 3 FB7_16 (b) (b) +cnt/LTimer<11> 2 0 0 3 FB7_17 61 I/O (b) +cnt/LTimer<10> 2 0 0 3 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 11: A_FSB<2> 21: cnt/LTimer<3> - 2: A_FSB<11> 12: A_FSB<8> 22: cnt/LTimer<4> - 3: A_FSB<17> 13: A_FSB<9> 23: cnt/LTimer<5> - 4: A_FSB<18> 14: cnt/LTimer<0> 24: cnt/LTimer<6> - 5: A_FSB<19> 15: cnt/LTimer<10> 25: cnt/LTimer<7> - 6: A_FSB<1> 16: cnt/LTimer<11> 26: cnt/LTimer<8> - 7: A_FSB<20> 17: cnt/LTimer<12> 27: cnt/LTimer<9> - 8: A_FSB<21> 18: cnt/LTimer<13> 28: cnt/TimerTC - 9: A_FSB<22> 19: cnt/LTimer<1> 29: cs/nOverlay1 - 10: A_FSB<23> 20: cnt/LTimer<2> 30: ram/RASEL + 1: A_FSB<10> 12: A_FSB<8> 22: cnt/LTimer<3> + 2: A_FSB<11> 13: A_FSB<9> 23: cnt/LTimer<4> + 3: A_FSB<17> 14: cnt/Er<0> 24: cnt/LTimer<5> + 4: A_FSB<18> 15: cnt/Er<1> 25: cnt/LTimer<6> + 5: A_FSB<19> 16: cnt/LTimer<0> 26: cnt/LTimer<7> + 6: A_FSB<1> 17: cnt/LTimer<10> 27: cnt/LTimer<8> + 7: A_FSB<20> 18: cnt/LTimer<11> 28: cnt/LTimer<9> + 8: A_FSB<21> 19: cnt/LTimer<12> 29: cnt/TimerTC + 9: A_FSB<22> 20: cnt/LTimer<1> 30: cs/nOverlay + 10: A_FSB<23> 21: cnt/LTimer<2> 31: ram/RASEL + 11: A_FSB<2> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<13> .............XXXXXXXXXXXXXXX............ 15 -RA<1> .X........X..................X.......... 3 -cnt/LTimer<9> .............X...XXXXXXXXXXX............ 12 -cnt/LTimer<8> .............X...XXXXXXXXX.X............ 11 -RA<7> ..X........X.................X.......... 3 -RA<0> X....X.......................X.......... 3 -cnt/LTimer<7> .............X...XXXXXXXX..X............ 10 -RA<8> ...X...XXX..X...............XX.......... 7 +cnt/LTimerTC .............XXXXXXXXXXXXXXXX........... 16 +RA<1> .X........X...................X......... 3 +cnt/LTimer<9> .............XXX...XXXXXXXX.X........... 12 +cnt/LTimer<8> .............XXX...XXXXXXX..X........... 11 +RA<7> ..X........X..................X......... 3 +RA<0> X....X........................X......... 3 +cnt/LTimer<7> .............XXX...XXXXXX...X........... 10 +RA<8> ...X....XX..X................XX......... 6 RA<10> .......X................................ 1 -cnt/LTimer<6> .............X...XXXXXXX...X............ 9 -RA<9> ....X.X......................X.......... 3 +cnt/LTimer<6> .............XXX...XXXXX....X........... 9 +RA<9> ....X.X.......................X......... 3 C25MEN ........................................ 0 -cnt/LTimer<5> .............X...XXXXXX....X............ 8 +cnt/LTimer<5> .............XXX...XXXX.....X........... 8 C20MEN ........................................ 0 -cnt/LTimer<4> .............X...XXXXX.....X............ 7 -cnt/LTimer<12> .............XXXXXXXXXXXXXXX............ 15 -cnt/LTimer<11> .............XXX.XXXXXXXXXXX............ 14 -cnt/LTimer<10> .............XX..XXXXXXXXXXX............ 13 +cnt/LTimer<4> .............XXX...XXX......X........... 7 +cnt/LTimer<12> .............XXXXX.XXXXXXXXXX........... 15 +cnt/LTimer<11> .............XXXX..XXXXXXXXXX........... 14 +cnt/LTimer<10> .............XXX...XXXXXXXXXX........... 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/Once 15 10<- 0 0 FB8_1 (b) (b) +ram/RASEL 14 9<- 0 0 FB8_1 (b) (b) RA<11> 1 1<- /\5 0 FB8_2 63 I/O O -iobs/Clear1 1 0 /\1 3 FB8_3 (b) (b) -iobs/ALE0 1 0 0 4 FB8_4 (b) (b) -nRAS 3 0 0 2 FB8_5 64 I/O O +cnt/Timer<2> 5 1<- /\1 0 FB8_3 (b) (b) +cnt/Timer<0> 2 0 /\1 2 FB8_4 (b) (b) +nRAS 0 0 0 5 FB8_5 64 I/O O nRAMLWE 1 0 0 4 FB8_6 65 I/O O -iobs/PS_FSM_FFd1 2 0 \/1 2 FB8_7 (b) (b) -nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O -ram/RS_FSM_FFd1 8 5<- \/2 0 FB8_9 67 I/O (b) -(unused) 0 0 \/5 0 FB8_10 (b) (b) -ram/RS_FSM_FFd3 9 7<- \/3 0 FB8_11 68 I/O (b) -nBERR_FSB 4 3<- \/4 0 FB8_12 70 I/O O +cnt/INITS_FSM_FFd2 2 0 0 3 FB8_7 (b) (b) +nRAMUWE 1 0 0 4 FB8_8 66 I/O O +fsb/Ready0r 2 0 \/2 1 FB8_9 67 I/O (b) +cnt/Timer<1> 4 2<- \/3 0 FB8_10 (b) (b) +ram/RAMReady 9 4<- 0 0 FB8_11 68 I/O (b) +nBERR_FSB 4 0 /\1 0 FB8_12 70 I/O O (unused) 0 0 \/5 0 FB8_13 (b) (b) -iobs/PS_FSM_FFd2 12 9<- \/2 0 FB8_14 71 I/O (b) -nBR_IOB 2 2<- \/5 0 FB8_15 72 I/O O -iobs/Load1 14 9<- 0 0 FB8_16 (b) (b) -ram/BACTr 1 0 /\4 0 FB8_17 73 I/O (b) -(unused) 0 0 \/5 0 FB8_18 (b) (b) +ram/RAMEN 9 5<- \/1 0 FB8_14 71 I/O (b) +nBR_IOB 2 1<- \/4 0 FB8_15 72 I/O O +ram/RS_FSM_FFd3 13 8<- 0 0 FB8_16 (b) (b) +cnt/INITS_FSM_FFd1 1 0 /\4 0 FB8_17 73 I/O (b) +cnt/LTimer<0> 1 0 \/4 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 12: cnt/PORS_FSM_FFd1 23: nBERR_FSB - 2: A_FSB<14> 13: cnt/PORS_FSM_FFd2 24: nBR_IOB - 3: A_FSB<16> 14: cs/nOverlay1 25: nLDS_FSB - 4: A_FSB<17> 15: fsb/ASrf 26: nUDS_FSB - 5: A_FSB<18> 16: iobm/IOBERR 27: nWE_FSB - 6: A_FSB<19> 17: iobs/IOACTr 28: ram/RAMEN - 7: A_FSB<20> 18: iobs/Once 29: ram/RS_FSM_FFd1 - 8: A_FSB<21> 19: iobs/PS_FSM_FFd1 30: ram/RS_FSM_FFd2 - 9: A_FSB<22> 20: iobs/PS_FSM_FFd2 31: ram/RS_FSM_FFd3 - 10: A_FSB<23> 21: nADoutLE1 32: ram/RefRAS - 11: cnt/IPL2r 22: nAS_FSB 33: ram/RefUrgent + 1: A_FSB<19> 13: cnt/TimerTC 25: nLDS_FSB + 2: A_FSB<22> 14: cnt/nIPL2r 26: nUDS_FSB + 3: A_FSB<23> 15: cs/nOverlay 27: nWE_FSB + 4: IOBERR 16: fsb/ASrf 28: ram/BACTr + 5: cnt/Er<0> 17: fsb/Ready0r 29: ram/RAMEN + 6: cnt/Er<1> 18: iobs/IOACTr 30: ram/RAMReady + 7: cnt/INITS_FSM_FFd1 19: iobs/Once 31: ram/RS_FSM_FFd1 + 8: cnt/INITS_FSM_FFd2 20: iobs/PS_FSM_FFd2 32: ram/RS_FSM_FFd2 + 9: cnt/LTimerTC 21: nADoutLE1 33: ram/RS_FSM_FFd3 + 10: cnt/Timer<0> 22: nAS_FSB 34: ram/RefReq + 11: cnt/Timer<1> 23: nBERR_FSB 35: ram/RefUrg + 12: cnt/Timer<2> 24: nBR_IOB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/Once XXXXXXXXXX...XX..XXXXX....X............. 18 -RA<11> .....X.................................. 1 -iobs/Clear1 ..................XXX................... 3 -iobs/ALE0 ..................XX.................... 2 -nRAS .......XXX...X.......X.....X...X........ 7 -nRAMLWE .....................X..X.XX............ 4 -iobs/PS_FSM_FFd1 ................X.XX.................... 3 -nRAMUWE .....................X...XXX............ 4 -ram/RS_FSM_FFd1 .......XXX...XX......X.....XXXX.X....... 11 -ram/RS_FSM_FFd3 .......XXX...XX......X.....XXXX.X....... 11 -nBERR_FSB ..............XXXX.XXXX................. 8 -iobs/PS_FSM_FFd2 XXXXXXXXXX...XX.XXXXXX....X............. 19 -nBR_IOB ..........XXX..........X................ 4 -iobs/Load1 XXXXXXXXXX...XX..XXXXX....X............. 18 -ram/BACTr ..............X......X.................. 2 +ram/RASEL .XX...........XX.....X.....XX.XXXXX..... 12 +RA<11> X....................................... 1 +cnt/Timer<2> ....XX...XXXX........................... 6 +cnt/Timer<0> ....XX...X..X........................... 4 +nRAS ........................................ 0 +nRAMLWE .....................X..X.X.X........... 4 +cnt/INITS_FSM_FFd2 ....XXXXX...X........................... 6 +nRAMUWE .....................X...XX.X........... 4 +fsb/Ready0r .XX...........XXX....X.......X.......... 7 +cnt/Timer<1> ....XX...XX.X........................... 5 +ram/RAMReady .XX...........XX.....X.....XX.XXXXX..... 12 +nBERR_FSB ...X...........X.XXXXXX................. 8 +ram/RAMEN .XX...........XX.....X.....XX.XXXXX..... 12 +nBR_IOB ......XX.....X.........X................ 4 +ram/RS_FSM_FFd3 .XX...........XX.....X.....XX.XXXXX..... 12 +cnt/INITS_FSM_FFd1 ....XXXXX...XX.......................... 7 +cnt/LTimer<0> ....XX......X........................... 3 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** +FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); +ALE0M_D <= ((iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOREQr)); -assign C20MEN = 1'b1; +FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,FCLK,'0','0'); +ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); -assign C25MEN = 1'b1; +C20MEN <= '1'; +C25MEN <= '1'; @@ -749,855 +753,831 @@ assign C25MEN = 1'b1; - - - - - -assign RA[0] = ((A_FSB[10] && !ram/RASEL) - || (ram/RASEL && A_FSB[1])); - - -assign RA[1] = ((A_FSB[11] && !ram/RASEL) - || (ram/RASEL && A_FSB[2])); - - -assign RA[2] = ((A_FSB[12] && !ram/RASEL) - || (ram/RASEL && A_FSB[3])); - - -assign RA[3] = ((A_FSB[13] && !ram/RASEL) - || (ram/RASEL && A_FSB[4])); - - -assign RA[4] = ((ram/RASEL && A_FSB[5]) - || (A_FSB[14] && !ram/RASEL)); - - -assign RA[5] = ((A_FSB[15] && !ram/RASEL) - || (ram/RASEL && A_FSB[6])); - - -assign RA[6] = ((ram/RASEL && A_FSB[7]) - || (A_FSB[16] && !ram/RASEL)); - - -assign RA[7] = ((A_FSB[8] && ram/RASEL) - || (A_FSB[17] && !ram/RASEL)); - - -assign RA[8] = ((A_FSB[9] && !A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - ram/RASEL) - || (A_FSB[9] && !A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && ram/RASEL) - || (A_FSB[23] && A_FSB[18]) - || (A_FSB[18] && !ram/RASEL) - || (A_FSB[22] && !A_FSB[21] && A_FSB[18]) - || (A_FSB[22] && A_FSB[18] && cs/nOverlay1) - || (!A_FSB[22] && A_FSB[18] && !cs/nOverlay1)); - - -assign RA[9] = ((A_FSB[20] && ram/RASEL) - || (A_FSB[19] && !ram/RASEL)); - - -assign RA[10] = A_FSB[21]; - - -assign RA[11] = A_FSB[19]; - -FDCPE FDCPE_cnt/IPL2r (cnt/IPL2r,!nIPL2,!C8M,1'b0,1'b0); - -FTCPE FTCPE_cnt/LTimer0 (cnt/LTimer[0],cnt/LTimer_T[0],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[0] = (!cnt/LTimer[0] && cnt/LTimer[13] && cnt/TimerTC); - -FDCPE FDCPE_cnt/LTimer1 (cnt/LTimer[1],cnt/LTimer_D[1],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_D[1] = ((cnt/LTimer[0] && cnt/LTimer[1]) - || (!cnt/LTimer[0] && !cnt/LTimer[1]) - || (cnt/LTimer[13] && cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer2 (cnt/LTimer[2],cnt/LTimer_T[2],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[2] = ((cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1]) - || (cnt/LTimer[0] && cnt/LTimer[1] && !cnt/TimerTC) - || (cnt/LTimer[13] && cnt/LTimer[2] && cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer3 (cnt/LTimer[3],cnt/LTimer_T[3],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[3] = ((cnt/LTimer[13] && cnt/LTimer[3] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer4 (cnt/LTimer[4],cnt/LTimer_T[4],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[4] = ((cnt/LTimer[13] && cnt/LTimer[4] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer5 (cnt/LTimer[5],cnt/LTimer_T[5],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[5] = ((cnt/LTimer[13] && cnt/LTimer[5] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[4]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[4] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer6 (cnt/LTimer[6],cnt/LTimer_T[6],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[6] = ((cnt/LTimer[13] && cnt/LTimer[6] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer7 (cnt/LTimer[7],cnt/LTimer_T[7],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[7] = ((cnt/LTimer[13] && cnt/LTimer[7] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && - cnt/LTimer[6]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer8 (cnt/LTimer[8],cnt/LTimer_T[8],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[8] = ((cnt/LTimer[13] && cnt/LTimer[8] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && - cnt/LTimer[6] && cnt/LTimer[7]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - cnt/LTimer[7] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer9 (cnt/LTimer[9],cnt/LTimer_T[9],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[9] = ((cnt/LTimer[13] && cnt/LTimer[9] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && - cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[8]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - cnt/LTimer[7] && cnt/LTimer[8] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer10 (cnt/LTimer[10],cnt/LTimer_T[10],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[10] = ((cnt/LTimer[13] && cnt/LTimer[10] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && - cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[8]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[8] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer11 (cnt/LTimer[11],cnt/LTimer_T[11],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[11] = ((cnt/LTimer[13] && cnt/LTimer[11] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && - cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && - cnt/LTimer[8]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[8] && - !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer12 (cnt/LTimer[12],cnt/LTimer_T[12],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[12] = ((cnt/LTimer[13] && cnt/LTimer[12] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && - cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && - cnt/LTimer[11] && cnt/LTimer[8]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[11] && - cnt/LTimer[8] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer13 (cnt/LTimer[13],cnt/LTimer_T[13],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[13] = ((cnt/LTimer[13] && cnt/TimerTC) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[11] && - cnt/LTimer[8] && cnt/LTimer[12])); - -FTCPE FTCPE_cnt/PORS_FSM_FFd1 (cnt/PORS_FSM_FFd1,cnt/PORS_FSM_FFd1_T,!C8M,1'b0,1'b0); -assign cnt/PORS_FSM_FFd1_T = (cnt/LTimer[13] && !cnt/PORS_FSM_FFd1 && - cnt/PORS_FSM_FFd2 && !cnt/IPL2r); - -FDCPE FDCPE_cnt/PORS_FSM_FFd2 (cnt/PORS_FSM_FFd2,cnt/PORS_FSM_FFd2_D,!C8M,1'b0,1'b0); -assign cnt/PORS_FSM_FFd2_D = ((cnt/LTimer[13] && !cnt/PORS_FSM_FFd1) - || (!cnt/LTimer[13] && cnt/PORS_FSM_FFd2)); - -FDCPE FDCPE_cnt/RefReq (cnt/RefReq,cnt/RefReq_D,E,1'b0,1'b0); -assign cnt/RefReq_D = ((cnt/Timer[1] && !cnt/Timer[3]) - || (cnt/Timer[2] && !cnt/Timer[3]) - || (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && - cnt/Timer[3])); - -FDCPE FDCPE_cnt/RefUrgent (cnt/RefUrgent,cnt/RefUrgent_D,E,1'b0,1'b0); -assign cnt/RefUrgent_D = ((cnt/Timer[1] && cnt/Timer[2] && !cnt/Timer[3]) - || (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && - cnt/Timer[3])); - -FDCPE FDCPE_cnt/Timer0 (cnt/Timer[0],cnt/Timer_D[0],E,1'b0,1'b0); -assign cnt/Timer_D[0] = (!cnt/TimerTC && !cnt/Timer[0]); - -FDCPE FDCPE_cnt/Timer1 (cnt/Timer[1],cnt/Timer_D[1],E,1'b0,1'b0); -assign cnt/Timer_D[1] = ((!cnt/TimerTC && cnt/Timer[1] && !cnt/Timer[0]) - || (!cnt/TimerTC && !cnt/Timer[1] && cnt/Timer[0])); - -FTCPE FTCPE_cnt/Timer2 (cnt/Timer[2],cnt/Timer_T[2],E,1'b0,1'b0); -assign cnt/Timer_T[2] = ((cnt/TimerTC && cnt/Timer[2]) - || (!cnt/TimerTC && cnt/Timer[1] && cnt/Timer[0])); - -FTCPE FTCPE_cnt/Timer3 (cnt/Timer[3],cnt/Timer_T[3],E,1'b0,1'b0); -assign cnt/Timer_T[3] = ((cnt/TimerTC && cnt/Timer[3]) - || (!cnt/TimerTC && cnt/Timer[1] && cnt/Timer[2] && - cnt/Timer[0])); - -FDCPE FDCPE_cnt/TimerTC (cnt/TimerTC,cnt/TimerTC_D,E,1'b0,1'b0); -assign cnt/TimerTC_D = (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && - cnt/Timer[3]); - -FDCPE FDCPE_cnt/nRESout (cnt/nRESout,cnt/nRESout_D,!C8M,1'b0,1'b0); -assign cnt/nRESout_D = ((cnt/LTimer[13] && cnt/PORS_FSM_FFd1 && - !cnt/PORS_FSM_FFd2) - || (cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2 && - cnt/nRESout)); - -FTCPE FTCPE_cs/nOverlay0 (cs/nOverlay0,cs/nOverlay0_T,FCLK,!nRES.PIN,1'b0); -assign cs/nOverlay0_T = ((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && - !cs/nOverlay0 && !nAS_FSB) - || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && - !cs/nOverlay0 && fsb/ASrf)); - -FDCPE FDCPE_cs/nOverlay1 (cs/nOverlay1,cs/nOverlay0,FCLK,1'b0,1'b0,cs/nOverlay1_CE); -assign cs/nOverlay1_CE = (nAS_FSB && !fsb/ASrf); - -FDCPE FDCPE_fsb/ASrf (fsb/ASrf,!nAS_FSB,!FCLK,1'b0,1'b0); - -FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,FCLK,1'b0,1'b0); -assign fsb/Ready0r_D = ((nAS_FSB && !fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !fsb/Ready0r && !ram/RAMReady) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady)); - -FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,FCLK,1'b0,1'b0); -assign fsb/Ready1r_D = ((A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) - || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) - || (nAS_FSB && !fsb/ASrf) - || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady) - || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && - !iobs/IOReady) - || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && - !iobs/IOReady)); - -FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,FCLK,1'b0,1'b0); -assign fsb/VPA_D = ((EXP15_.EXP) - || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1) - || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1) - || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1) - || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1) - || (A_FSB[9] && A_FSB[8] && A_FSB[15] && A_FSB[14] && - A_FSB[13] && A_FSB[12] && A_FSB[11] && A_FSB[10] && A_FSB[23] && - A_FSB[22] && A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && - A_FSB[17] && A_FSB[16] && iobs/IOReady && !nAS_FSB) - || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) - || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && !nAS_FSB) - || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && fsb/ASrf) - || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && !nAS_FSB) - || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && fsb/ASrf) - || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && !nAS_FSB)); - -FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,C16M,1'b0,1'b0); -assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) - || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && - !iobm/IOREQr)); - -FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/DoutOE (iobm/DoutOE,iobm/DoutOE_D,C16M,1'b0,1'b0); -assign iobm/DoutOE_D = ((iobs/IORW0 && iobm/IOS_FSM_FFd3) - || (iobs/IORW0 && iobm/IOS_FSM_FFd2)); - -FTCPE FTCPE_iobm/ES0 (iobm/ES[0],iobm/ES_T[0],C16M,1'b0,1'b0); -assign iobm/ES_T[0] = ((iobm/ES[0] && !iobm/Er && iobm/Er2) - || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && - !iobm/ES[3] && !iobm/ES[4] && iobm/Er) - || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && - !iobm/ES[3] && !iobm/ES[4] && !iobm/Er2)); - -FDCPE FDCPE_iobm/ES1 (iobm/ES[1],iobm/ES_D[1],C16M,1'b0,1'b0); -assign iobm/ES_D[1] = ((iobm/ES[0] && iobm/ES[1]) - || (!iobm/ES[0] && !iobm/ES[1]) - || (!iobm/Er && iobm/Er2)); - -FDCPE FDCPE_iobm/ES2 (iobm/ES[2],iobm/ES_D[2],C16M,1'b0,1'b0); -assign iobm/ES_D[2] = ((!iobm/ES[0] && !iobm/ES[2]) - || (!iobm/ES[1] && !iobm/ES[2]) - || (!iobm/Er && iobm/Er2) - || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2]) - || (!iobm/ES[2] && !iobm/ES[3] && iobm/ES[4])); - -FTCPE FTCPE_iobm/ES3 (iobm/ES[3],iobm/ES_T[3],C16M,1'b0,1'b0); -assign iobm/ES_T[3] = ((iobm/ES[3] && !iobm/Er && iobm/Er2) - || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && iobm/Er) - || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && !iobm/Er2)); - -FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],C16M,1'b0,1'b0); -assign iobm/ES_T[4] = ((iobm/ES[4] && !iobm/Er && iobm/Er2) - || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && - iobm/ES[3] && iobm/Er) - || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && - iobm/ES[3] && !iobm/Er2) - || (iobm/ES[0] && iobm/ES[1] && !iobm/ES[2] && - !iobm/ES[3] && iobm/ES[4])); - -FDCPE FDCPE_iobm/ETACK (iobm/ETACK,iobm/ETACK_D,C16M,1'b0,1'b0); -assign iobm/ETACK_D = (!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && - !iobm/ES[3] && iobm/ES[4]); - -FDCPE FDCPE_iobm/Er (iobm/Er,E,!C8M,1'b0,1'b0); - -FDCPE FDCPE_iobm/Er2 (iobm/Er2,iobm/Er,C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,C16M,1'b0,1'b0); -assign iobm/IOACT_D = ((C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/RESrf && iobm/RESrr) - || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) - || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && - !iobm/IOREQr) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0'); +IOACT_D <= ((C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/RESrf AND iobm/RESrr) + OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOREQr) + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND iobm/ETACK) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/BERRrf && iobm/BERRrr) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/DTACKrf && iobm/DTACKrr)); + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/DTACKrf AND iobm/DTACKrr) + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/BERRrf AND iobm/BERRrr)); -FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0); -assign iobm/IOBERR_T = ((C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf && - iobm/BERRrr) - || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/DTACKrf && +FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,C16M,'0','0'); +IOBERR_T <= ((C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/RESrf AND + iobm/RESrr) + OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) - || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf && - iobm/RESrr) - || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && - iobm/RESrr) - || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && - !iobm/IOS_FSM_FFd2 && iobm/IOBERR) - || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/ETACK) - || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/ETACK) - || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/BERRrf && + OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/BERRrf AND iobm/BERRrr) - || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/DTACKrf && - iobm/DTACKrr)); + OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/RESrf AND + iobm/RESrr) + OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND + NOT iobm/IOS_FSM_FFd2 AND IOBERR) + OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/ETACK) + OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/ETACK) + OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/DTACKrf AND + iobm/DTACKrr) + OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/BERRrf AND + iobm/BERRrr)); -FDCPE FDCPE_iobm/IOREQr (iobm/IOREQr,iobs/IOREQ,!C16M,1'b0,1'b0); +FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,FCLK,'0','0',IOL0_CE); +IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) + OR (iobs/IOL1 AND NOT nADoutLE1)); +IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); -FDCPE FDCPE_iobm/IOS_FSM_FFd1 (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C16M,1'b0,1'b0); -assign iobm/IOS_FSM_FFd1_D = ((iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1) - || (!iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2)); +FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,FCLK,'0','0'); +IOREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd1) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr) + OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf)); -FTCPE FTCPE_iobm/IOS_FSM_FFd2 (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,C16M,1'b0,1'b0); -assign iobm/IOS_FSM_FFd2_T = ((iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && - !iobm/IOS_FSM_FFd2) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/IOS_FSM_FFd2 && iobm/ETACK) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/IOS_FSM_FFd2 && iobm/BERRrf && iobm/BERRrr) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/IOS_FSM_FFd2 && iobm/DTACKrf && iobm/DTACKrr) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/IOS_FSM_FFd2 && iobm/RESrf && iobm/RESrr)); - -FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,1'b0,1'b0); -assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2) - || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && - !iobm/IOS_FSM_FFd2) - || (!C8M && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && - iobm/IOREQr && !nAoutOE)); - -FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES.PIN,!C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/RESrr (iobm/RESrr,!nRES.PIN,C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,C16M,1'b0,1'b0); - -FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,FCLK,1'b0,1'b0); -assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); - -FDCPE FDCPE_iobs/Clear1 (iobs/Clear1,iobs/Clear1_D,FCLK,1'b0,1'b0); -assign iobs/Clear1_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1); - -FDCPE FDCPE_iobs/IOACTr (iobs/IOACTr,iobm/IOACT,FCLK,1'b0,1'b0); - -FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,FCLK,1'b0,1'b0,iobs/IOL0_CE); -assign iobs/IOL0_D = ((!nLDS_FSB && nADoutLE1) - || (iobs/IOL1 && !nADoutLE1)); -assign iobs/IOL0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); - -FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); - -FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,FCLK,1'b0,1'b0); -assign iobs/IOREQ_D = ((EXP16_.EXP) - || (A_FSB[23] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd1) - || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd1 && - fsb/ASrf) - || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd1) - || (A_FSB[22] && A_FSB[21] && !iobs/Once && - !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd1) - || (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (iobs/PS_FSM_FFd2 && !iobs/IOACTr) - || (!iobs/PS_FSM_FFd1 && !nADoutLE1)); - -FDCPE FDCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_D,FCLK,1'b0,1'b0); -assign iobs/IORW0_D = ((!iobs/IORW1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - !nADoutLE1) - || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] && !iobs/IORW0 && +FDCPE_IORW0: FDCPE port map (IORW0,IORW0_D,FCLK,'0','0'); +IORW0_D <= ((EXP11_.EXP) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - || (A_FSB[23] && !nWE_FSB && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[23] && !nWE_FSB && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) - || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once && - !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (nROMWE_OBUF.EXP) - || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) - || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once && - !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) - || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && - cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + OR (NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT IORW0 AND nADoutLE1) - || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && - cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - nADoutLE1) - || (!iobs/IORW0 && iobs/PS_FSM_FFd2) - || (!iobs/IORW0 && iobs/PS_FSM_FFd1) - || (iobs/Once && !iobs/IORW0 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !iobs/IORW0 && nADoutLE1) - || (!iobs/IORW0 && nAS_FSB && !fsb/ASrf && nADoutLE1)); + OR (A_FSB(23) AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (NOT IORW0 AND iobs/PS_FSM_FFd2) + OR (NOT IORW0 AND iobs/PS_FSM_FFd1) + OR (iobs/Once AND NOT IORW0 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT IORW0 AND nADoutLE1) + OR (NOT IORW0 AND nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)); -FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,FCLK,1'b0,1'b0); -assign iobs/IORW1_T = ((iobs/Once) - || (!nADoutLE1) - || (fsb/Ready1r.EXP) - || (nAS_FSB && !fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19]) - || (!A_FSB[23] && !A_FSB[22] && nWE_FSB) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16]) - || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20]) - || (nWE_FSB && iobs/IORW1) - || (!nWE_FSB && !iobs/IORW1) - || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); - -FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,FCLK,1'b0,1'b0); -assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf) - || (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && iobm/IOBERR && nADoutLE1) - || (iobs/Once && !iobs/IOReady && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) - || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1)); - -FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,FCLK,1'b0,1'b0,iobs/IOU0_CE); -assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1) - || (iobs/IOU1 && !nADoutLE1)); -assign iobs/IOU0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); - -FDCPE FDCPE_iobs/IOU1 (iobs/IOU1,!nUDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); - -FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,FCLK,1'b0,1'b0); -assign iobs/Load1_D = ((iobs/Once) - || (!nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16]) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18]) - || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20]) - || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && !A_FSB[22]) - || (nAS_FSB && !fsb/ASrf) - || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (!A_FSB[23] && !A_FSB[22] && nWE_FSB)); - -FTCPE FTCPE_iobs/Once (iobs/Once,iobs/Once_T,FCLK,1'b0,1'b0); -assign iobs/Once_T = ((A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && - cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && - A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && - !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1) - || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && - A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && - !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1) - || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && - A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && - !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1) - || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && - A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && - !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1) - || (A_FSB[22] && A_FSB[21] && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[22] && A_FSB[20] && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && - cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && - cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && - cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (iobs/Once && nAS_FSB && !fsb/ASrf) - || (A_FSB[23] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); - -FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,1'b0,1'b0); -assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2) - || (iobs/PS_FSM_FFd1 && iobs/IOACTr)); - -FTCPE FTCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,1'b0,1'b0); -assign iobs/PS_FSM_FFd2_T = ((iobs/nBERR_FSB.EXP) - || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (A_FSB[22] && A_FSB[21] && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (A_FSB[22] && A_FSB[20] && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (iobs/PS_FSM_FFd1 && iobs/IOACTr) - || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1) - || (A_FSB[23] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); +FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,FCLK,'0','0',IOU0_CE); +IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) + OR (iobs/IOU1 AND NOT nADoutLE1)); +IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); -assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0); +RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(1))); -FDCPE FDCPE_nADoutLE1 (nADoutLE1,nADoutLE1_D,FCLK,1'b0,1'b0); -assign nADoutLE1_D = ((iobs/Load1) - || (!iobs/Clear1 && !nADoutLE1)); -FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!C16M,1'b0,1'b0); -assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2) - || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)); -assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ; -assign nAS_IOB_OE = !nAoutOE; +RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(2))); -FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,!C8M,1'b0,1'b0); -assign nAoutOE_D = (!nBR_IOB && cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2); -FTCPE FTCPE_nBERR_FSB (nBERR_FSB,nBERR_FSB_T,FCLK,1'b0,1'b0); -assign nBERR_FSB_T = ((iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) - || (iobs/Once && !nAS_FSB && nBERR_FSB && - !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) - || (iobs/Once && nBERR_FSB && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1) - || (nAS_FSB && !nBERR_FSB && !fsb/ASrf)); +RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(3))); -FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0); -assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2) - || (!nBR_IOB && !cnt/PORS_FSM_FFd1 && cnt/PORS_FSM_FFd2 && - cnt/IPL2r)); -FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!FCLK,1'b0,1'b0); +RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(4))); -FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,FCLK,1'b0,1'b0); -assign nDTACK_FSB_D = ((iobs/IOREQ.EXP) - || (nAS_FSB && !fsb/ASrf) - || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady && + +RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(5))); + + +RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(6))); + + +RA(6) <= ((ram/RASEL AND A_FSB(7)) + OR (A_FSB(16) AND NOT ram/RASEL)); + + +RA(7) <= ((A_FSB(8) AND ram/RASEL) + OR (A_FSB(17) AND NOT ram/RASEL)); + + +RA(8) <= ((A_FSB(23) AND A_FSB(18)) + OR (A_FSB(22) AND A_FSB(18)) + OR (A_FSB(18) AND NOT cs/nOverlay) + OR (A_FSB(18) AND NOT ram/RASEL) + OR (A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + ram/RASEL)); + + +RA(9) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); + + +RA(10) <= A_FSB(21); + + +RA(11) <= A_FSB(19); + +FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); +RefReq_D <= (NOT RefUrg AND NOT cnt/Timer(1) AND NOT cnt/Timer(2)); +RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_RefUrg: FTCPE port map (RefUrg,RefUrg_T,FCLK,'0','0',RefUrg_CE); +RefUrg_T <= ((RefUrg AND cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + NOT cnt/TimerTC) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + cnt/Er(0)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + NOT cnt/Er(1))); +RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); + +FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); + +FTCPE_cnt/INITS_FSM_FFd1: FTCPE port map (cnt/INITS_FSM_FFd1,cnt/INITS_FSM_FFd1_T,FCLK,'0','0'); +cnt/INITS_FSM_FFd1_T <= (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/INITS_FSM_FFd1 AND + cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1)); + +FTCPE_cnt/INITS_FSM_FFd2: FTCPE port map (cnt/INITS_FSM_FFd2,cnt/INITS_FSM_FFd2_T,FCLK,'0','0'); +cnt/INITS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/LTimerTC AND cnt/INITS_FSM_FFd1 AND + cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/INITS_FSM_FFd1 AND + NOT cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + +FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/LTimer_CE(0)); +cnt/LTimer_CE(0) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer1: FTCPE port map (cnt/LTimer(1),cnt/LTimer(0),FCLK,'0','0',cnt/LTimer_CE(1)); +cnt/LTimer_CE(1) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0',cnt/LTimer_CE(2)); +cnt/LTimer_T(2) <= (cnt/LTimer(0) AND cnt/LTimer(1)); +cnt/LTimer_CE(2) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0',cnt/LTimer_CE(3)); +cnt/LTimer_T(3) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2)); +cnt/LTimer_CE(3) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0',cnt/LTimer_CE(4)); +cnt/LTimer_T(4) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3)); +cnt/LTimer_CE(4) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0',cnt/LTimer_CE(5)); +cnt/LTimer_T(5) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4)); +cnt/LTimer_CE(5) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0',cnt/LTimer_CE(6)); +cnt/LTimer_T(6) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5)); +cnt/LTimer_CE(6) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0',cnt/LTimer_CE(7)); +cnt/LTimer_T(7) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6)); +cnt/LTimer_CE(7) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0',cnt/LTimer_CE(8)); +cnt/LTimer_T(8) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7)); +cnt/LTimer_CE(8) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0',cnt/LTimer_CE(9)); +cnt/LTimer_T(9) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8)); +cnt/LTimer_CE(9) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0',cnt/LTimer_CE(10)); +cnt/LTimer_T(10) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +cnt/LTimer_CE(10) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0',cnt/LTimer_CE(11)); +cnt/LTimer_T(11) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +cnt/LTimer_CE(11) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer12: FTCPE port map (cnt/LTimer(12),cnt/LTimer_T(12),FCLK,'0','0',cnt/LTimer_CE(12)); +cnt/LTimer_T(12) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(11) AND + cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND + cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND + cnt/LTimer(9)); +cnt/LTimer_CE(12) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0',cnt/LTimerTC_CE); +cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(11) AND + cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND + cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND + cnt/LTimer(9) AND cnt/LTimer(12)); +cnt/LTimerTC_CE <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); +cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND + cnt/Er(1)); +cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1)); +cnt/Timer_D(1) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(1)) + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/Timer(0) AND cnt/Timer(1))); +cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2)); +cnt/Timer_D(2) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) + OR (NOT cnt/Timer(0) AND NOT cnt/Timer(2)) + OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); +cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); +cnt/TimerTC_D <= (RefUrg AND cnt/Timer(0) AND NOT cnt/Timer(1) AND + NOT cnt/Timer(2)); +cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); + +FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,NOT nRES.PIN,'0'); +cs/nOverlay_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay AND fsb/ASrf)); + +FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); + +FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,FCLK,'0','0'); +fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT fsb/Ready0r AND NOT ram/RAMReady)); + +FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,FCLK,'0','0'); +fsb/Ready1r_D <= ((A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND + NOT iobs/IOReady) + OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nADoutLE1)); + +FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,FCLK,'0','0'); +fsb/VPA_D <= ((EXP12_.EXP) + OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT nADoutLE1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT nADoutLE1) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND fsb/ASrf AND + NOT nADoutLE1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND fsb/ASrf AND + NOT nADoutLE1) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND NOT nAS_FSB) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND iobs/IOReady AND NOT nAS_FSB) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND iobs/IOReady AND fsb/ASrf) + OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND NOT nAS_FSB) + OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND NOT nAS_FSB)); + +FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C16M,'0','0'); + +FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,C16M,'0','0'); + +FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT C16M,'0','0'); + +FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,C16M,'0','0'); + +FDCPE_iobm/DoutOE: FDCPE port map (iobm/DoutOE,iobm/DoutOE_D,C16M,'0','0'); +iobm/DoutOE_D <= ((NOT IORW0 AND iobm/IOS_FSM_FFd3) + OR (NOT IORW0 AND iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr AND NOT nAoutOE)); + +FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),C16M,'0','0'); +iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); + +FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),C16M,'0','0'); +iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) + OR (NOT iobm/Er AND iobm/Er2)); + +FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),C16M,'0','0'); +iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) + OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) + OR (NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) + OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); + +FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),C16M,'0','0'); +iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); + +FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),C16M,'0','0'); +iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND NOT iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4))); + +FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,C16M,'0','0'); +iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4)); + +FDCPE_iobm/Er: FDCPE port map (iobm/Er,E,NOT C8M,'0','0'); + +FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,C16M,'0','0'); + +FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT C16M,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C16M,'0','0'); +iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)); + +FTCPE_iobm/IOS_FSM_FFd2: FTCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,C16M,'0','0'); +iobm/IOS_FSM_FFd2_T <= ((iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND + NOT iobm/IOS_FSM_FFd2) + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/IOS_FSM_FFd2 AND iobm/ETACK) + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/IOS_FSM_FFd2 AND iobm/DTACKrf AND iobm/DTACKrr) + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/IOS_FSM_FFd2 AND iobm/BERRrf AND iobm/BERRrr) + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/IOS_FSM_FFd2 AND iobm/RESrf AND iobm/RESrr)); + +FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,'0','0'); +iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) + OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT C8M AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND + iobm/IOREQr AND NOT nAoutOE)); + +FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES.PIN,NOT C16M,'0','0'); + +FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES.PIN,C16M,'0','0'); + +FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT C16M,'0','0'); + +FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,C16M,'0','0'); + +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,FCLK,'0','0'); +iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); + +FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0'); + +FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); + +FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); +iobs/IORW1_T <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (nDTACK_FSB_OBUF.EXP) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) + OR (nWE_FSB AND iobs/IORW1) + OR (NOT nWE_FSB AND NOT iobs/IORW1) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); + +FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,FCLK,'0','0'); +iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); + +FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); + +FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); +iobs/Load1_D <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22)) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay)); + +FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,FCLK,'0','0'); +iobs/Once_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND + A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND + A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND + A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND + A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) + OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); + +FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,'0','0'); +iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); + +FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,'0','0'); +iobs/PS_FSM_FFd2_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); + + +nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); + +FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,FCLK,'0','0'); +nADoutLE1_D <= ((iobs/Load1) + OR (NOT iobs/Clear1 AND NOT nADoutLE1)); + +FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT C16M,'0','0'); +nAS_IOB <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2) + OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2)); +nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z'; +nAS_IOB_OE <= NOT nAoutOE; + +FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0'); +nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND + cnt/INITS_FSM_FFd2) + OR (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2 AND + NOT nAoutOE)); + +FTCPE_nBERR_FSB: FTCPE port map (nBERR_FSB,nBERR_FSB_T,FCLK,'0','0'); +nBERR_FSB_T <= ((NOT nBERR_FSB AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND NOT nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND nBERR_FSB AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) + OR (iobs/Once AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); + +FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); +nBR_IOB_T <= ((NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND + cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r) + OR (nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND + NOT cnt/INITS_FSM_FFd2)); + +FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0'); + +FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); +nDTACK_FSB_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && - !iobs/IOReady && nDTACK_FSB) - || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && - !iobs/IOReady && nDTACK_FSB) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) - || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1) - || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1)); + OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady AND nDTACK_FSB) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND fsb/Ready1r) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND iobs/IOReady) + OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND + NOT iobs/IOReady AND nDTACK_FSB) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1)); -FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!C16M,1'b0,1'b0); -assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2); +FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); +nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); -assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB) - || (A_FSB[22] && A_FSB[21] && nWE_FSB && !nAS_FSB) - || (A_FSB[22] && A_FSB[20] && nWE_FSB && !nAS_FSB)); +nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB)); -assign nDoutOE = !((iobm/DoutOE && !nAoutOE)); +nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE)); -FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0); -assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd2) - || (iobs/IOL0 && iobm/IOS_FSM_FFd1 && - iobm/IOS_FSM_FFd2) - || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 && - !iobm/IOS_FSM_FFd1)); -assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ; -assign nLDS_IOB_OE = !nAoutOE; +FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); +nLDS_IOB <= ((IOL0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) + OR (IOL0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) + OR (IORW0 AND IOL0 AND iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd1)); +nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z'; +nLDS_IOB_OE <= NOT nAoutOE; -assign nOE = !((nWE_FSB && !nAS_FSB)); +nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); -assign nRAMLWE = !((!nWE_FSB && !nLDS_FSB && !nAS_FSB && ram/RAMEN)); +nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND NOT nAS_FSB AND ram/RAMEN)); -assign nRAMUWE = !((!nWE_FSB && !nUDS_FSB && !nAS_FSB && ram/RAMEN)); +nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); -assign nRAS = !(((ram/RefRAS) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && - ram/RAMEN) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !nAS_FSB && ram/RAMEN))); +nRAS <= '1'; -assign nRES_I = 1'b0; -assign nRES = nRES_OE ? nRES_I : 1'bZ; -assign nRES_OE = !cnt/nRESout; +nRES_I <= '0'; +nRES <= nRES_I when nRES_OE = '1' else 'Z'; +nRES_OE <= NOT nRESout; + +FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); +nRESout_D <= (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2); -assign nROMCS = !(((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20]) - || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] && - !cs/nOverlay1))); +nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); -assign nROMWE = !((!nWE_FSB && !nAS_FSB)); +nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); -FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!C16M,1'b0,1'b0); -assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd2) - || (iobs/IOU0 && iobm/IOS_FSM_FFd1 && - iobm/IOS_FSM_FFd2) - || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 && - !iobm/IOS_FSM_FFd1)); -assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ; -assign nUDS_IOB_OE = !nAoutOE; +FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT C16M,'0','0'); +nUDS_IOB <= ((IOU0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) + OR (IOU0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) + OR (IORW0 AND IOU0 AND iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd1)); +nUDS_IOB <= nUDS_IOB_I when nUDS_IOB_OE = '1' else 'Z'; +nUDS_IOB_OE <= NOT nAoutOE; -FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0); -assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && - !iobm/ES[3] && !iobm/ES[4]) - || (nVMA_IOB && iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && - !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf && - iobm/VPArr)); -assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ; -assign nVMA_IOB_OE = !nAoutOE; +FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C16M,'0','0'); +nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4)) + OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); +nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z'; +nVMA_IOB_OE <= NOT nAoutOE; -assign nVPA_FSB = !((fsb/VPA && !nAS_FSB)); +nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); -FDCPE FDCPE_ram/BACTr (ram/BACTr,ram/BACTr_D,FCLK,1'b0,1'b0); -assign ram/BACTr_D = (nAS_FSB && !fsb/ASrf); +FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); +ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); -FDCPE FDCPE_ram/RAMEN (ram/RAMEN,ram/RAMEN_D,FCLK,1'b0,1'b0); -assign ram/RAMEN_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && - !ram/RS_FSM_FFd1 && ram/RAMEN) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && ram/RAMEN && fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && ram/RAMEN) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !ram/RS_FSM_FFd1 && ram/RAMEN && fsb/ASrf) - || (ram/RS_FSM_FFd2 && ram/RAMEN) - || (ram/RS_FSM_FFd3 && ram/RAMEN) - || (!ram/RS_FSM_FFd1 && !ram/RefUrgent && ram/RAMEN && +FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); +ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN) + OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND ram/RAMEN) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RAMEN) + OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND ram/BACTr) - || (!ram/RS_FSM_FFd1 && !ram/RefUrgent && ram/RAMEN && - !ram/RefReq) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !fsb/ASrf)); + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND + NOT ram/RefReq) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND ram/RAMEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf)); -FDCPE FDCPE_ram/RAMReady (ram/RAMReady,ram/RAMReady_D,FCLK,1'b0,1'b0); -assign ram/RAMReady_D = ((RA_6_OBUF.EXP) - || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !ram/RefReq) - || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) - || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) - || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) - || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) - || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3) - || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && ram/BACTr) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !fsb/ASrf)); +FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0'); +ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) + OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND + NOT ram/RAMEN)); -FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,FCLK,1'b0,1'b0); -assign ram/RASEL_D = ((A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) - || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) - || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !ram/RAMEN) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !ram/RAMEN && fsb/ASrf) - || (ram/RAMReady.EXP) - || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && ram/BACTr) - || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !ram/RefReq) - || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) - || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) - || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) - || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) - || (ram/RS_FSM_FFd2 && ram/RS_FSM_FFd3) - || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) - || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !fsb/ASrf)); +FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); +ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND NOT ram/RefReq) + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND NOT ram/RefReq) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND fsb/ASrf) + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND ram/BACTr) + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND ram/BACTr) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND ram/BACTr) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND NOT ram/RefReq) + OR (NOT nAS_FSB AND ram/RS_FSM_FFd1) + OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2) + OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd1 AND NOT ram/RefUrg) + OR (ram/RS_FSM_FFd1 AND fsb/ASrf)); -FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0); -assign ram/RS_FSM_FFd1_D = ((ram/RS_FSM_FFd1 && ram/RefUrgent && - !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN && +FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,'0','0'); +ram/RS_FSM_FFd1_D <= ((ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2)); + +FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,'0','0'); +ram/RS_FSM_FFd2_D <= ((ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RefUrg AND fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - ram/RefUrgent && !ram/RAMEN) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - ram/RefUrgent && !ram/RAMEN && fsb/ASrf) - || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) - || (!nAS_FSB && ram/RS_FSM_FFd1 && ram/RefUrgent && - !ram/RS_FSM_FFd3)); + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg AND + fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND + fsb/ASrf) + OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND + ram/RefUrg)); -FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0); -assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) - || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) - || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && ram/BACTr) - || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !ram/RefReq)); - -FTCPE FTCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,1'b0,1'b0); -assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1.EXP) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && +FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0'); +ram/RS_FSM_FFd3_T <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND + fsb/ASrf) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - || (A_FSB[23] && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !fsb/ASrf) - || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !ram/RAMEN) - || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) - || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) - || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3)); + OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg) + OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)); -FDCPE FDCPE_ram/RefDone (ram/RefDone,ram/RefDone_D,FCLK,1'b0,1'b0); -assign ram/RefDone_D = ((ram/RefDone && ram/RefReqSync) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && - ram/RefReqSync)); +FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); +ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync) + OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND ram/RefReqSync) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + ram/RS_FSM_FFd3 AND ram/RefReqSync)); -FDCPE FDCPE_ram/RefRAS (ram/RefRAS,ram/RefRAS_D,FCLK,1'b0,1'b0); -assign ram/RefRAS_D = (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2); +FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); +ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync); -FDCPE FDCPE_ram/RefReq (ram/RefReq,ram/RefReq_D,FCLK,1'b0,1'b0); -assign ram/RefReq_D = (!ram/RefDone && ram/RefReqSync); +FDCPE_ram/RefReqSync: FDCPE port map (ram/RefReqSync,RefReq,FCLK,'0','0'); -FDCPE FDCPE_ram/RefReqSync (ram/RefReqSync,cnt/RefReq,FCLK,1'b0,1'b0); +FDCPE_ram/RefUrg: FDCPE port map (ram/RefUrg,ram/RefUrg_D,FCLK,'0','0'); +ram/RefUrg_D <= (NOT ram/RefDone AND ram/RegUrgSync); -FDCPE FDCPE_ram/RefUrgent (ram/RefUrgent,ram/RefUrgent_D,FCLK,1'b0,1'b0); -assign ram/RefUrgent_D = (!ram/RefDone && ram/RegUrgentSync); - -FDCPE FDCPE_ram/RegUrgentSync (ram/RegUrgentSync,cnt/RefUrgent,FCLK,1'b0,1'b0); +FDCPE_ram/RegUrgSync: FDCPE port map (ram/RegUrgSync,RefUrg,FCLK,'0','0'); Register Legend: FDCPE (Q,D,C,CLR,PRE,CE); @@ -1728,4 +1708,4 @@ Global Clock Optimization : ON Global Set/Reset Optimization : ON Global Ouput Enable Optimization : ON Input Limit : 54 -Pterm Limit : 50 +Pterm Limit : 25 diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index 14584e0..c41e17d 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -56,8 +56,8 @@ Equivalent register Removal : YES ---- General Options Optimization Goal : Speed -Optimization Effort : 2 -Keep Hierarchy : No +Optimization Effort : 1 +Keep Hierarchy : Yes Netlist Hierarchy : As_Optimized RTL Output : Yes Hierarchy Separator : / @@ -144,21 +144,21 @@ Performing bidirectional port resolution... Synthesizing Unit . Related source file is "../CS.v". - Found 1-bit register for signal . - Found 1-bit register for signal . + Found 1-bit register for signal . Summary: - inferred 2 D-type flip-flop(s). + inferred 1 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "../RAM.v". +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. Found finite state machine for signal . ----------------------------------------------------------------------- | States | 8 | | Transitions | 21 | | Inputs | 6 | - | Outputs | 9 | + | Outputs | 8 | | Clock | CLK (rising_edge) | | Power Up State | 000 | | Encoding | automatic | @@ -170,14 +170,13 @@ Synthesizing Unit . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). - inferred 10 D-type flip-flop(s). + inferred 9 D-type flip-flop(s). Unit synthesized. @@ -259,25 +258,25 @@ Unit synthesized. Synthesizing Unit . Related source file is "../CNT.v". -WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. - Found finite state machine for signal . + Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 8 | | Inputs | 2 | | Outputs | 4 | - | Clock | C8M (falling_edge) | + | Clock | CLK (rising_edge) | | Power Up State | 00 | | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . - Found 14-bit up counter for signal . + Found 2-bit register for signal . + Found 13-bit up counter for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . Found 4-bit up counter for signal . Found 1-bit register for signal . Summary: @@ -302,9 +301,9 @@ Unit synthesized. Synthesizing Unit . Related source file is "../WarpSE.v". +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. -WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. Found 1-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . @@ -320,11 +319,12 @@ HDL Synthesis Report Macro Statistics # Counters : 3 - 14-bit up counter : 1 + 13-bit up counter : 1 4-bit up counter : 1 5-bit up counter : 1 -# Registers : 61 - 1-bit register : 61 +# Registers : 60 + 1-bit register : 59 + 2-bit register : 1 # Tristates : 5 1-bit tristate buffer : 5 @@ -335,7 +335,7 @@ Macro Statistics ========================================================================= Analyzing FSM for best encoding. -Optimizing FSM on signal with johnson encoding. +Optimizing FSM on signal with johnson encoding. ------------------- State | Encoding ------------------- @@ -369,18 +369,18 @@ Optimizing FSM on signal with johnson encoding. 01 | 10 ------------------- Analyzing FSM for best encoding. -Optimizing FSM on signal with compact encoding. +Optimizing FSM on signal with sequential encoding. ------------------- State | Encoding ------------------- 000 | 000 - 010 | 010 - 001 | 101 - 101 | 001 - 011 | 011 - 100 | 111 - 111 | 100 - 110 | 110 + 010 | 001 + 001 | 010 + 101 | 011 + 011 | 100 + 100 | 101 + 111 | 110 + 110 | 111 ------------------- ========================================================================= @@ -389,11 +389,11 @@ Advanced HDL Synthesis Report Macro Statistics # FSMs : 4 # Counters : 3 - 14-bit up counter : 1 + 13-bit up counter : 1 4-bit up counter : 1 5-bit up counter : 1 -# Registers : 49 - Flip-Flops : 49 +# Registers : 47 + Flip-Flops : 47 ========================================================================= @@ -402,32 +402,42 @@ Macro Statistics ========================================================================= Optimizing unit ... - implementation constraint: INIT=r : cs/nOverlay0 - implementation constraint: INIT=r : fsb/ASrf - implementation constraint: INIT=r : iobm/IOREQr - implementation constraint: INIT=r : cnt/PORS_FSM_FFd1 - implementation constraint: INIT=r : cnt/PORS_FSM_FFd2 - implementation constraint: INIT=r : cs/nOverlay1 - implementation constraint: INIT=r : ram/RAMEN - implementation constraint: INIT=r : ram/RAMReady - implementation constraint: INIT=r : ram/RASEL - implementation constraint: INIT=r : ram/RefRAS - implementation constraint: INIT=r : iobs/IOACTr - implementation constraint: INIT=r : iobs/Once - implementation constraint: INIT=r : ram/RS_FSM_FFd3 - implementation constraint: INIT=r : iobm/ETACK - implementation constraint: INIT=r : iobm/DoutOE - implementation constraint: INIT=r : ram/RS_FSM_FFd2 - implementation constraint: INIT=r : iobm/IOS_FSM_FFd2 - implementation constraint: INIT=r : iobm/IOS_FSM_FFd3 - implementation constraint: INIT=r : iobs/PS_FSM_FFd2 - implementation constraint: INIT=r : iobm/IOS_FSM_FFd1 - implementation constraint: INIT=r : iobs/PS_FSM_FFd1 - implementation constraint: INIT=r : ram/RS_FSM_FFd1 - implementation constraint: INIT=r : cnt/Timer_3 - implementation constraint: INIT=r : cnt/Timer_2 - implementation constraint: INIT=r : cnt/Timer_1 - implementation constraint: INIT=r : cnt/Timer_0 + +Optimizing unit ... + implementation constraint: INIT=r : nOverlay + +Optimizing unit ... + implementation constraint: INIT=r : RAMEN + implementation constraint: INIT=r : RAMReady + implementation constraint: INIT=r : RASEL + implementation constraint: INIT=r : RS_FSM_FFd1 + implementation constraint: INIT=r : RS_FSM_FFd2 + implementation constraint: INIT=r : RS_FSM_FFd3 + +Optimizing unit ... + implementation constraint: INIT=r : IOACTr + implementation constraint: INIT=r : PS_FSM_FFd2 + implementation constraint: INIT=r : Once + implementation constraint: INIT=r : PS_FSM_FFd1 + +Optimizing unit ... + implementation constraint: INIT=r : ASrf + +Optimizing unit ... + implementation constraint: INIT=r : IOREQr + implementation constraint: INIT=r : ETACK + implementation constraint: INIT=r : DoutOE + implementation constraint: INIT=r : IOS_FSM_FFd1 + implementation constraint: INIT=r : IOS_FSM_FFd2 + implementation constraint: INIT=r : IOS_FSM_FFd3 + +Optimizing unit ... + implementation constraint: INIT=r : Timer_3 + implementation constraint: INIT=r : Timer_0 + implementation constraint: INIT=r : Timer_1 + implementation constraint: INIT=r : INITS_FSM_FFd2 + implementation constraint: INIT=r : Timer_2 + implementation constraint: INIT=r : INITS_FSM_FFd1 ========================================================================= * Partition Report * @@ -448,7 +458,7 @@ RTL Top Level Output File Name : WarpSE.ngr Top Level Output File Name : WarpSE Output Format : NGC Optimization Goal : Speed -Keep Hierarchy : No +Keep Hierarchy : Yes Target Technology : XC9500XL CPLDs Macro Preserve : YES XOR Preserve : YES @@ -459,22 +469,23 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 574 +# BELS : 571 # AND2 : 172 -# AND3 : 19 -# AND4 : 15 +# AND3 : 27 +# AND4 : 7 # AND5 : 3 -# AND6 : 1 -# AND8 : 4 -# GND : 1 -# INV : 236 -# OR2 : 98 +# AND6 : 2 +# AND8 : 3 +# GND : 6 +# INV : 226 +# OR2 : 97 # OR3 : 4 -# VCC : 1 +# OR4 : 1 +# VCC : 3 # XOR2 : 20 -# FlipFlops/Latches : 94 -# FD : 62 -# FDCE : 32 +# FlipFlops/Latches : 93 +# FD : 56 +# FDCE : 37 # IO Buffers : 71 # IBUF : 35 # IOBUFE : 1 @@ -483,12 +494,12 @@ Cell Usage : ========================================================================= -Total REAL time to Xst completion: 15.00 secs -Total CPU time to Xst completion: 15.07 secs +Total REAL time to Xst completion: 5.00 secs +Total CPU time to Xst completion: 4.98 secs --> -Total memory usage is 266244 kilobytes +Total memory usage is 264516 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 5 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.tim b/cpld/XC95144XL/WarpSE.tim index 45fff9d..e69de29 100644 --- a/cpld/XC95144XL/WarpSE.tim +++ b/cpld/XC95144XL/WarpSE.tim @@ -1,919 +0,0 @@ - Performance Summary Report - -------------------------- - -Design: WarpSE -Device: XC95144XL-10-TQ100 -Speed File: Version 3.0 -Program: Timing Report Generator: version P.20131013 -Date: Mon Mar 28 09:28:06 2022 - -Timing Constraint Summary: - -TS_CLK_IOB=PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS N/A -TS_CLK_FSB=PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS Met -TS_CLK2X_IOB=PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS Met - -Performance Summary: - -Pad to Pad (tPD) : 11.0ns (1 macrocell levels) -Pad 'A_FSB<11>' to Pad 'RA<1>' - -Clock net 'CLK_IOB' path delays: - -Setup to Clock at the Pad (tSU) : 6.5ns (0 macrocell levels) -Data signal 'E_IOB' to DFF D input Pin at 'iobm/Er.D' -Clock pad 'CLK_IOB' (GCK) - - Minimum Clock Period: 9.0ns - Maximum Internal Clock Speed: 111.1Mhz - (Limited by Clock Pulse Width) - -Clock net 'CLK_FSB' path delays: - -Clock Pad to Output Pad (tCO) : 14.5ns (2 macrocell levels) -Clock Pad 'CLK_FSB' to Output Pad 'RA<1>' (GCK) - -Clock to Setup (tCYC) : 20.1ns (2 macrocell levels) -Clock to Q, net 'cs/nOverlay1.Q' to DFF Setup(D) at 'fsb/VPA.D' (GCK) -Target FF drives output net 'fsb/VPA' - -Setup to Clock at the Pad (tSU) : 16.6ns (1 macrocell levels) -Data signal 'A_FSB<23>' to DFF D input Pin at 'fsb/VPA.D' -Clock pad 'CLK_FSB' (GCK) - - Minimum Clock Period: 20.1ns - Maximum Internal Clock Speed: 49.7Mhz - (Limited by Cycle Time) - -Clock net 'CLK2X_IOB' path delays: - -Clock Pad to Output Pad (tCO) : 14.5ns (2 macrocell levels) -Clock Pad 'CLK2X_IOB' to Output Pad 'nVMA_IOB' (GCK) - -Clock to Setup (tCYC) : 11.0ns (1 macrocell levels) -Clock to Q, net 'iobm/IOS_FSM_FFd3.Q' to DFF Setup(D) at 'IOACT.D' (GCK) -Target FF drives output net 'IOACT' - -Setup to Clock at the Pad (tSU) : 7.5ns (0 macrocell levels) -Data signal 'CLK_IOB' to DFF D input Pin at 'IOACT.D' -Clock pad 'CLK2X_IOB' (GCK) - - Minimum Clock Period: 11.0ns - Maximum Internal Clock Speed: 90.9Mhz - (Limited by Cycle Time) - --------------------------------------------------------------------------------- - Pad to Pad (tPD) (nsec) - -\ From A A A A A A A A A A A - \ _ _ _ _ _ _ _ _ _ _ _ - \ F F F F F F F F F F F - \ S S S S S S S S S S S - \ B B B B B B B B B B B - \ < < < < < < < < < < < - \ 1 1 1 1 1 1 1 1 1 1 1 - \ 0 1 2 3 4 5 6 7 8 9 > - \ > > > > > > > > > > - To \------------------------------------------------------------------ - -CLK20EN -CLK25EN -RA<0> 10.0 10.0 -RA<10> -RA<11> 10.0 -RA<1> 11.0 -RA<2> 11.0 -RA<3> 10.0 -RA<4> 11.0 -RA<5> 11.0 -RA<6> 10.0 -RA<7> 10.0 -RA<8> 10.0 -RA<9> 10.0 -nBERR_FSB -nDinOE -nOE -nRAMLWE -nRAMUWE -nRAS -nROMCS -nROMWE -nVPA_FSB - --------------------------------------------------------------------------------- - Pad to Pad (tPD) (nsec) - -\ From A A A A A A A A A A A - \ _ _ _ _ _ _ _ _ _ _ _ - \ F F F F F F F F F F F - \ S S S S S S S S S S S - \ B B B B B B B B B B B - \ < < < < < < < < < < < - \ 2 2 2 2 2 3 4 5 6 7 8 - \ 0 1 2 3 > > > > > > > - \ > > > > - To \------------------------------------------------------------------ - -CLK20EN -CLK25EN -RA<0> -RA<10> 10.0 -RA<11> -RA<1> 11.0 -RA<2> 11.0 -RA<3> 10.0 -RA<4> 11.0 -RA<5> 11.0 -RA<6> 10.0 -RA<7> 10.0 -RA<8> 11.0 11.0 11.0 -RA<9> 10.0 -nBERR_FSB 11.0 11.0 11.0 11.0 -nDinOE 10.0 10.0 10.0 10.0 -nOE -nRAMLWE -nRAMUWE -nRAS 11.0 11.0 11.0 -nROMCS 11.0 11.0 11.0 11.0 -nROMWE -nVPA_FSB - --------------------------------------------------------------------------------- - Pad to Pad (tPD) (nsec) - -\ From A S S n n n n - \ _ W W A L U W - \ F < < S D D E - \ S 0 1 _ S S _ - \ B > > F _ _ F - \ < S F F S - \ 9 B S S B - \ > B B - \ - To \------------------------------------------ - -CLK20EN 10.0 -CLK25EN 10.0 -RA<0> -RA<10> -RA<11> -RA<1> -RA<2> -RA<3> -RA<4> -RA<5> -RA<6> -RA<7> -RA<8> 11.0 -RA<9> -nBERR_FSB 10.0 -nDinOE 10.0 10.0 10.0 -nOE 10.0 10.0 -nRAMLWE 10.0 10.0 10.0 -nRAMUWE 11.0 11.0 11.0 -nRAS 11.0 -nROMCS 11.0 -nROMWE 10.0 10.0 -nVPA_FSB 10.0 - --------------------------------------------------------------------------------- - Clock Pad to Output Pad (tCO) (nsec) - -\ From C C - \ L L - \ K K - \ 2 _ - \ X F - \ _ S - \ I B - \ O - \ B - \ - To \------------ - -RA<0> 13.5 -RA<1> 14.5 -RA<2> 14.5 -RA<3> 13.5 -RA<4> 14.5 -RA<5> 14.5 -RA<6> 13.5 -RA<7> 13.5 -RA<8> 14.5 -RA<9> 13.5 -nADoutLE0 13.5 13.5 -nADoutLE1 5.8 -nAS_IOB 14.5 -nAoutOE 5.8 -nBERR_FSB 14.5 -nBR_IOB 5.8 -nCAS 5.8 -nDTACK_FSB 5.8 -nDinLE 5.8 -nDoutOE 5.8 -nLDS_IOB 14.5 -nRAMLWE 13.5 -nRAMUWE 14.5 -nRAS 14.5 -nROMCS 14.5 -nUDS_IOB 14.5 -nVMA_IOB 14.5 -nVPA_FSB 13.5 - --------------------------------------------------------------------------------- - Setup to Clock at Pad (tSU or tSUF) (nsec) - -\ From C C C - \ L L L - \ K K K - \ 2 _ _ - \ X F I - \ _ S O - \ I B B - \ O - \ B - \ - To \------------------ - -A_FSB<10> 7.9 -A_FSB<11> 7.9 -A_FSB<12> 7.9 -A_FSB<13> 7.9 -A_FSB<14> 7.9 -A_FSB<15> 7.9 -A_FSB<16> 7.9 -A_FSB<17> 7.9 -A_FSB<18> 7.9 -A_FSB<19> 7.9 -A_FSB<20> 15.6 -A_FSB<21> 16.6 -A_FSB<22> 16.6 -A_FSB<23> 16.6 -A_FSB<8> 7.9 -A_FSB<9> 7.9 -CLK_IOB 7.5 -E_IOB 6.5 -SW<1> 7.9 -nAS_FSB 15.6 -nBERR_IOB 7.5 -nBG_IOB 6.5 -nDTACK_IOB 6.5 -nIPL2 6.5 -nLDS_FSB 6.5 -nRES 6.5 6.5 -nUDS_FSB 6.5 -nVPA_IOB 6.5 -nWE_FSB 7.9 - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: CLK_FSB) - -\ From B I I I R R R R R T - \ E O P P E E E E e i - \ R R L L S S S S f m - \ R W 2 2 D r r r A e - \ _ 0 r r o 0 1 2 c o - \ I . 0 1 n . . . k u - \ O Q . . e Q Q Q . t - \ B Q Q . Q A - \ S Q . - \ . Q - \ Q - \ - \ - \ - \ - \ - \ - \ - To \------------------------------------------------------------ - -ALE0S.D -BERR_IOBS.D 10.0 -IOL0.CE -IOL0.D -IOREQ.D -IORW0.D 11.4 -IOU0.CE -IOU0.D -IPL2r1.D 10.0 -RESDone.CE 10.0 10.0 10.0 -RESr1.D 10.0 -RESr2.D 10.0 -RefAck.D -TimeoutA.D 10.0 -TimeoutB.D -cnt/RefCnt<1>.D -cnt/RefCnt<2>.D -cnt/RefCnt<3>.D -cnt/RefCnt<4>.D -cnt/RefCnt<5>.D -cnt/RefCnt<6>.D -cnt/RefCnt<7>.D -cnt/RefDone.D 10.0 -cnt/TimeoutBPre.D -cs/nOverlay0.D -cs/nOverlay1.CE -cs/nOverlay1.D -fsb/BERR0r.D -fsb/BERR1r.D 10.0 -fsb/Ready0r.D -fsb/Ready1r.D -fsb/Ready2r.D 11.0 -fsb/VPA.D 11.4 11.4 -iobs/Clear1.D -iobs/IOL1.CE -iobs/IORW1.D -iobs/IOReady.D -iobs/IOU1.CE -iobs/Load1.D -iobs/Once.D -iobs/PS_FSM_FFd1.D -iobs/PS_FSM_FFd2.D -nADoutLE1.D -nBR_IOB.CE 10.0 10.0 10.0 10.0 10.0 10.0 -nCAS.D -nDTACK_FSB.D 11.4 11.4 -ram/BACTr.D -ram/Once.D -ram/RAMDIS1.D -ram/RAMDIS2.D -ram/RAMReady.D -ram/RASEL.D -ram/RS_FSM_FFd1.D -ram/RS_FSM_FFd2.D -ram/RS_FSM_FFd3.D - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: CLK_FSB) - -\ From T c c c c c c c c c - \ i n n n n n n n n n - \ m t t t t t t t t t - \ e / / / / / / / / / - \ o R R R R R R R R R - \ u e e e e e e e e e - \ t f f f f f f f f f - \ B C C C C C C C C D - \ . n n n n n n n n o - \ Q t t t t t t t t n - \ < < < < < < < < e - \ 0 1 2 3 4 5 6 7 . - \ > > > > > > > > Q - \ . . . . . . . . - \ Q Q Q Q Q Q Q Q - \ - \ - \ - To \------------------------------------------------------------ - -ALE0S.D -BERR_IOBS.D -IOL0.CE -IOL0.D -IOREQ.D -IORW0.D -IOU0.CE -IOU0.D -IPL2r1.D -RESDone.CE -RESr1.D -RESr2.D -RefAck.D -TimeoutA.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 -TimeoutB.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 -cnt/RefCnt<1>.D 10.0 -cnt/RefCnt<2>.D 10.0 10.0 -cnt/RefCnt<3>.D 10.0 10.0 10.0 -cnt/RefCnt<4>.D 10.0 10.0 10.0 10.0 -cnt/RefCnt<5>.D 10.0 10.0 10.0 10.0 10.0 -cnt/RefCnt<6>.D 10.0 10.0 10.0 10.0 10.0 10.0 -cnt/RefCnt<7>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 -cnt/RefDone.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 -cnt/TimeoutBPre.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 -cs/nOverlay0.D -cs/nOverlay1.CE -cs/nOverlay1.D -fsb/BERR0r.D 10.0 -fsb/BERR1r.D -fsb/Ready0r.D -fsb/Ready1r.D -fsb/Ready2r.D -fsb/VPA.D 19.1 -iobs/Clear1.D -iobs/IOL1.CE -iobs/IORW1.D -iobs/IOReady.D -iobs/IOU1.CE -iobs/Load1.D -iobs/Once.D -iobs/PS_FSM_FFd1.D -iobs/PS_FSM_FFd2.D -nADoutLE1.D -nBR_IOB.CE -nCAS.D -nDTACK_FSB.D 19.1 -ram/BACTr.D -ram/Once.D -ram/RAMDIS1.D 11.4 11.4 11.4 11.4 -ram/RAMDIS2.D 11.0 11.0 11.0 11.0 -ram/RAMReady.D 11.4 11.4 11.4 11.4 -ram/RASEL.D 11.4 11.4 11.4 11.4 -ram/RS_FSM_FFd1.D -ram/RS_FSM_FFd2.D 11.4 11.4 11.4 11.4 -ram/RS_FSM_FFd3.D 11.0 11.0 11.0 11.0 - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: CLK_FSB) - -\ From c c c f f f f f f f - \ n s s s s s s s s s - \ t / / b b b b b b b - \ / n n / / / / / / / - \ T O O A B B R R R V - \ i v v S E E e e e P - \ m e e r R R a a a A - \ e r r f R R d d d . - \ o l l . 0 1 y y y Q - \ u a a Q r r 0 1 2 - \ t y y . . r r r - \ B 0 1 Q Q . . . - \ P . . Q Q Q - \ r Q Q - \ e - \ . - \ Q - \ - To \------------------------------------------------------------ - -ALE0S.D -BERR_IOBS.D 10.0 -IOL0.CE -IOL0.D -IOREQ.D 11.0 10.0 -IORW0.D 10.0 11.0 -IOU0.CE -IOU0.D -IPL2r1.D -RESDone.CE -RESr1.D -RESr2.D -RefAck.D -TimeoutA.D 10.0 -TimeoutB.D 10.0 10.0 -cnt/RefCnt<1>.D -cnt/RefCnt<2>.D -cnt/RefCnt<3>.D -cnt/RefCnt<4>.D -cnt/RefCnt<5>.D -cnt/RefCnt<6>.D -cnt/RefCnt<7>.D -cnt/RefDone.D -cnt/TimeoutBPre.D 10.0 10.0 -cs/nOverlay0.D 10.0 10.0 -cs/nOverlay1.CE 10.0 -cs/nOverlay1.D 10.0 -fsb/BERR0r.D 10.0 10.0 -fsb/BERR1r.D 10.0 10.0 -fsb/Ready0r.D 10.0 10.0 10.0 -fsb/Ready1r.D 11.0 10.0 11.0 -fsb/Ready2r.D 11.0 11.0 11.0 -fsb/VPA.D 20.1 19.1 11.4 11.4 20.1 11.4 11.4 11.4 -iobs/Clear1.D -iobs/IOL1.CE -iobs/IORW1.D 11.4 11.0 -iobs/IOReady.D 10.0 -iobs/IOU1.CE -iobs/Load1.D 11.0 10.0 -iobs/Once.D 11.4 10.0 -iobs/PS_FSM_FFd1.D -iobs/PS_FSM_FFd2.D 11.0 10.0 -nADoutLE1.D -nBR_IOB.CE -nCAS.D -nDTACK_FSB.D 20.1 11.0 11.4 11.4 20.1 11.4 11.4 -ram/BACTr.D 10.0 -ram/Once.D 10.0 10.0 -ram/RAMDIS1.D 11.4 11.0 -ram/RAMDIS2.D 11.0 11.0 -ram/RAMReady.D 11.0 11.0 -ram/RASEL.D 11.4 11.4 -ram/RS_FSM_FFd1.D 10.0 10.0 -ram/RS_FSM_FFd2.D 11.4 11.4 -ram/RS_FSM_FFd3.D 11.0 11.0 - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: CLK_FSB) - -\ From i i i i i i i i i i - \ o o o o o o o o o o - \ b b b b b b b b b b - \ s s s s s s s s s s - \ / / / / / / / / / / - \ C I I I I I L O P P - \ l O O O O O o n S S - \ e A L R R U a c _ _ - \ a C 1 W e 1 d e F F - \ r T . 1 a . 1 . S S - \ 1 r Q . d Q . Q M M - \ . . Q y Q _ _ - \ Q Q . F F - \ Q F F - \ d d - \ 1 2 - \ . . - \ Q Q - To \------------------------------------------------------------ - -ALE0S.D 10.0 10.0 -BERR_IOBS.D 10.0 10.0 10.0 -IOL0.CE 10.0 10.0 -IOL0.D 10.0 -IOREQ.D 10.0 10.0 10.0 11.0 -IORW0.D 11.0 11.4 11.4 11.4 -IOU0.CE 10.0 10.0 -IOU0.D 10.0 -IPL2r1.D -RESDone.CE -RESr1.D -RESr2.D -RefAck.D -TimeoutA.D -TimeoutB.D -cnt/RefCnt<1>.D -cnt/RefCnt<2>.D -cnt/RefCnt<3>.D -cnt/RefCnt<4>.D -cnt/RefCnt<5>.D -cnt/RefCnt<6>.D -cnt/RefCnt<7>.D -cnt/RefDone.D -cnt/TimeoutBPre.D -cs/nOverlay0.D -cs/nOverlay1.CE -cs/nOverlay1.D -fsb/BERR0r.D -fsb/BERR1r.D -fsb/Ready0r.D -fsb/Ready1r.D 11.0 -fsb/Ready2r.D -fsb/VPA.D 11.4 -iobs/Clear1.D 10.0 10.0 -iobs/IOL1.CE 10.0 -iobs/IORW1.D 10.0 10.0 11.0 11.0 -iobs/IOReady.D 10.0 10.0 10.0 10.0 -iobs/IOU1.CE 10.0 -iobs/Load1.D 10.0 10.0 10.0 -iobs/Once.D 11.4 11.0 10.0 -iobs/PS_FSM_FFd1.D 10.0 10.0 10.0 -iobs/PS_FSM_FFd2.D 10.0 10.0 11.0 11.0 -nADoutLE1.D 10.0 10.0 -nBR_IOB.CE -nCAS.D -nDTACK_FSB.D 11.4 -ram/BACTr.D -ram/Once.D -ram/RAMDIS1.D -ram/RAMDIS2.D -ram/RAMReady.D -ram/RASEL.D -ram/RS_FSM_FFd1.D -ram/RS_FSM_FFd2.D -ram/RS_FSM_FFd3.D - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: CLK_FSB) - -\ From n n n r r r r r r r - \ A B D a a a a a a a - \ D R T m m m m m m m - \ o _ A / / / / / / / - \ u I C B O R R R R R - \ t O K A n A A A S S - \ L B _ C c M M S _ _ - \ E . F T e D R E F F - \ 1 Q S r . I e L S S - \ . B . Q S a . M M - \ Q . Q 2 d Q _ _ - \ Q . y F F - \ Q . F F - \ Q d d - \ 1 2 - \ . . - \ Q Q - \ - To \------------------------------------------------------------ - -ALE0S.D -BERR_IOBS.D 10.0 -IOL0.CE -IOL0.D 10.0 -IOREQ.D 11.0 -IORW0.D 11.4 -IOU0.CE -IOU0.D 10.0 -IPL2r1.D -RESDone.CE -RESr1.D -RESr2.D -RefAck.D 10.0 10.0 -TimeoutA.D -TimeoutB.D -cnt/RefCnt<1>.D -cnt/RefCnt<2>.D -cnt/RefCnt<3>.D -cnt/RefCnt<4>.D -cnt/RefCnt<5>.D -cnt/RefCnt<6>.D -cnt/RefCnt<7>.D -cnt/RefDone.D -cnt/TimeoutBPre.D -cs/nOverlay0.D -cs/nOverlay1.CE -cs/nOverlay1.D -fsb/BERR0r.D -fsb/BERR1r.D -fsb/Ready0r.D 10.0 -fsb/Ready1r.D 11.0 -fsb/Ready2r.D -fsb/VPA.D 11.0 11.4 20.1 -iobs/Clear1.D 10.0 -iobs/IOL1.CE -iobs/IORW1.D 10.0 -iobs/IOReady.D 10.0 -iobs/IOU1.CE -iobs/Load1.D 10.0 -iobs/Once.D 11.0 -iobs/PS_FSM_FFd1.D -iobs/PS_FSM_FFd2.D 11.0 -nADoutLE1.D 10.0 -nBR_IOB.CE -nCAS.D 10.0 -nDTACK_FSB.D 11.0 11.4 11.4 20.1 -ram/BACTr.D -ram/Once.D 10.0 10.0 10.0 -ram/RAMDIS1.D 11.0 11.0 11.4 11.0 -ram/RAMDIS2.D 11.0 11.0 11.0 11.0 -ram/RAMReady.D 11.0 11.0 11.0 11.0 -ram/RASEL.D 11.0 11.4 11.4 11.4 -ram/RS_FSM_FFd1.D 10.0 10.0 10.0 -ram/RS_FSM_FFd2.D 11.0 11.4 11.4 -ram/RS_FSM_FFd3.D 10.0 11.0 11.0 - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: CLK_FSB) - -\ From r - \ a - \ m - \ / - \ R - \ S - \ _ - \ F - \ S - \ M - \ _ - \ F - \ F - \ d - \ 3 - \ . - \ Q - \ - To \------ - -ALE0S.D -BERR_IOBS.D -IOL0.CE -IOL0.D -IOREQ.D -IORW0.D -IOU0.CE -IOU0.D -IPL2r1.D -RESDone.CE -RESr1.D -RESr2.D -RefAck.D -TimeoutA.D -TimeoutB.D -cnt/RefCnt<1>.D -cnt/RefCnt<2>.D -cnt/RefCnt<3>.D -cnt/RefCnt<4>.D -cnt/RefCnt<5>.D -cnt/RefCnt<6>.D -cnt/RefCnt<7>.D -cnt/RefDone.D -cnt/TimeoutBPre.D -cs/nOverlay0.D -cs/nOverlay1.CE -cs/nOverlay1.D -fsb/BERR0r.D -fsb/BERR1r.D -fsb/Ready0r.D -fsb/Ready1r.D -fsb/Ready2r.D -fsb/VPA.D -iobs/Clear1.D -iobs/IOL1.CE -iobs/IORW1.D -iobs/IOReady.D -iobs/IOU1.CE -iobs/Load1.D -iobs/Once.D -iobs/PS_FSM_FFd1.D -iobs/PS_FSM_FFd2.D -nADoutLE1.D -nBR_IOB.CE -nCAS.D -nDTACK_FSB.D -ram/BACTr.D -ram/Once.D 10.0 -ram/RAMDIS1.D 11.0 -ram/RAMDIS2.D 11.0 -ram/RAMReady.D 11.0 -ram/RASEL.D 11.0 -ram/RS_FSM_FFd1.D 10.0 -ram/RS_FSM_FFd2.D 11.4 -ram/RS_FSM_FFd3.D 11.0 - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: CLK2X_IOB) - -\ From I I i i i i i i i i - \ O O o o o o o o o o - \ A B b b b b b b b b - \ C E m m m m m m m m - \ T R / / / / / / / / - \ . R B B B B D D E E - \ Q . E E G G T T S S - \ Q R R r r A A < < - \ R R 0 1 C C 0 1 - \ r r . . K K > > - \ f r Q Q r r . . - \ . . f r Q Q - \ Q Q . . - \ Q Q - \ - \ - \ - \ - \ - To \------------------------------------------------------------ - -ALE0M.D -IOACT.D 10.0 10.0 11.0 11.0 -IOBERR.D 11.0 11.0 11.0 11.0 11.0 -iobm/BGr1.D 10.0 -iobm/ES<0>.D 10.0 10.0 -iobm/ES<1>.D 10.0 10.0 -iobm/ES<2>.D 10.0 10.0 -iobm/ES<3>.D 10.0 10.0 -iobm/ES<4>.D 10.0 10.0 -iobm/ETACK.D 10.0 10.0 -iobm/IOS_FSM_FFd1.D -iobm/IOS_FSM_FFd2.D 10.0 10.0 10.0 10.0 -iobm/IOS_FSM_FFd3.D -nAS_IOB.D -nAoutOE.D 10.0 10.0 -nDinLE.D -nDoutOE.D -nLDS_IOB.D -nUDS_IOB.D -nVMA_IOB.D 10.0 10.0 10.0 - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: CLK2X_IOB) - -\ From i i i i i i i i i i - \ o o o o o o o o o o - \ b b b b b b b b b b - \ m m m m m m m m m m - \ / / / / / / / / / / - \ E E E E E I I I I R - \ S S S T r O O O O E - \ < < < A 2 R S S S S - \ 2 3 4 C . E _ _ _ r - \ > > > K Q Q F F F f - \ . . . . r S S S . - \ Q Q Q Q . M M M Q - \ Q _ _ _ - \ F F F - \ F F F - \ d d d - \ 1 2 3 - \ . . . - \ Q Q Q - To \------------------------------------------------------------ - -ALE0M.D 10.0 10.0 10.0 10.0 -IOACT.D 10.0 10.0 11.0 10.0 11.0 11.0 -IOBERR.D 10.0 11.0 11.0 11.0 11.0 -iobm/BGr1.D -iobm/ES<0>.D 10.0 10.0 10.0 10.0 -iobm/ES<1>.D 10.0 -iobm/ES<2>.D 10.0 10.0 10.0 10.0 -iobm/ES<3>.D 10.0 10.0 10.0 -iobm/ES<4>.D 10.0 10.0 10.0 10.0 -iobm/ETACK.D 10.0 10.0 10.0 -iobm/IOS_FSM_FFd1.D 10.0 10.0 10.0 -iobm/IOS_FSM_FFd2.D 10.0 10.0 10.0 10.0 10.0 -iobm/IOS_FSM_FFd3.D 10.0 10.0 10.0 10.0 -nAS_IOB.D 10.0 10.0 10.0 -nAoutOE.D -nDinLE.D 10.0 10.0 -nDoutOE.D 10.0 10.0 -nLDS_IOB.D 10.0 10.0 10.0 -nUDS_IOB.D 10.0 10.0 10.0 -nVMA_IOB.D 10.0 10.0 10.0 - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: CLK2X_IOB) - -\ From i i i n n n - \ o o o A A V - \ b b b S o M - \ m m m _ u A - \ / / / I t _ - \ R V V O O I - \ E P P B E O - \ S A A . . B - \ r r r Q Q . - \ r f r Q - \ . . . - \ Q Q Q - \ - \ - \ - \ - \ - \ - \ - To \------------------------------------ - -ALE0M.D 10.0 -IOACT.D 11.0 10.0 -IOBERR.D 11.0 -iobm/BGr1.D -iobm/ES<0>.D -iobm/ES<1>.D -iobm/ES<2>.D -iobm/ES<3>.D -iobm/ES<4>.D -iobm/ETACK.D 10.0 -iobm/IOS_FSM_FFd1.D -iobm/IOS_FSM_FFd2.D 10.0 -iobm/IOS_FSM_FFd3.D 10.0 -nAS_IOB.D -nAoutOE.D 10.0 10.0 -nDinLE.D -nDoutOE.D -nLDS_IOB.D -nUDS_IOB.D -nVMA_IOB.D 10.0 10.0 10.0 - -Path Type Definition: - -Pad to Pad (tPD) - Reports pad to pad paths that start - at input pads and end at output pads. - Paths are not traced through - registers. - -Clock Pad to Output Pad (tCO) - Reports paths that start at input - pads trace through clock inputs of - registers and end at output pads. - Paths are not traced through PRE/CLR - inputs of registers. - -Setup to Clock at Pad (tSU or tSUF) - Reports external setup time of data - to clock at pad. Data path starts at - an input pad and ends at register - (Fast Input Register for tSUF) D/T - input. Clock path starts at input pad - and ends at the register clock input. - Paths are not traced through - registers. Pin-to-pin setup - requirement is not reported or - guaranteed for product-term clocks - derived from macrocell feedback - signals. - -Clock to Setup (tCYC) - Register to register cycle time. - Include source register tCO and - destination register tSU. Note that - when the computed Maximum Clock Speed - is limited by tCYC it is computed - assuming that all registers are - rising-edge sensitive. - diff --git a/cpld/XC95144XL/WarpSE.tspec b/cpld/XC95144XL/WarpSE.tspec index 592ada0..6112318 100644 --- a/cpld/XC95144XL/WarpSE.tspec +++ b/cpld/XC95144XL/WarpSE.tspec @@ -1,402 +1,880 @@ -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<0>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<0>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<12>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<13>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<1>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<1>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<1>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<2>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<2>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<2>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<2>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<3>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<3>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<3>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<3>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<3>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<5>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<5>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<5>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<5>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<5>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<5>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<5>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<4>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<4>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<4>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<4>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<4>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<4>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<6>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<6>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<6>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<6>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<6>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<6>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<6>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<6>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<7>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<7>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<7>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<7>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<7>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<7>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<7>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<7>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<7>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<9>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<9>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<9>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<9>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<9>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<9>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<9>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<9>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<9>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<9>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<9>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<10>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<10>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<10>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<10>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<10>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<10>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<10>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<10>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<10>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<10>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<10>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<10>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<11>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<8>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<8>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<8>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<8>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<8>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<8>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<8>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<8>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<8>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<8>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<12>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/nBR_IOB.D:1428 -TS_CLK_IOB:FROM:cnt/nBR_IOB.Q:TO:cnt/nBR_IOB.D:1428 -TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/nBR_IOB.D:1428 -TS_CLK_IOB:FROM:cnt/IPL2r.Q:TO:cnt/nBR_IOB.D:1428 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK_IOB:FROM:nAoutOE_OBUF.Q:TO:iobm/IOS_FSM_FFd3.D:1428 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/PORS_FSM_FFd1.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/PORS_FSM_FFd1.D:1428 -TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/PORS_FSM_FFd1.D:1428 -TS_CLK_IOB:FROM:cnt/IPL2r.Q:TO:cnt/PORS_FSM_FFd1.D:1428 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/PORS_FSM_FFd2.D:1428 -TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/PORS_FSM_FFd2.D:1428 -TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/PORS_FSM_FFd2.D:1428 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/IOBERR.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOBERR.D:666 -TS_CLK_IOB:FROM:cnt/LTimer<13>.Q:TO:cnt/nRESout.D:1428 -TS_CLK_IOB:FROM:cnt/nRESout.Q:TO:cnt/nRESout.D:1428 -TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/nRESout.D:1428 -TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/nRESout.D:1428 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOACT.D:666 -TS_CLK_IOB:FROM:cnt/nBR_IOB.Q:TO:nAoutOE_OBUF.D:1428 -TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:nAoutOE_OBUF.D:1428 -TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:nAoutOE_OBUF.D:1428 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:iobs/IORW0.Q:TO:iobs/IORW0.D:400 -TS_CLK_FSB:FROM:ram/RefReqSync.Q:TO:ram/RefDone.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RefDone.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RefDone.D:400 -TS_CLK_FSB:FROM:ram/RefDone.Q:TO:ram/RefDone.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400 -TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOL0.D:400 -TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:iobs/IOL0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOL0.CE:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IOL0.CE:400 -TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOU0.D:400 -TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:iobs/IOU0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOU0.CE:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IOU0.CE:400 -TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:fsb/nDTACK.Q:TO:fsb/nDTACK.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/nBERR_FSB.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/nBERR_FSB.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/nBERR_FSB.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/nBERR_FSB.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/nBERR_FSB.D:400 -TS_CLK_FSB:FROM:iobs/nBERR_FSB.Q:TO:iobs/nBERR_FSB.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RefUrgent.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RAMEN.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RefReq.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/RefUrgent.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RefUrgent.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RefReq.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RefDone.Q:TO:ram/RefUrgent.D:400 -TS_CLK_FSB:FROM:ram/RegUrgentSync.Q:TO:ram/RefUrgent.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RefUrgent.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/RAMEN.Q:TO:ram/RAMEN.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMEN.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMEN.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMEN.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMEN.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMEN.D:400 -TS_CLK_FSB:FROM:ram/RefReq.Q:TO:ram/RAMEN.D:400 -TS_CLK_FSB:FROM:ram/RefUrgent.Q:TO:ram/RAMEN.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMEN.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400 -TS_CLK_FSB:FROM:ram/RefReqSync.Q:TO:ram/RefReq.D:400 -TS_CLK_FSB:FROM:ram/RefDone.Q:TO:ram/RefReq.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/ALE0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/ALE0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOREQ.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOREQ.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IOREQ.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IOREQ.D:400 -TS_CLK_FSB:FROM:ram/RefUrgent.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RAMEN.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RefReq.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RefRAS.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RefRAS.D:400 -TS_CLK_FSB:FROM:ram/RASEL.Q:TO:ram/nCAS.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK2X_IOB:FROM:iobm/IOACT.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/nVMA.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nLDS.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nLDS.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nLDS.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nUDS.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nUDS.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nUDS.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nASout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nASout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nASout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/nVMA.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/ALE0.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/ALE0.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/ALE0.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/ALE0.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/DoutOE.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/DoutOE.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nDinLE.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nDinLE.D:666 +AUTO_TS_P2P:FROM:FCLK:TO:nRES:1 +AUTO_TS_F2P:FROM:nRESout.Q:TO:nRES:1 +AUTO_TS_P2P:FROM:C16M:TO:nVMA_IOB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nVMA_IOB:1 +AUTO_TS_F2P:FROM:nVMA_IOBout.Q:TO:nVMA_IOB:1 +AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nVMA_IOB:1 +AUTO_TS_P2P:FROM:C16M:TO:nAS_IOB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nAS_IOB:1 +AUTO_TS_F2P:FROM:nAS_IOBout.Q:TO:nAS_IOB:1 +AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nAS_IOB:1 +AUTO_TS_P2P:FROM:C16M:TO:nLDS_IOB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nLDS_IOB:1 +AUTO_TS_F2P:FROM:nLDS_IOBout.Q:TO:nLDS_IOB:1 +AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nLDS_IOB:1 +AUTO_TS_P2P:FROM:C16M:TO:nUDS_IOB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nUDS_IOB:1 +AUTO_TS_F2P:FROM:nUDS_IOBout.Q:TO:nUDS_IOB:1 +AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nUDS_IOB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nBERR_FSB:1 +AUTO_TS_F2P:FROM:nBERR_FSB_OBUF.Q:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nDTACK_FSB:1 +AUTO_TS_F2P:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nBR_IOB:1 +AUTO_TS_F2P:FROM:nBR_IOB_OBUF.Q:TO:nBR_IOB:1 +AUTO_TS_P2P:FROM:A_FSB<10>:TO:RA<0>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<0>:1 +AUTO_TS_P2P:FROM:A_FSB<1>:TO:RA<0>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<0>:1 +AUTO_TS_P2P:FROM:A_FSB<11>:TO:RA<1>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<1>:1 +AUTO_TS_P2P:FROM:A_FSB<2>:TO:RA<1>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<1>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<2>:1 +AUTO_TS_P2P:FROM:A_FSB<12>:TO:RA<2>:1 +AUTO_TS_P2P:FROM:A_FSB<3>:TO:RA<2>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<2>:1 +AUTO_TS_P2P:FROM:A_FSB<13>:TO:RA<3>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<3>:1 +AUTO_TS_P2P:FROM:A_FSB<4>:TO:RA<3>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<3>:1 +AUTO_TS_P2P:FROM:A_FSB<14>:TO:RA<4>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<4>:1 +AUTO_TS_P2P:FROM:A_FSB<5>:TO:RA<4>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<4>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<5>:1 +AUTO_TS_P2P:FROM:A_FSB<15>:TO:RA<5>:1 +AUTO_TS_P2P:FROM:A_FSB<6>:TO:RA<5>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<5>:1 +AUTO_TS_P2P:FROM:A_FSB<16>:TO:RA<6>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<6>:1 +AUTO_TS_P2P:FROM:A_FSB<7>:TO:RA<6>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<6>:1 +AUTO_TS_P2P:FROM:A_FSB<8>:TO:RA<7>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<7>:1 +AUTO_TS_P2P:FROM:A_FSB<17>:TO:RA<7>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<7>:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:RA<8>:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:RA<8>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<8>:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:RA<8>:1 +AUTO_TS_P2P:FROM:A_FSB<9>:TO:RA<8>:1 +AUTO_TS_P2P:FROM:A_FSB<18>:TO:RA<8>:1 +AUTO_TS_F2P:FROM:cs/nOverlay1.Q:TO:RA<8>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<8>:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:RA<9>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<9>:1 +AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<9>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<9>:1 +AUTO_TS_P2P:FROM:FCLK:TO:nDoutOE:1 +AUTO_TS_P2P:FROM:C16M:TO:nDoutOE:1 +AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nDoutOE:1 +AUTO_TS_F2P:FROM:iobm/DoutOE.Q:TO:nDoutOE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nOE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nOE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nVPA_FSB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nVPA_FSB:1 +AUTO_TS_F2P:FROM:fsb/VPA.Q:TO:nVPA_FSB:1 +AUTO_TS_P2P:FROM:C16M:TO:nADoutLE0:1 +AUTO_TS_P2P:FROM:FCLK:TO:nADoutLE0:1 +AUTO_TS_F2P:FROM:ALE0M.Q:TO:nADoutLE0:1 +AUTO_TS_F2P:FROM:ALE0S.Q:TO:nADoutLE0:1 +AUTO_TS_P2P:FROM:FCLK:TO:nCAS:1 +AUTO_TS_F2P:FROM:nCAS_OBUF.Q:TO:nCAS:1 +AUTO_TS_P2P:FROM:C16M:TO:nDinLE:1 +AUTO_TS_F2P:FROM:nDinLE_OBUF.Q:TO:nDinLE:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nDinOE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<11>:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:RA<10>:1 +AUTO_TS_P2P:FROM:FCLK:TO:nADoutLE1:1 +AUTO_TS_F2P:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1:1 +AUTO_TS_P2P:FROM:FCLK:TO:nAoutOE:1 +AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nAoutOE:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:FCLK:TO:nROMCS:1 +AUTO_TS_F2P:FROM:cs/nOverlay1.Q:TO:nROMCS:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:IOACT.D:1 +AUTO_TS_P2F:FROM:C16M:TO:IOACT.D:1 +AUTO_TS_P2F:FROM:C8M:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:IOBERR.D:1 +AUTO_TS_P2F:FROM:C16M:TO:IOBERR.D:1 +AUTO_TS_P2F:FROM:C8M:TO:IOBERR.D:1 +AUTO_TS_P2F:FROM:nBERR_IOB:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:RefUrg.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefUrg.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefUrg.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:RefUrg.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<0>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<0>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<0>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<0>.CE:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<0>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<0>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<0>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<0>.CE:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<1>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<1>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<1>.CE:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<2>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<2>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<2>.CE:1 +AUTO_TS_F2F:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay1.CE:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay1.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<10>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<10>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<10>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<10>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<11>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<11>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<11>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<11>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<1>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<1>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<1>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<1>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<1>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<2>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<2>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<2>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<2>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<2>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<3>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<3>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<3>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<3>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<3>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<4>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<4>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<4>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<4>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<4>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<4>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<4>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<4>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<4>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<5>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<5>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<5>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<5>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<6>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<6>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<6>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<6>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<7>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<7>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<7>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<7>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<8>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<8>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<8>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<8>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<9>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<9>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<9>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<9>.CE:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:IORW0.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<12>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<12>.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<12>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<12>.CE:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/TimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/TimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/TimerTC.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/TimerTC.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/TimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/TimerTC.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/TimerTC.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/TimerTC.CE:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:ram/RefReqSync.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RefDone.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay0.D:1 +AUTO_TS_F2F:FROM:nRESout.Q:TO:cs/nOverlay0.RSTF:1 +AUTO_TS_P2F:FROM:nRES:TO:cs/nOverlay0.RSTF:1 +AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay0.RSTF:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:iobs/IOL1.Q:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:nLDS_FSB:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:IOL0.CE:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:iobs/IOU1.Q:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:nUDS_FSB:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:IOU0.CE:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:RefReq.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:RefReq.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:RefReq.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:RefReq.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefReq.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefReq.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:RefReq.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<12>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimerTC.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimerTC.CE:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimerTC.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimerTC.CE:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:1 +AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:1 +AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready0r.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/VPA.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOL1.CE:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:iobs/IOReady.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IOReady.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOReady.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOU1.CE:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:nBERR_FSB_OBUF.Q:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:C16M:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:nBR_IOB_OBUF.Q:TO:nBR_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:nBR_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:nBR_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:cnt/nIPL2r.Q:TO:nBR_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nBR_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RefReq.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/RefReq.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefUrg.D:1 +AUTO_TS_F2F:FROM:ram/RegUrgSync.Q:TO:ram/RefUrg.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RefUrg.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/PS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/INITS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/INITS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/INITS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/LTimerTC.Q:TO:cnt/INITS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:cnt/INITS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:cnt/INITS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/nIPL2r.Q:TO:cnt/INITS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/INITS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/INITS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/INITS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/INITS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/LTimerTC.Q:TO:cnt/INITS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:cnt/INITS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:cnt/INITS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/INITS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:ram/RefReq.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMEN.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RAMEN.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMEN.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMEN.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMEN.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/BACTr.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/BACTr.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefReq.D:1 +AUTO_TS_F2F:FROM:ram/RefReqSync.Q:TO:ram/RefReq.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RefReq.D:1 +AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RefReqSync.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RefReqSync.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ALE0S.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Er<1>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Er<1>.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/Clear1.D:1 +AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:nRESout.D:1 +AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:nRESout.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nRESout.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RefReq.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RegUrgSync.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RegUrgSync.D:1 +AUTO_TS_F2F:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:nAoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:nAoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:nAoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:nBR_IOB_OBUF.Q:TO:nAoutOE_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nAoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:nVMA_IOBout.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:IOACT.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/VPArf.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/VPArr.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_P2F:FROM:C16M:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nAS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nAS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOBout.D:1 +AUTO_TS_P2F:FROM:C16M:TO:nAS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:IOL0.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:IORW0.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_P2F:FROM:C16M:TO:nLDS_IOBout.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:IOU0.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:IORW0.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_P2F:FROM:C16M:TO:nUDS_IOBout.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<0>.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<1>.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<2>.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<3>.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<4>.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:nVMA_IOBout.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:ALE0M.D:1 +AUTO_TS_P2F:FROM:C16M:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:IORW0.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/DoutOE.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nDinLE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDinLE_OBUF.D:1 +AUTO_TS_P2F:FROM:C16M:TO:nDinLE_OBUF.D:1 +AUTO_TS_F2F:FROM:IOACT.Q:TO:iobs/IOACTr.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobs/IOACTr.D:1 +AUTO_TS_F2F:FROM:IOREQ.Q:TO:iobm/IOREQr.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobm/IOREQr.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/Er2.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/Er2.D:1 +AUTO_TS_F2F:FROM:nRESout.Q:TO:iobm/RESrf.D:1 +AUTO_TS_P2F:FROM:nRES:TO:iobm/RESrf.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobm/RESrf.D:1 +AUTO_TS_F2F:FROM:nRESout.Q:TO:iobm/RESrr.D:1 +AUTO_TS_P2F:FROM:nRES:TO:iobm/RESrr.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobm/RESrr.D:1 +AUTO_TS_P2F:FROM:C16M:TO:C16M_IBUF/FCLK:1 +AUTO_TS_P2F:FROM:C16M:TO:C16M_IBUF/FCLK-:1 +AUTO_TS_P2F:FROM:FCLK:TO:FCLK_IBUF/FCLK:1 +AUTO_TS_P2F:FROM:nLDS_FSB:TO:iobs/IOL1.D:1 +AUTO_TS_P2F:FROM:nUDS_FSB:TO:iobs/IOU1.D:1 +AUTO_TS_P2F:FROM:E:TO:iobm/Er.D:1 +AUTO_TS_P2F:FROM:C8M:TO:C8M_IBUF/FCLK-:1 +AUTO_TS_P2F:FROM:E:TO:cnt/Er<0>.D:1 +AUTO_TS_P2F:FROM:nIPL2:TO:cnt/nIPL2r.D:1 +AUTO_TS_P2F:FROM:nDTACK_IOB:TO:iobm/DTACKrf.D:1 +AUTO_TS_P2F:FROM:nDTACK_IOB:TO:iobm/DTACKrr.D:1 +AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPArf.D:1 +AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPArr.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/ASrf.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:FCLK_IBUF/FCLK-:1 +AUTO_TS_P2F:FROM:nBERR_IOB:TO:iobm/BERRrf.D:1 +AUTO_TS_P2F:FROM:nBERR_IOB:TO:iobm/BERRrr.D:1 diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index aedfc0a..a7258c5 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -8,830 +8,434 @@ NETWORK | WarpSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 9502 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<9> | 9417 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 9503 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<8> | 9418 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 9504 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<15> | 9419 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 9505 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 9420 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 9506 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<13> | 9421 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 9507 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<12> | 9422 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 9508 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 9423 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 9509 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<10> | 9424 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 9510 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 9425 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 9511 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 9426 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 9512 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 9427 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 9513 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 9428 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 9514 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 9429 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 9515 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 9430 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 9516 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<17> | 9431 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 9517 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<16> | 9432 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C16M | 9518 | PI | 454 | 0 | WarpSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE +NODE | C16M | 9433 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | iobm/nVMA | WarpSE_COPY_0_COPY_0 | 2155893760 | 11 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nVMA | 9316 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOACT | 9404 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9419 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9420 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nVMA$Q | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 9231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/nVMA | 9316 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | iobm/nVMA$OE | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.BUFOE.OUT | iobm/nVMA | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE -SIGNAL_INSTANCE | iobm/nVMA.SI | iobm/nVMA | 0 | 10 | 3 +SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nVMA | 9316 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOACT | 9404 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9419 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9420 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nVMA.D1 | 9538 | ? | 545 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOBout.D1 | 9453 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nVMA.D2 | 9539 | ? | 545 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | iobm/nVMA | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> -SPPTERM | 9 | IV_TRUE | iobm/nVMA | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr +SIGNAL | NODE | nVMA_IOBout.D2 | 9454 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> +SPPTERM | 9 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | iobm/nVMA.TRST | 9541 | ? | 0 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | cnt/AoutOE +SIGNAL | NODE | nVMA_IOBout.TRST | 9456 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | AoutOE -SRFF_INSTANCE | iobm/nVMA.REG | iobm/nVMA | 0 | 2 | 1 +SRFF_INSTANCE | nVMA_IOBout.REG | nVMA_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nVMA.D | 9537 | ? | 545 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOBout.D | 9452 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nVMA.Q | 9542 | ? | 561 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | nVMA_IOBout.Q | 9457 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q -BUF_INSTANCE | iobm/nVMA.BUFOE | iobm/nVMA | 0 | 1 | 1 +BUF_INSTANCE | nVMA_IOBout.BUFOE | nVMA_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | iobm/nVMA.TRST | 9541 | ? | 0 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | cnt/AoutOE +SIGNAL | NODE | nVMA_IOBout.TRST | 9456 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | iobm/nVMA.BUFOE.OUT | 9540 | ? | 0 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.BUFOE | 0 | 10 | BUF_OUT +NODE | nVMA_IOBout.BUFOE.OUT | 9455 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | iobm/nLDS | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 +MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325120 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL0 | 9352 | ? | 547 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nLDS | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.Q | iobm/nLDS | 0 | 0 | MC_Q +NODE | nAS_IOBout | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | iobm/nLDS$OE | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.BUFOE.OUT | iobm/nLDS | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 9235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE -SIGNAL_INSTANCE | iobm/nLDS.SI | iobm/nLDS | 0 | 6 | 3 +SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL0 | 9352 | ? | 547 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nLDS.D1 | 9544 | ? | 547 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOBout.D1 | 9459 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nLDS.D2 | 9545 | ? | 547 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/IOL0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | iobs/IOL0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 4 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/IOL0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 -OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | iobm/nLDS.TRST | 9547 | ? | 0 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | cnt/AoutOE - -SRFF_INSTANCE | iobm/nLDS.REG | iobm/nLDS | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nLDS.D | 9543 | ? | 547 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nLDS.Q | 9548 | ? | 0 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -BUF_INSTANCE | iobm/nLDS.BUFOE | iobm/nLDS | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | iobm/nLDS.TRST | 9547 | ? | 0 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | cnt/AoutOE -OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | iobm/nLDS.BUFOE.OUT | 9546 | ? | 0 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.BUFOE | 0 | 10 | BUF_OUT - -MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | iobm/nUDS | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU0 | 9357 | ? | 549 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nUDS | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.Q | iobm/nUDS | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | iobm/nUDS$OE | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.BUFOE.OUT | iobm/nUDS | 2 | 0 | MC_OE - -SIGNAL_INSTANCE | iobm/nUDS.SI | iobm/nUDS | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU0 | 9357 | ? | 549 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nUDS.D1 | 9550 | ? | 549 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nUDS.D2 | 9551 | ? | 549 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/IOU0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | iobs/IOU0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 4 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/IOU0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 -OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | iobm/nUDS.TRST | 9553 | ? | 0 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | cnt/AoutOE - -SRFF_INSTANCE | iobm/nUDS.REG | iobm/nUDS | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nUDS.D | 9549 | ? | 549 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nUDS.Q | 9554 | ? | 0 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -BUF_INSTANCE | iobm/nUDS.BUFOE | iobm/nUDS | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | iobm/nUDS.TRST | 9553 | ? | 0 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | cnt/AoutOE -OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | iobm/nUDS.BUFOE.OUT | 9552 | ? | 0 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.BUFOE | 0 | 10 | BUF_OUT - -MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | iobm/nASout | WarpSE_COPY_0_COPY_0 | 2424325120 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nASout | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | iobm/nASout$OE | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.BUFOE.OUT | iobm/nASout | 2 | 0 | MC_OE - -SIGNAL_INSTANCE | iobm/nASout.SI | iobm/nASout | 0 | 4 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nASout.D1 | 9556 | ? | 550 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nASout.D2 | 9557 | ? | 550 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOBout.D2 | 9460 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | iobm/nASout.TRST | 9559 | ? | 0 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | cnt/AoutOE +SIGNAL | NODE | nAS_IOBout.TRST | 9462 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | AoutOE -SRFF_INSTANCE | iobm/nASout.REG | iobm/nASout | 0 | 2 | 1 +SRFF_INSTANCE | nAS_IOBout.REG | nAS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nASout.D | 9555 | ? | 550 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.XOR | 0 | 7 | ALU_F +NODE | nAS_IOBout.D | 9458 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nASout.Q | 9560 | ? | 0 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | nAS_IOBout.Q | 9463 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q -BUF_INSTANCE | iobm/nASout.BUFOE | iobm/nASout | 0 | 1 | 1 +BUF_INSTANCE | nAS_IOBout.BUFOE | nAS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | iobm/nASout.TRST | 9559 | ? | 0 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | cnt/AoutOE +SIGNAL | NODE | nAS_IOBout.TRST | 9462 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | iobm/nASout.BUFOE.OUT | 9558 | ? | 0 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.BUFOE | 0 | 10 | BUF_OUT +NODE | nAS_IOBout.BUFOE.OUT | 9461 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT -INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 9519 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nLDS_IOBout | 9236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 9237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE -INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C8M | 9520 | PI | 455 | 0 | WarpSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2424313088 | 4 | 1 +SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | IOL0 | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 3 | 3 +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<0>.D1 | 9562 | ? | 458 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOBout.D1 | 9465 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<0>.D2 | 9563 | ? | 458 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/TimerTC -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 9564 | ? | 460 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | nLDS_IOBout.D2 | 9466 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | IOL0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | IOL0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | IOL0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 9468 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | AoutOE -SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 3 | 1 +SRFF_INSTANCE | nLDS_IOBout.REG | nLDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<0>.D | 9561 | ? | 458 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOBout.D | 9464 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 9564 | ? | 460 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<0>.Q | 9565 | ? | 485 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +NODE | nLDS_IOBout.Q | 9469 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<13> | WarpSE_COPY_0_COPY_0 | 2424312832 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9344 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +BUF_INSTANCE | nLDS_IOBout.BUFOE | nLDS_IOBout | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | nLDS_IOBout.TRST | 9468 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | AoutOE +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | nLDS_IOBout.BUFOE.OUT | 9467 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT -SIGNAL_INSTANCE | cnt/LTimer<13>.SI | cnt/LTimer<13> | 0 | 15 | 3 +MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | IOU0 | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nUDS_IOBout | 9238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | IOU0 | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9344 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<13>.D1 | 9567 | ? | 461 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOBout.D1 | 9471 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<13>.D2 | 9568 | ? | 461 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/TimerTC -SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<12> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<13>.CE | 9569 | ? | 462 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | nUDS_IOBout.D2 | 9472 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | IOU0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | IOU0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | IOU0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 9474 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | AoutOE -SRFF_INSTANCE | cnt/LTimer<13>.REG | cnt/LTimer<13> | 0 | 3 | 1 +SRFF_INSTANCE | nUDS_IOBout.REG | nUDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<13>.D | 9566 | ? | 461 | 0 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOBout.D | 9470 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<13>.CE | 9569 | ? | 462 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<13>.Q | 9570 | ? | 495 | 0 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +NODE | nUDS_IOBout.Q | 9475 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<1>.EXP | 10095 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 12 | 4 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<1>.D1 | 9572 | ? | 463 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<1>.D2 | 9573 | ? | 463 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> -SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> -SPPTERM | 2 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/TimerTC -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<1>.EXP | 10093 | ? | 559 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 9574 | ? | 464 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC - -SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<1>.D | 9571 | ? | 463 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 9574 | ? | 464 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<1>.Q | 9575 | ? | 485 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2424312832 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<2>.D1 | 9577 | ? | 465 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<2>.D2 | 9578 | ? | 465 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> -SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_FALSE | cnt/TimerTC -SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/TimerTC -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 9579 | ? | 466 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC - -SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<2>.D | 9576 | ? | 465 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 9579 | ? | 466 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<2>.Q | 9580 | ? | 485 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2424312832 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<3>.D1 | 9582 | ? | 467 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<3>.D2 | 9583 | ? | 467 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/TimerTC -SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> -SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_FALSE | cnt/TimerTC -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 9584 | ? | 468 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC - -SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<3>.D | 9581 | ? | 467 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 9584 | ? | 468 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<3>.Q | 9585 | ? | 485 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2424312832 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 8 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<5>.D1 | 9587 | ? | 469 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<5>.D2 | 9588 | ? | 469 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/TimerTC -SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> -SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_FALSE | cnt/TimerTC -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 9589 | ? | 470 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC - -SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<5>.D | 9586 | ? | 469 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 9589 | ? | 470 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<5>.Q | 9590 | ? | 485 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +BUF_INSTANCE | nUDS_IOBout.BUFOE | nUDS_IOBout | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | nUDS_IOBout.TRST | 9474 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | AoutOE +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | nUDS_IOBout.BUFOE.OUT | 9473 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | FCLK | 9521 | PI | 456 | 0 | WarpSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | FCLK | 9434 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK_IBUF/FCLK- | 9334 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 9524 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 9440 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nWE_FSB | 9436 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Once | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10137 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | iobs/IOReady.EXP | 10007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 10149 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10137 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | iobs/IOReady.EXP | 10007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 10149 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Once.D1 | 9592 | ? | 499 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Once.D1 | 9477 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Once.D2 | 9593 | ? | 499 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP -SPPTERM | 1 | IV_TRUE | EXP29_.EXP +SIGNAL | NODE | iobs/Once.D2 | 9478 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/IOReady.EXP +SPPTERM | 1 | IV_TRUE | EXP21_.EXP SPPTERM | 3 | IV_TRUE | iobs/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf @@ -840,1102 +444,1912 @@ SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iob SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Once.D | 9591 | ? | 499 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F +NODE | iobs/Once.D | 9476 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Once.Q | 9594 | ? | 539 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | iobs/Once.Q | 9479 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2424312832 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 7 | 3 +SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<4>.D1 | 9596 | ? | 471 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefUrg.D1 | 9481 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<4>.D2 | 9597 | ? | 471 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/TimerTC -SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> -SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_FALSE | cnt/TimerTC +SIGNAL | NODE | RefUrg.D2 | 9482 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/TimerTC +SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> +SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 9598 | ? | 472 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | RefUrg.CE | 9483 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 3 | 1 +SRFF_INSTANCE | RefUrg.REG | RefUrg | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<4>.D | 9595 | ? | 471 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +NODE | RefUrg.D | 9480 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 9598 | ? | 472 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | RefUrg.CE | 9483 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<4>.Q | 9599 | ? | 485 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +NODE | RefUrg.Q | 9484 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2424312832 | 10 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<6>.D1 | 9601 | ? | 473 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<6>.D2 | 9602 | ? | 473 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/TimerTC -SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> -SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_FALSE | cnt/TimerTC -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 9603 | ? | 474 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC - -SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<6>.D | 9600 | ? | 473 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 9603 | ? | 474 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<6>.Q | 9604 | ? | 485 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2424312832 | 16 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<7>.EXP | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.EXP | cnt/LTimer<7> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<0>.EXP | 10034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.EXP | cnt/LTimer<0> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 15 | 4 +SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 11 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<7>.D1 | 9606 | ? | 475 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 9486 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<7>.D2 | 9607 | ? | 475 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/TimerTC -SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> -SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_FALSE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<0>.D2 | 9487 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<7>.EXP | 10120 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/RASEL +SIGNAL | NODE | cnt/LTimer<0>.EXP | 10023 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 5 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 5 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 9608 | ? | 476 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<0>.CE | 9488 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 3 | 1 +SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<7>.D | 9605 | ? | 475 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 9485 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 9608 | ? | 476 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<0>.CE | 9488 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<7>.Q | 9609 | ? | 485 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +NODE | cnt/LTimer<0>.Q | 9489 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2424312832 | 13 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/Timer<0>.EXP | 10026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.EXP | cnt/Timer<0> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 12 | 3 +SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 6 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<9>.D1 | 9611 | ? | 477 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 9491 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<9>.D2 | 9612 | ? | 477 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC -SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> -SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/TimerTC +SIGNAL | NODE | cnt/Timer<0>.D2 | 9492 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/Timer<0>.EXP | 10015 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 9613 | ? | 478 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | cnt/Timer<0>.CE | 9493 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 3 | 1 +SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<9>.D | 9610 | ? | 477 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<0>.D | 9490 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 9613 | ? | 478 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | cnt/Timer<0>.CE | 9493 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<9>.Q | 9614 | ? | 485 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +NODE | cnt/Timer<0>.Q | 9494 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9348 | ? | 505 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r.EXP | 10027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/Timer<1>.EXP | 10028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.EXP | cnt/Timer<1> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 +SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 13 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9348 | ? | 505 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r.EXP | 10027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay1.D1 | 9616 | ? | 501 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 9496 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay1.D2 | 9617 | ? | 501 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay0 +SIGNAL | NODE | cnt/Timer<1>.D2 | 9497 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/Ready0r.EXP +SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/Timer<1>.EXP | 10017 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9618 | ? | 502 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SIGNAL | NODE | cnt/Timer<1>.CE | 9498 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 +SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay1.D | 9615 | ? | 501 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<1>.D | 9495 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9618 | ? | 502 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SIGNAL | NODE | cnt/Timer<1>.CE | 9498 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay1.Q | 9619 | ? | 540 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | cnt/Timer<1>.Q | 9499 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2424312832 | 14 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | cnt/Timer<0>.EXP | 10026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.EXP | cnt/Timer<0> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/Timer<2>.EXP | 10025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.EXP | cnt/Timer<2> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0>.EXP | 10026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.EXP | cnt/Timer<0> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 9501 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<2>.D2 | 9502 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/Timer<0>.EXP +SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> +SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/Timer<2>.EXP | 10014 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 9503 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Timer<2>.D | 9500 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 9503 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 9504 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<10>.D1 | 9621 | ? | 479 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 9506 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<10>.D2 | 9622 | ? | 479 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/TimerTC -SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<8> -SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<10>.D2 | 9507 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 9623 | ? | 480 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<10>.CE | 9508 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<10>.D | 9620 | ? | 479 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<10>.D | 9505 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 9623 | ? | 480 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<10>.CE | 9508 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<10>.Q | 9624 | ? | 485 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +NODE | cnt/LTimer<10>.Q | 9509 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2424312832 | 15 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 14 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<11>.D1 | 9626 | ? | 481 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 9511 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<11>.D2 | 9627 | ? | 481 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/TimerTC -SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<8> -SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<11>.D2 | 9512 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 9628 | ? | 482 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<11>.CE | 9513 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<11>.D | 9625 | ? | 481 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<11>.D | 9510 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 9628 | ? | 482 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<11>.CE | 9513 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<11>.Q | 9629 | ? | 485 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +NODE | cnt/LTimer<11>.Q | 9514 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2424312832 | 12 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 4 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 9516 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<1>.D2 | 9517 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/LTimer<0> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 9518 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<1>.D | 9515 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 9518 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 9519 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 9521 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 9522 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 9523 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<2>.D | 9520 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 9523 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 9524 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 9526 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 9527 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 9528 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<3>.D | 9525 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 9528 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 9529 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 9531 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 9532 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 9533 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<4>.D | 9530 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 9533 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 9534 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 9536 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 9537 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 9538 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<5>.D | 9535 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 9538 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 9539 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 9541 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 9542 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 9543 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<6>.D | 9540 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 9543 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 9544 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 9546 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 9547 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 9548 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<7>.D | 9545 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 9548 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 9549 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<8>.D1 | 9631 | ? | 483 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 9551 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<8>.D2 | 9632 | ? | 483 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/TimerTC -SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> -SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_FALSE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<8>.D2 | 9552 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 9633 | ? | 484 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<8>.CE | 9553 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<8>.D | 9630 | ? | 483 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<8>.D | 9550 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 9633 | ? | 484 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<8>.CE | 9553 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<8>.Q | 9634 | ? | 485 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +NODE | cnt/LTimer<8>.Q | 9554 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<12> | WarpSE_COPY_0_COPY_0 | 2424312832 | 16 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9344 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<12> | 9344 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/LTimer<12>.SI | cnt/LTimer<12> | 0 | 15 | 3 +SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9344 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<12>.D1 | 9636 | ? | 485 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 9556 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<12>.D2 | 9637 | ? | 485 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<12> | IV_TRUE | cnt/TimerTC -SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<8> -SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<9>.D2 | 9557 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 9638 | ? | 486 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<9>.CE | 9558 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | cnt/LTimer<12>.REG | cnt/LTimer<12> | 0 | 3 | 1 +SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<12>.D | 9635 | ? | 485 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<9>.D | 9555 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 9638 | ? | 486 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | cnt/TimerTC +SIGNAL | NODE | cnt/LTimer<9>.CE | 9558 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<12>.Q | 9639 | ? | 485 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/IORW0 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10088 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10089 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IORW0.SI | iobs/IORW0 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10088 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10089 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW0.D1 | 9641 | ? | 503 | 4096 | iobs/IORW0 | NULL | NULL | iobs/IORW0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW0.D2 | 9642 | ? | 503 | 4096 | iobs/IORW0 | NULL | NULL | iobs/IORW0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP21_.EXP -SPPTERM | 1 | IV_TRUE | EXP22_.EXP -SPPTERM | 2 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 2 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | iobs/IORW0 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | IV_TRUE | iobs/ALE1 - -SRFF_INSTANCE | iobs/IORW0.REG | iobs/IORW0 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW0.D | 9640 | ? | 503 | 0 | iobs/IORW0 | NULL | NULL | iobs/IORW0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW0.Q | 9643 | ? | 568 | 0 | iobs/IORW0 | NULL | NULL | iobs/IORW0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9398 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RefDone.EXP | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9398 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefDone.D1 | 9645 | ? | 504 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefDone.D2 | 9646 | ? | 504 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RefReqSync -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefReqSync -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RefDone.EXP | 10097 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 - -SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefDone.D | 9644 | ? | 504 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefDone.Q | 9647 | ? | 533 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | cnt/LTimer<9>.Q | 9559 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 9492 | PIPO | 571 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 9406 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9348 | ? | 505 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9429 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay0 | 9348 | ? | 505 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay0.EXP | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay.EXP | 9981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 10 | 4 +SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 17 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9348 | ? | 505 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9429 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay0.D1 | 9649 | ? | 505 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay.D1 | 9561 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay0.D2 | 9650 | ? | 505 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | cs/nOverlay.D2 | 9562 | ? | 0 | 6144 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | cs/nOverlay0.RSTF | 9651 | ? | 506 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cs/nOverlay.RSTF | 9563 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | N01 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay0.EXP | 10098 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF +SIGNAL | NODE | cs/nOverlay.EXP | 9968 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 -SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 +SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay0.D | 9648 | ? | 505 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay.D | 9560 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | cs/nOverlay0.RSTF | 9651 | ? | 506 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cs/nOverlay.RSTF | 9563 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | N01 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay0.Q | 9652 | ? | 505 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | cs/nOverlay.Q | 9564 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORW0 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 9972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 9984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 +SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 9972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 9984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready0r.D1 | 9654 | ? | 507 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORW0.D1 | 9566 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready0r.D2 | 9655 | ? | 507 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IORW0.D2 | 9567 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP10_.EXP +SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP +SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IORW0 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | IORW0 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IORW0.D | 9565 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IORW0.Q | 9568 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<12> | WarpSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<12>.SI | cnt/LTimer<12> | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<12>.D1 | 9570 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<12>.D2 | 9571 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 9572 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<12>.REG | cnt/LTimer<12> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<12>.D | 9569 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 9572 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<12>.Q | 9573 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimerTC.D1 | 9575 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimerTC.D2 | 9576 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 9577 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimerTC.D | 9574 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/TimerTC.CE | 9577 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimerTC.Q | 9578 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReqSync | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReqSync | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefDone.D1 | 9580 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefDone.D2 | 9581 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RefReqSync +SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefReqSync +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefReqSync + +SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefDone.D | 9579 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefDone.Q | 9582 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nLDS_FSB | 9435 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOL0 | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 9584 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 9585 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOL0.CE | 9586 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOL0.D | 9583 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | IOL0.CE | 9586 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 9587 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nUDS_FSB | 9437 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOU0 | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 9589 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 9590 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOU0.CE | 9591 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOU0.D | 9588 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | IOU0.CE | 9591 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 9592 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RefReq | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefReq.D1 | 9594 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefReq.D2 | 9595 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 9596 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | RefReq.REG | RefReq | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RefReq.D | 9593 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | RefReq.CE | 9596 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RefReq.Q | 9597 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<12> | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<12> | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimerTC.D1 | 9599 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimerTC.D2 | 9600 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 13 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<12> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 9601 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimerTC.D | 9598 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 9601 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimerTC.Q | 9602 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/Ready0r.EXP | 10027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready0r.D1 | 9604 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready0r.D2 | 9605 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/Ready0r.EXP | 10016 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready0r.D | 9653 | ? | 507 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready0r.D | 9603 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready0r.Q | 9656 | ? | 520 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | fsb/Ready0r.Q | 9606 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | WarpSE_COPY_0_COPY_0 | 2155873536 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.EXP | 10084 | ? | 508 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.EXP | fsb/nDTACK | 4 | 0 | MC_EXPORT +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay.EXP | 9981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/Ready1r.EXP | 10085 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 11 | 3 +SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.EXP | 10084 | ? | 508 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.EXP | fsb/nDTACK | 4 | 0 | MC_EXPORT +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay.EXP | 9981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready1r.D1 | 9658 | ? | 508 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 9608 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready1r.D2 | 9659 | ? | 508 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | fsb/nDTACK.EXP +SIGNAL | NODE | fsb/Ready1r.D2 | 9609 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay.EXP SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/Ready1r.EXP | 10071 | ? | 514 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready1r.D | 9657 | ? | 508 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready1r.D | 9607 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready1r.Q | 9660 | ? | 520 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | fsb/Ready1r.Q | 9610 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10078 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 9975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10091 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 9976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10078 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 9975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10091 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 9976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA.D1 | 9662 | ? | 509 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 9612 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA.D2 | 9663 | ? | 509 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA.D2 | 9613 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP13_.EXP SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 1 | IV_TRUE | EXP23_.EXP SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF @@ -1944,1618 +2358,1204 @@ SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA.D | 9661 | ? | 509 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +NODE | fsb/VPA.D | 9611 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA.Q | 9664 | ? | 509 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 9522 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9354 | ? | 510 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL0 | 9352 | ? | 547 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOL0.SI | iobs/IOL0 | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9354 | ? | 510 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL0.D1 | 9666 | ? | 510 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL0.D2 | 9667 | ? | 510 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | iobs/ALE1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL0.CE | 9668 | ? | 511 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | iobs/IOL0.REG | iobs/IOL0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL0.D | 9665 | ? | 510 | 0 | iobs/IOL0 | NULL | NULL | iobs/IOL0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL0.CE | 9668 | ? | 511 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL0.Q | 9669 | ? | 547 | 0 | iobs/IOL0 | NULL | NULL | iobs/IOL0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | fsb/VPA.Q | 9614 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9354 | ? | 510 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 9671 | ? | 512 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 9616 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 9672 | ? | 512 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 9617 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 9673 | ? | 513 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9618 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 9670 | ? | 512 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 9615 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 9673 | ? | 513 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9618 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 9674 | ? | 510 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | iobs/IOL1.Q | 9619 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877632 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9355 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 10086 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 9979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 10087 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 9980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9355 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9355 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 10086 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 9979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 10087 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 9980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 9676 | ? | 514 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 9621 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 9677 | ? | 514 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 9622 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | iobs/ALE1 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP -SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 1 | IV_TRUE | EXP17_.EXP SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 9675 | ? | 514 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 9620 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 9678 | ? | 514 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | iobs/IORW1.Q | 9623 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 10006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/IOReady.EXP | 10007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 8 | 2 +SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 10006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOReady.D1 | 9680 | ? | 515 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOReady.D1 | 9625 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOReady.D2 | 9681 | ? | 515 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | iobs/IOReady.D2 | 9626 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IOReady.EXP | 9995 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOReady.D | 9679 | ? | 515 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F +NODE | iobs/IOReady.D | 9624 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOReady.Q | 9682 | ? | 520 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 9523 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9359 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU0 | 9357 | ? | 549 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOU0.SI | iobs/IOU0 | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9359 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU0.D1 | 9684 | ? | 516 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU0.D2 | 9685 | ? | 516 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | iobs/ALE1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU0.CE | 9686 | ? | 517 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | iobs/IOU0.REG | iobs/IOU0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU0.D | 9683 | ? | 516 | 0 | iobs/IOU0 | NULL | NULL | iobs/IOU0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU0.CE | 9686 | ? | 517 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU0.Q | 9687 | ? | 549 | 0 | iobs/IOU0 | NULL | NULL | iobs/IOU0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | iobs/IOReady.Q | 9627 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9359 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 9689 | ? | 518 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 9629 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 9690 | ? | 518 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 9630 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 9691 | ? | 519 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9631 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 9688 | ? | 518 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 9628 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 9691 | ? | 519 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9631 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 9692 | ? | 516 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | iobs/IOU1.Q | 9632 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | C8M | 9438 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 9525 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nBERR_IOB | 9439 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/nDTACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_FSB_OBUF.UIM | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9363 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10083 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | fsb/nDTACK | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/nDTACK.UIM | 9363 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/nDTACK.EXP | 10084 | ? | 508 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.EXP | fsb/nDTACK | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 10029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | fsb/nDTACK.SI | fsb/nDTACK | 0 | 19 | 3 +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 14 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_FSB_OBUF.UIM | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9363 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10083 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/nDTACK.D1 | 9694 | ? | 520 | 4096 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9634 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/nDTACK.D2 | 9695 | ? | 520 | 4096 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP18_.EXP -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | iobs/ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | iobs/ALE1 +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9635 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | nBERR_FSB_OBUF.UIM | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_FALSE | nBERR_FSB_OBUF.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_TRUE | nBERR_FSB_OBUF.UIM | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_TRUE | nBERR_FSB_OBUF.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/nDTACK.EXP | 10070 | ? | 508 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 10018 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SRFF_INSTANCE | fsb/nDTACK.REG | fsb/nDTACK | 0 | 2 | 1 +SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/nDTACK.D | 9693 | ? | 520 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.XOR | 0 | 7 | ALU_F +NODE | nBERR_FSB_OBUF.D | 9633 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/nDTACK.Q | 9696 | ? | 520 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | nBERR_FSB_OBUF.Q | 9636 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/nBERR_FSB | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 3 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/nBERR_FSB.UIM | 9365 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_FSB_OBUF.UIM | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3.EXP | 10143 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 9977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobs/nBERR_FSB | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/nBERR_FSB.UIM | 9365 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/nBERR_FSB.EXP | 10144 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.EXP | iobs/nBERR_FSB | 4 | 0 | MC_EXPORT +NODE | nDTACK_FSB_OBUF.EXP | 9978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/nBERR_FSB.SI | iobs/nBERR_FSB | 0 | 17 | 3 +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 19 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/nBERR_FSB.UIM | 9365 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3.EXP | 10143 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 9977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/nBERR_FSB.D1 | 9698 | ? | 521 | 4096 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9638 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/nBERR_FSB.D2 | 9699 | ? | 521 | 4096 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3.EXP -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/nBERR_FSB.UIM | IV_FALSE | fsb/ASrf +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9639 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/nBERR_FSB.EXP | 10131 | ? | 525 | 0 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nDTACK_FSB_OBUF.EXP | 9965 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF -SRFF_INSTANCE | iobs/nBERR_FSB.REG | iobs/nBERR_FSB | 0 | 2 | 1 +SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/nBERR_FSB.D | 9697 | ? | 521 | 0 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.XOR | 0 | 7 | ALU_F +NODE | nDTACK_FSB_OBUF.D | 9637 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/nBERR_FSB.Q | 9700 | ? | 521 | 0 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | nDTACK_FSB_OBUF.Q | 9640 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/nBR_IOB | WarpSE_COPY_0_COPY_0 | 2424312832 | 9 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2.EXP | 10146 | ? | 487 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN.EXP | 10031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.EXP | ram/RAMEN | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | cnt/nBR_IOB$Q | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nBR_IOB | 9367 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/nBR_IOB.EXP | 10147 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.EXP | cnt/nBR_IOB | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 10032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/nBR_IOB.SI | cnt/nBR_IOB | 0 | 8 | 3 +SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2.EXP | 10146 | ? | 487 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN.EXP | 10031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.EXP | ram/RAMEN | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nBR_IOB.D1 | 9702 | ? | 487 | 4096 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBR_IOB_OBUF.D1 | 9642 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nBR_IOB.D2 | 9703 | ? | 487 | 4096 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2.EXP +SIGNAL | NODE | nBR_IOB_OBUF.D2 | 9643 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RAMEN.EXP +SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/nBR_IOB.EXP | 10134 | ? | 531 | 0 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 +SIGNAL | NODE | nBR_IOB_OBUF.EXP | 10021 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SRFF_INSTANCE | cnt/nBR_IOB.REG | cnt/nBR_IOB | 0 | 2 | 1 +SRFF_INSTANCE | nBR_IOB_OBUF.REG | nBR_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nBR_IOB.D | 9701 | ? | 487 | 0 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.XOR | 0 | 7 | ALU_F +NODE | nBR_IOB_OBUF.D | 9641 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nBR_IOB.Q | 9704 | ? | 498 | 0 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +NODE | nBR_IOB_OBUF.Q | 9644 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10107 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF$BUF0.EXP | 10024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10117 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<0>.EXP | 10034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.EXP | cnt/LTimer<0> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10107 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF$BUF0.EXP | 10024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10117 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<0>.EXP | 10034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.EXP | cnt/LTimer<0> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 9706 | ? | 522 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 9646 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 9707 | ? | 522 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP26_.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf +SIGNAL | NODE | ram/RASEL.D2 | 9647 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP +SPPTERM | 1 | IV_TRUE | cnt/LTimer<0>.EXP +SPPTERM | 2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrg +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 9705 | ? | 522 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 9645 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 9708 | ? | 543 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | ram/RASEL.Q | 9648 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E | 9526 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 5 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimerTC.D1 | 9710 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9650 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimerTC.D2 | 9711 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<3> -OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/TimerTC.CLKF | 9712 | ? | 486 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF - -SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimerTC.D | 9709 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/TimerTC.CLKF | 9712 | ? | 486 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimerTC.Q | 9713 | ? | 486 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9715 | ? | 551 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9716 | ? | 551 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 5 | IV_FALSE | C8M_IBUF | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOREQr | IV_FALSE | cnt/AoutOE - -SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 9714 | ? | 551 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 9717 | ? | 568 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 10140 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd1.EXP | 10141 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 10140 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9719 | ? | 523 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9720 | ? | 523 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd1.EXP | 10128 | ? | 527 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9651 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 9718 | ? | 523 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 9649 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 9721 | ? | 541 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | ram/RS_FSM_FFd1.Q | 9652 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd2.EXP | 10002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 20 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9654 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9655 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrg +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd2.EXP | 9990 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd2.D | 9653 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 9656 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9658 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9659 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 5 | IV_FALSE | C8M_IBUF | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOREQr | IV_FALSE | AoutOE + +SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd3.D | 9657 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 9660 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9723 | ? | 552 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9662 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9724 | ? | 552 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9663 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 9722 | ? | 552 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 9661 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 9725 | ? | 574 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | iobm/IOS_FSM_FFd1.Q | 9664 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9727 | ? | 553 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9666 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9728 | ? | 553 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9667 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/ETACK -SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 9726 | ? | 553 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 9665 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 9729 | ? | 574 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB +NODE | iobm/IOS_FSM_FFd2.Q | 9668 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 10112 | ? | 524 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 10032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/INITS_FSM_FFd1.EXP | 10033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.EXP | cnt/INITS_FSM_FFd1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 9 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 10112 | ? | 524 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 10032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1.EXP | 10033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.EXP | cnt/INITS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9731 | ? | 524 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9670 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9732 | ? | 524 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq - -SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 9730 | ? | 524 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 9733 | ? | 541 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/PS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nBR_IOB | 9367 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IPL2r | 9400 | ? | 491 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10145 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/PS_FSM_FFd2.EXP | 10146 | ? | 487 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 12 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nBR_IOB | 9367 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IPL2r | 9400 | ? | 491 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10145 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9735 | ? | 525 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9736 | ? | 525 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP28_.EXP -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/PS_FSM_FFd2.EXP | 10133 | ? | 487 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | cnt/nBR_IOB | IV_FALSE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 -SPPTERM | 4 | IV_FALSE | cnt/nBR_IOB | IV_FALSE | cnt/PORS_FSM_FFd1 | IV_TRUE | cnt/PORS_FSM_FFd2 | IV_TRUE | cnt/IPL2r - -SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd2.D | 9734 | ? | 525 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd2.Q | 9737 | ? | 539 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefUrgent | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RegUrgentSync | 9433 | ? | 526 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgentSync.Q | ram/RegUrgentSync | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RefUrgent.SI | ram/RefUrgent | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RegUrgentSync | 9433 | ? | 526 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgentSync.Q | ram/RegUrgentSync | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefUrgent.D1 | 9739 | ? | 526 | 4096 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefUrgent.D2 | 9740 | ? | 526 | 4096 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RegUrgentSync - -SRFF_INSTANCE | ram/RefUrgent.REG | ram/RefUrgent | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefUrgent.D | 9738 | ? | 526 | 0 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefUrgent.Q | 9741 | ? | 540 | 0 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155877632 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/nBERR_FSB.UIM | 9365 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10142 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd3.EXP | 10143 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/nBERR_FSB.UIM | 9365 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10142 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9743 | ? | 527 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9744 | ? | 527 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP27_.EXP -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd3.EXP | 10130 | ? | 521 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_FALSE | iobs/nBERR_FSB.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/nBERR_FSB.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_TRUE | iobs/nBERR_FSB.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9671 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP +SPPTERM | 1 | IV_TRUE | cnt/INITS_FSM_FFd1.EXP +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg +SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 9742 | ? | 527 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd3.D | 9669 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 9745 | ? | 540 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | ram/RS_FSM_FFd3.Q | 9672 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/PS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 10001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2.EXP | 10002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 10001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2.EXP | 10002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9674 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9675 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2.EXP +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd2.D | 9673 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd2.Q | 9676 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RegUrgSync | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RefUrg.SI | ram/RefUrg | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RegUrgSync | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefUrg.D1 | 9678 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefUrg.D2 | 9679 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RegUrgSync + +SRFF_INSTANCE | ram/RefUrg.REG | ram/RefUrg | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefUrg.D | 9677 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefUrg.Q | 9680 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 9747 | ? | 554 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 9682 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 9748 | ? | 554 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 9683 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 9746 | ? | 554 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 9681 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 9749 | ? | 561 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/PS_FSM_FFd1.EXP | 10139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 7 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9751 | ? | 528 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9752 | ? | 528 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/PS_FSM_FFd1.EXP | 10126 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN - -SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd1.D | 9750 | ? | 528 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd1.Q | 9753 | ? | 539 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10110 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10110 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMEN.D1 | 9755 | ? | 529 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMEN.D2 | 9756 | ? | 529 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RAMEN -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrgent | IV_TRUE | ram/RAMEN | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrgent | IV_TRUE | ram/RAMEN | IV_FALSE | ram/RefReq -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMEN.D | 9754 | ? | 529 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMEN.Q | 9757 | ? | 540 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 4 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<1>.D1 | 9759 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<1>.D2 | 9760 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | cnt/TimerTC | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<0> -SPPTERM | 3 | IV_FALSE | cnt/TimerTC | IV_FALSE | cnt/Timer<1> | IV_TRUE | cnt/Timer<0> -OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/Timer<1>.CLKF | 9761 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF - -SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<1>.D | 9758 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/Timer<1>.CLKF | 9761 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<1>.Q | 9762 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<2>.D1 | 9764 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<2>.D2 | 9765 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/Timer<2> -SPPTERM | 3 | IV_FALSE | cnt/TimerTC | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<0> -OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/Timer<2>.CLKF | 9766 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF - -SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<2>.D | 9763 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/Timer<2>.CLKF | 9766 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<2>.Q | 9767 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 9684 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 9769 | ? | 555 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 9686 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 9770 | ? | 555 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 9687 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 9768 | ? | 555 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 9685 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 9771 | ? | 561 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 3 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<0>.D1 | 9773 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<0>.D2 | 9774 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | cnt/TimerTC | IV_FALSE | cnt/Timer<0> -OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/Timer<0>.CLKF | 9775 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF - -SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<0>.D | 9772 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/Timer<0>.CLKF | 9775 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<0>.Q | 9776 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Timer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/Timer<3>.SI | cnt/Timer<3> | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<3>.D1 | 9778 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<3>.D2 | 9779 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/Timer<3> -SPPTERM | 4 | IV_FALSE | cnt/TimerTC | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Timer<0> -OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/Timer<3>.CLKF | 9780 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF - -SRFF_INSTANCE | cnt/Timer<3>.REG | cnt/Timer<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<3>.D | 9777 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/Timer<3>.CLKF | 9780 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<3>.Q | 9781 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 9688 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 9783 | ? | 556 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 9690 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 9784 | ? | 556 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 9691 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 @@ -3564,102 +3564,244 @@ SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES< SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 9782 | ? | 556 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 9689 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 9785 | ? | 561 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | iobm/ES<2>.Q | 9692 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd1.EXP | 10004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9694 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9695 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/PS_FSM_FFd1.EXP | 9992 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF + +SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd1.D | 9693 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd1.Q | 9696 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RAMEN.EXP | 10031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.EXP | ram/RAMEN | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMEN.D1 | 9698 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMEN.D2 | 9699 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RAMEN | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RAMEN | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RAMEN.EXP | 10020 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r + +SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMEN.D | 9697 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMEN.Q | 9700 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 9787 | ? | 557 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 9702 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 9788 | ? | 557 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 9703 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 9786 | ? | 557 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 9701 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 9789 | ? | 561 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | iobm/ES<3>.Q | 9704 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<4>.D1 | 9791 | ? | 558 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 9706 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<4>.D2 | 9792 | ? | 558 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 9707 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 @@ -3667,4186 +3809,3874 @@ SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2 SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<4>.D | 9790 | ? | 558 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<4>.D | 9705 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<4>.Q | 9793 | ? | 561 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | iobm/ES<4>.Q | 9708 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/PORS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2424312832 | 5 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IPL2r | 9400 | ? | 491 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/INITS_FSM_FFd1.EXP | 10033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.EXP | cnt/INITS_FSM_FFd1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/PORS_FSM_FFd1.SI | cnt/PORS_FSM_FFd1 | 0 | 4 | 2 +SIGNAL_INSTANCE | cnt/INITS_FSM_FFd1.SI | cnt/INITS_FSM_FFd1 | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IPL2r | 9400 | ? | 491 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/PORS_FSM_FFd1.D1 | 9795 | ? | 491 | 4096 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/INITS_FSM_FFd1.D1 | 9710 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/PORS_FSM_FFd1.D2 | 9796 | ? | 491 | 4096 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cnt/LTimer<13> | IV_FALSE | cnt/PORS_FSM_FFd1 | IV_TRUE | cnt/PORS_FSM_FFd2 | IV_FALSE | cnt/IPL2r +SIGNAL | NODE | cnt/INITS_FSM_FFd1.D2 | 9711 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/INITS_FSM_FFd1.EXP | 10022 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf -SRFF_INSTANCE | cnt/PORS_FSM_FFd1.REG | cnt/PORS_FSM_FFd1 | 0 | 2 | 1 +SRFF_INSTANCE | cnt/INITS_FSM_FFd1.REG | cnt/INITS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/PORS_FSM_FFd1.D | 9794 | ? | 491 | 0 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | cnt/INITS_FSM_FFd1.D | 9709 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/PORS_FSM_FFd1.Q | 9797 | ? | 498 | 0 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +NODE | cnt/INITS_FSM_FFd1.Q | 9712 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/INITS_FSM_FFd2.SI | cnt/INITS_FSM_FFd2 | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/INITS_FSM_FFd2.D1 | 9714 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/INITS_FSM_FFd2.D2 | 9715 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/INITS_FSM_FFd2.REG | cnt/INITS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/INITS_FSM_FFd2.D | 9713 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/INITS_FSM_FFd2.Q | 9716 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOACT | 9404 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOACT | 9404 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 9799 | ? | 530 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 9718 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 9800 | ? | 530 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOACT +SIGNAL | NODE | iobs/IOACTr.D2 | 9719 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOACT SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 9798 | ? | 530 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 9717 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 9801 | ? | 539 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | cnt/PORS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2424308736 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/PORS_FSM_FFd2.SI | cnt/PORS_FSM_FFd2 | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/PORS_FSM_FFd2.D1 | 9803 | ? | 492 | 4096 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/PORS_FSM_FFd2.D2 | 9804 | ? | 492 | 4096 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/LTimer<13> | IV_FALSE | cnt/PORS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/PORS_FSM_FFd2 - -SRFF_INSTANCE | cnt/PORS_FSM_FFd2.REG | cnt/PORS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/PORS_FSM_FFd2.D | 9802 | ? | 492 | 0 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/PORS_FSM_FFd2.Q | 9805 | ? | 498 | 0 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nBR_IOB.EXP | 10147 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.EXP | cnt/nBR_IOB | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr.EXP | 10148 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nBR_IOB.EXP | 10147 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.EXP | cnt/nBR_IOB | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr.EXP | 10148 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 9807 | ? | 531 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 9808 | ? | 531 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | iobs/ALE1 -SPPTERM | 1 | IV_TRUE | cnt/nBR_IOB.EXP -SPPTERM | 1 | IV_TRUE | ram/BACTr.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF - -SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 9806 | ? | 531 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 9809 | ? | 544 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/BACTr.EXP | 10148 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 9811 | ? | 532 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 9812 | ? | 532 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/BACTr.EXP | 10135 | ? | 531 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF - -SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 9810 | ? | 532 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 9813 | ? | 540 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9398 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RefReq.SI | ram/RefReq | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9398 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefReq.D1 | 9815 | ? | 533 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefReq.D2 | 9816 | ? | 533 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RefReqSync - -SRFF_INSTANCE | ram/RefReq.REG | ram/RefReq | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefReq.D | 9814 | ? | 533 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefReq.Q | 9817 | ? | 540 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/IOBERR | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10094 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1>.EXP | 10095 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOBERR.SI | iobm/IOBERR | 0 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10094 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1>.EXP | 10095 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOBERR.D1 | 9819 | ? | 559 | 4096 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOBERR.D2 | 9820 | ? | 559 | 4096 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP24_.EXP -SPPTERM | 1 | IV_TRUE | cnt/LTimer<1>.EXP -SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR -SPPTERM | 7 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/ETACK -SPPTERM | 7 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/ETACK -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr - -SRFF_INSTANCE | iobm/IOBERR.REG | iobm/IOBERR | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOBERR.D | 9818 | ? | 559 | 0 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOBERR.Q | 9821 | ? | 559 | 0 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB +NODE | iobs/IOACTr.Q | 9720 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOREQ | 9423 | ? | 560 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM +NODE | IOREQ | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOREQ | 9423 | ? | 560 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM +NODE | IOREQ | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOREQr.D1 | 9823 | ? | 560 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 9722 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOREQr.D2 | 9824 | ? | 560 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/IOREQ +SIGNAL | NODE | iobm/IOREQr.D2 | 9723 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOREQ SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOREQr.D | 9822 | ? | 560 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOREQr.D | 9721 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOREQr.Q | 9825 | ? | 563 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | iobm/IOREQr.Q | 9724 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReqSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefReq | 9405 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefReq.Q | cnt/RefReq | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 9998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 9999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefReqSync | 9398 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefReqSync.SI | ram/RefReqSync | 0 | 1 | 2 +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefReq | 9405 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefReq.Q | cnt/RefReq | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 9998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 9999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefReqSync.D1 | 9827 | ? | 534 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 9726 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefReqSync.D2 | 9828 | ? | 534 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefReq +SIGNAL | NODE | iobs/Load1.D2 | 9727 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay -SRFF_INSTANCE | ram/RefReqSync.REG | ram/RefReqSync | 0 | 2 | 1 +SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefReqSync.D | 9826 | ? | 534 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.XOR | 0 | 7 | ALU_F +NODE | iobs/Load1.D | 9725 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefReqSync.Q | 9829 | ? | 533 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | iobs/Load1.Q | 9728 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE0_OBUF.EXP | 10011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOACT | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE0_OBUF.EXP | 10011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 9730 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 9731 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nADoutLE0_OBUF.EXP +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr +SPPTERM | 4 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/ETACK +SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr + +SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOACT.D | 9729 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 9732 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinLE_OBUF.EXP | 10012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinLE_OBUF.EXP | 10012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 9734 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 9735 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nDinLE_OBUF.EXP +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR +SPPTERM | 7 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/ETACK +SPPTERM | 7 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/ETACK +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr + +SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOBERR.D | 9733 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 9736 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 9527 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E | 9441 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 9399 | ? | 494 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | cnt/IPL2r | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9399 | ? | 494 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/IPL2r | 9400 | ? | 491 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/IPL2r.SI | cnt/IPL2r | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9399 | ? | 494 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/IPL2r.D1 | 9831 | ? | 494 | 4096 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/IPL2r.D2 | 9832 | ? | 494 | 4096 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nIPL2_IBUF - -SRFF_INSTANCE | cnt/IPL2r.REG | cnt/IPL2r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/IPL2r.D | 9830 | ? | 494 | 0 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/IPL2r.Q | 9833 | ? | 491 | 0 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | cnt/nRESout | WarpSE_COPY_0_COPY_0 | 2424308736 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nRESout | 9401 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nRESout | 9401 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/nRESout.SI | cnt/nRESout | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nRESout | 9401 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nRESout.D1 | 9835 | ? | 495 | 4096 | cnt/nRESout | NULL | NULL | cnt/nRESout.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nRESout.D2 | 9836 | ? | 495 | 4096 | cnt/nRESout | NULL | NULL | cnt/nRESout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 | IV_TRUE | cnt/nRESout - -SRFF_INSTANCE | cnt/nRESout.REG | cnt/nRESout | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nRESout.D | 9834 | ? | 495 | 0 | cnt/nRESout | NULL | NULL | cnt/nRESout.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nRESout.Q | 9837 | ? | 571 | 0 | cnt/nRESout | NULL | NULL | cnt/nRESout.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nVMA | 9316 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nVMA | 9316 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ETACK.D1 | 9839 | ? | 561 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ETACK.D2 | 9840 | ? | 561 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | iobm/nVMA | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ETACK.D | 9838 | ? | 561 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ETACK.Q | 9841 | ? | 562 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | E_IBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 9843 | ? | 496 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 9738 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 9844 | ? | 496 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 9739 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 9842 | ? | 496 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 9737 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 9845 | ? | 569 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +NODE | iobm/Er.Q | 9740 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOACT | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nDinLE.EXP | 10119 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOACT | 9404 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/IOACT.SI | iobm/IOACT | 0 | 11 | 2 +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nDinLE.EXP | 10119 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOACT.D1 | 9847 | ? | 562 | 4096 | iobm/IOACT | NULL | NULL | iobm/IOACT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 9742 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOACT.D2 | 9848 | ? | 562 | 4096 | iobm/IOACT | NULL | NULL | iobm/IOACT.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/nDinLE.EXP -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr -SPPTERM | 4 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/ETACK -SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SIGNAL | NODE | ram/BACTr.D2 | 9743 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SRFF_INSTANCE | iobm/IOACT.REG | iobm/IOACT | 0 | 2 | 1 +SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOACT.D | 9846 | ? | 562 | 0 | iobm/IOACT | NULL | NULL | iobm/IOACT.XOR | 0 | 7 | ALU_F +NODE | ram/BACTr.D | 9741 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOACT.Q | 9849 | ? | 545 | 0 | iobm/IOACT | NULL | NULL | iobm/IOACT.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB +NODE | ram/BACTr.Q | 9744 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefReq | 9405 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefReq.Q | cnt/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/RefReq.SI | cnt/RefReq | 0 | 5 | 3 +SIGNAL_INSTANCE | ram/RefReq.SI | ram/RefReq | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReqSync | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefReq.D1 | 9851 | ? | 0 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefReq.D1 | 9746 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefReq.D2 | 9852 | ? | 0 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<3> -SPPTERM | 2 | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Timer<3> -SPPTERM | 4 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<3> -OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/RefReq.CLKF | 9853 | ? | 534 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | ram/RefReq.D2 | 9747 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RefReqSync + +SRFF_INSTANCE | ram/RefReq.REG | ram/RefReq | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefReq.D | 9745 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefReq.Q | 9748 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReqSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RefReqSync.SI | ram/RefReqSync | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefReqSync.D1 | 9750 | ? | 0 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefReqSync.D2 | 9751 | ? | 0 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RefReq + +SRFF_INSTANCE | ram/RefReqSync.REG | ram/RefReqSync | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefReqSync.D | 9749 | ? | 0 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefReqSync.Q | 9752 | ? | 0 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<0>.D1 | 9754 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<0>.D2 | 9755 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF -SRFF_INSTANCE | cnt/RefReq.REG | cnt/RefReq | 0 | 2 | 1 +SRFF_INSTANCE | cnt/Er<0>.REG | cnt/Er<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefReq.D | 9850 | ? | 0 | 0 | cnt/RefReq | NULL | NULL | cnt/RefReq.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<0>.D | 9753 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/RefReq.CLKF | 9853 | ? | 534 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefReq.Q | 9854 | ? | 534 | 0 | cnt/RefReq | NULL | NULL | cnt/RefReq.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<0>.Q | 9756 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/RefUrgent | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nIPL2 | 9442 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/nIPL2r | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | nIPL2_IBUF | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefUrgent | 9406 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefUrgent.Q | cnt/RefUrgent | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/RefUrgent.SI | cnt/RefUrgent | 0 | 5 | 3 +SIGNAL_INSTANCE | cnt/nIPL2r.SI | cnt/nIPL2r | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefUrgent.D1 | 9856 | ? | 0 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nIPL2r.D1 | 9758 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefUrgent.D2 | 9857 | ? | 0 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Timer<3> -SPPTERM | 4 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<3> -OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/RefUrgent.CLKF | 9858 | ? | 542 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF +SIGNAL | NODE | cnt/nIPL2r.D2 | 9759 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nIPL2_IBUF -SRFF_INSTANCE | cnt/RefUrgent.REG | cnt/RefUrgent | 0 | 2 | 1 +SRFF_INSTANCE | cnt/nIPL2r.REG | cnt/nIPL2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefUrgent.D | 9855 | ? | 0 | 0 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.XOR | 0 | 7 | ALU_F +NODE | cnt/nIPL2r.D | 9757 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/RefUrgent.CLKF | 9858 | ? | 542 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 3 | 9 | MC_SI_CLKF -SPPTERM | 1 | IV_TRUE | E_IBUF +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefUrgent.Q | 9859 | ? | 542 | 0 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9334 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 9861 | ? | 535 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 9862 | ? | 535 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 9860 | ? | 535 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9334 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 9863 | ? | 540 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ALE0 | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ALE0 | 9408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ALE0.SI | iobm/ALE0 | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ALE0.D1 | 9865 | ? | 563 | 4096 | iobm/ALE0 | NULL | NULL | iobm/ALE0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ALE0.D2 | 9866 | ? | 563 | 4096 | iobm/ALE0 | NULL | NULL | iobm/ALE0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr - -SRFF_INSTANCE | iobm/ALE0.REG | iobm/ALE0 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ALE0.D | 9864 | ? | 563 | 0 | iobm/ALE0 | NULL | NULL | iobm/ALE0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ALE0.Q | 9867 | ? | 0 | 0 | iobm/ALE0 | NULL | NULL | iobm/ALE0.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrf.D1 | 9869 | ? | 564 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrf.D2 | 9870 | ? | 564 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrf.D | 9868 | ? | 564 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrf.Q | 9871 | ? | 562 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrr.D1 | 9873 | ? | 565 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrr.D2 | 9874 | ? | 565 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrr.D | 9872 | ? | 565 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrr.Q | 9875 | ? | 562 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | cnt/nIPL2r.Q | 9760 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 9528 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nDTACK_IOB | 9443 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9411 | ? | 567 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9411 | ? | 567 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9411 | ? | 567 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrf.D1 | 9877 | ? | 566 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 9762 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrf.D2 | 9878 | ? | 566 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 9763 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrf.D | 9876 | ? | 566 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +NODE | iobm/DTACKrf.D | 9761 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrf.Q | 9879 | ? | 562 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | iobm/DTACKrf.Q | 9764 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9411 | ? | 567 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9411 | ? | 567 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrr.D1 | 9881 | ? | 567 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrr.D1 | 9766 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrr.D2 | 9882 | ? | 567 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrr.D2 | 9767 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrr.D | 9880 | ? | 567 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F +NODE | iobm/DTACKrr.D | 9765 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrr.Q | 9883 | ? | 562 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | iobm/DTACKrr.Q | 9768 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DoutOE | 9414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 3 | 2 +SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DoutOE.D1 | 9885 | ? | 568 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 9770 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DoutOE.D2 | 9886 | ? | 568 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobs/IORW0 | IV_TRUE | iobm/IOS_FSM_FFd3 -SPPTERM | 2 | IV_TRUE | iobs/IORW0 | IV_TRUE | iobm/IOS_FSM_FFd2 +SIGNAL | NODE | iobm/ETACK.D2 | 9771 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> -SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 +SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DoutOE.D | 9884 | ? | 568 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +NODE | iobm/ETACK.D | 9769 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DoutOE.Q | 9887 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | iobm/ETACK.Q | 9772 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er2.D1 | 9889 | ? | 569 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 9774 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er2.D2 | 9890 | ? | 569 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 9775 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er2.D | 9888 | ? | 569 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +NODE | iobm/Er2.D | 9773 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er2.Q | 9891 | ? | 558 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrf.D1 | 9893 | ? | 570 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrf.D2 | 9894 | ? | 570 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | N01 - -SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrf.D | 9892 | ? | 570 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrf.Q | 9895 | ? | 562 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrr.D1 | 9897 | ? | 571 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrr.D2 | 9898 | ? | 571 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | N01 - -SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrr.D | 9896 | ? | 571 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrr.Q | 9899 | ? | 562 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | iobm/Er2.Q | 9776 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 9529 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 9444 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9418 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9418 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArf | 9419 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9418 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArf.D1 | 9901 | ? | 572 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArf.D1 | 9778 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArf.D2 | 9902 | ? | 572 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArf.D2 | 9779 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArf.D | 9900 | ? | 572 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +NODE | iobm/VPArf.D | 9777 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArf.Q | 9903 | ? | 545 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | iobm/VPArf.Q | 9780 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9418 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArr | 9420 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9418 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArr.D1 | 9905 | ? | 573 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArr.D1 | 9782 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArr.D2 | 9906 | ? | 573 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArr.D2 | 9783 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArr.D | 9904 | ? | 573 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPArr.D | 9781 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArr.Q | 9907 | ? | 545 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB +NODE | iobm/VPArr.Q | 9784 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/ALE0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/ALE0 | 9421 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM +NODE | ALE0M | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/ALE0.SI | iobs/ALE0 | 0 | 2 | 2 +SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/ALE0.D1 | 9909 | ? | 537 | 4096 | iobs/ALE0 | NULL | NULL | iobs/ALE0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 9786 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/ALE0.D2 | 9910 | ? | 537 | 4096 | iobs/ALE0 | NULL | NULL | iobs/ALE0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 9787 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr + +SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0M.D | 9785 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 9788 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0S | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 9790 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 9791 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SRFF_INSTANCE | iobs/ALE0.REG | iobs/ALE0 | 0 | 2 | 1 +SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/ALE0.D | 9908 | ? | 537 | 0 | iobs/ALE0 | NULL | NULL | iobs/ALE0.XOR | 0 | 7 | ALU_F +NODE | ALE0S.D | 9789 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/ALE0.Q | 9911 | ? | 0 | 0 | iobs/ALE0 | NULL | NULL | iobs/ALE0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | ALE0S.Q | 9792 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOREQ | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 9982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMWE_OBUF.EXP | 9983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 9422 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Clear1.EXP | 10138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | IOREQ | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 4 | 3 +SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 9982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMWE_OBUF.EXP | 9983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 9913 | ? | 538 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOREQ.D1 | 9794 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 9914 | ? | 538 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 +SIGNAL | NODE | IOREQ.D2 | 9795 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOREQ.D | 9793 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOREQ.Q | 9796 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Er<1>.SI | cnt/Er<1> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<1>.D1 | 9798 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<1>.D2 | 9799 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/Er<0> + +SRFF_INSTANCE | cnt/Er<1>.REG | cnt/Er<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Er<1>.D | 9797 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Er<1>.Q | 9800 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK- | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 9802 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 9803 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/ASrf.D | 9801 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK- | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 9804 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 9806 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 9807 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrf.D | 9805 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 9808 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrr.D1 | 9810 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrr.D2 | 9811 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrr.D | 9809 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrr.Q | 9812 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 9814 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DoutOE.D2 | 9815 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd3 +SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr | IV_FALSE | AoutOE + +SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DoutOE.D | 9813 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 9816 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 9818 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrf.D2 | 9819 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | N01 + +SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrf.D | 9817 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 9820 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrr.D1 | 9822 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrr.D2 | 9823 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | N01 + +SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrr.D | 9821 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 9229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrr.Q | 9824 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 9984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 9826 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 9827 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Clear1.EXP | 10125 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF +SIGNAL | NODE | iobs/Clear1.EXP | 9971 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | IORW0 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 9912 | ? | 538 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +NODE | iobs/Clear1.D | 9825 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 9915 | ? | 544 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | iobs/Clear1.Q | 9828 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOREQ | WarpSE_COPY_0_COPY_0 | 2155873280 | 24 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10081 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOREQ | 9423 | ? | 560 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IOREQ.EXP | 10082 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.EXP | iobs/IOREQ | 4 | 0 | MC_EXPORT +NODE | nRESout | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IOREQ.SI | iobs/IOREQ | 0 | 23 | 3 +SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10081 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOREQ.D1 | 9917 | ? | 539 | 4096 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRESout.D1 | 9830 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOREQ.D2 | 9918 | ? | 539 | 4096 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP17_.EXP -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IOREQ.EXP | 10068 | ? | 520 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r -SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady +SIGNAL | NODE | nRESout.D2 | 9831 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 -SRFF_INSTANCE | iobs/IOREQ.REG | iobs/IOREQ | 0 | 2 | 1 +SRFF_INSTANCE | nRESout.REG | nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOREQ.D | 9916 | ? | 539 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.XOR | 0 | 7 | ALU_F +NODE | nRESout.D | 9829 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOREQ.Q | 9919 | ? | 560 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | nRESout.Q | 9832 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 15 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10115 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/Timer<1>.EXP | 10028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.EXP | cnt/Timer<1> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 10029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RAMReady.EXP | 10116 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT +NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 13 | 3 +SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10115 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | cnt/Timer<1>.EXP | 10028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.EXP | cnt/Timer<1> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 10029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMReady.D1 | 9921 | ? | 540 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMReady.D1 | 9834 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMReady.D2 | 9922 | ? | 540 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP25_.EXP -SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RAMReady.EXP | 10105 | ? | 522 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf +SIGNAL | NODE | ram/RAMReady.D2 | 9835 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/Timer<1>.EXP +SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP +SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg +SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RAMEN SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMReady.D | 9920 | ? | 540 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +NODE | ram/RAMReady.D | 9833 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMReady.Q | 9923 | ? | 520 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | ram/RAMReady.Q | 9836 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 9530 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 9445 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9425 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 9531 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 9446 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9426 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 9532 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 9447 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9427 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 9533 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 9448 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9428 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 9534 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 9449 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9429 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 9535 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 9450 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9430 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 9536 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 9451 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9431 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefRAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RegUrgSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefRAS | 9432 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +NODE | ram/RegUrgSync | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefRAS.SI | ram/RefRAS | 0 | 2 | 2 +SIGNAL_INSTANCE | ram/RegUrgSync.SI | ram/RegUrgSync | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | RefUrg | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefRAS.D1 | 9925 | ? | 541 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RegUrgSync.D1 | 9838 | ? | 0 | 4096 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefRAS.D2 | 9926 | ? | 541 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 +SIGNAL | NODE | ram/RegUrgSync.D2 | 9839 | ? | 0 | 4096 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RefUrg -SRFF_INSTANCE | ram/RefRAS.REG | ram/RefRAS | 0 | 2 | 1 +SRFF_INSTANCE | ram/RegUrgSync.REG | ram/RegUrgSync | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefRAS.D | 9924 | ? | 541 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.XOR | 0 | 7 | ALU_F +NODE | ram/RegUrgSync.D | 9837 | ? | 0 | 0 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefRAS.Q | 9927 | ? | 0 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RegUrgentSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefUrgent | 9406 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefUrgent.Q | cnt/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RegUrgentSync | 9433 | ? | 526 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgentSync.Q | ram/RegUrgentSync | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RegUrgentSync.SI | ram/RegUrgentSync | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefUrgent | 9406 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefUrgent.Q | cnt/RefUrgent | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RegUrgentSync.D1 | 9929 | ? | 542 | 4096 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RegUrgentSync.D2 | 9930 | ? | 542 | 4096 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefUrgent - -SRFF_INSTANCE | ram/RegUrgentSync.REG | ram/RegUrgentSync | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RegUrgentSync.D | 9928 | ? | 542 | 0 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RegUrgentSync.Q | 9931 | ? | 526 | 0 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | ram/RegUrgSync.Q | 9840 | ? | 0 | 0 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9425 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9434 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9425 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 9933 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 9842 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 9934 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 9843 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 9932 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_0_OBUF.D | 9841 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 9935 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 9844 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9426 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9435 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9426 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 9937 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 9846 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 9938 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 9847 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 9936 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_1_OBUF.D | 9845 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 9939 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 9848 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9427 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9431 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9436 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_2_OBUF.EXP | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9427 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9431 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 9941 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 9850 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 9942 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 9851 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_2_OBUF.EXP | 10102 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_2_OBUF.EXP | 9993 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 9940 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_2_OBUF.D | 9849 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 9943 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 9852 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9428 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9430 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_3_OBUF | 9437 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_3_OBUF.EXP | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_3_OBUF | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 5 | 3 +SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9428 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9430 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_3_OBUF.D1 | 9945 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 9854 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_3_OBUF.D2 | 9946 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 9855 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_3_OBUF.EXP | 10100 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_3_OBUF.D | 9944 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_3_OBUF.D | 9853 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_3_OBUF.Q | 9947 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 9856 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0.EXP | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd1.EXP | 10004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9438 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_4_OBUF.EXP | 10110 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0.EXP | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd1.EXP | 10004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 9949 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 9858 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 9950 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL +SIGNAL | NODE | RA_4_OBUF.D2 | 9859 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_4_OBUF.EXP | 10099 | ? | 529 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf +SIGNAL | NODE | RA_4_OBUF.EXP | 9991 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrg | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 9948 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_4_OBUF.D | 9857 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 9951 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 9860 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_3_OBUF.EXP | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_6_IBUF | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9439 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_5_OBUF.EXP | 10112 | ? | 524 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 10 | 3 +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_3_OBUF.EXP | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_6_IBUF | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 9953 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 9862 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 9954 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_3_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_5_OBUF.EXP | 10101 | ? | 524 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | RA_5_OBUF.D2 | 9863 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 9952 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_5_OBUF.D | 9861 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 9955 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 9864 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9440 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_6_OBUF.EXP | 10114 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 12 | 3 +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 9957 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 9866 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 9958 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 9867 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_6_OBUF.EXP | 10103 | ? | 540 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN +SIGNAL | NODE | RA_6_OBUF.EXP | 9994 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 9956 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_6_OBUF.D | 9865 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 9959 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 9868 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9441 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 9961 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 9870 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 9962 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 9871 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 9960 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_7_OBUF.D | 9869 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 9963 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 9872 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 8 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7>.EXP | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.EXP | cnt/LTimer<7> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF$BUF0.EXP | 10123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9442 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 8 | 2 +SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7>.EXP | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.EXP | cnt/LTimer<7> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF$BUF0.EXP | 10123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 9965 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 9874 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 9966 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/LTimer<7>.EXP -SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF$BUF0.EXP +SIGNAL | NODE | RA_8_OBUF.D2 | 9875 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_18_IBUF +SPPTERM | 2 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF +SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | cs/nOverlay SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_18_IBUF -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | cs/nOverlay1 -SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | cs/nOverlay1 +SPPTERM | 5 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RASEL SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 9964 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_8_OBUF.D | 9873 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 9967 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 9876 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9443 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 9969 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 9878 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 9970 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 9879 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 9968 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_9_OBUF.D | 9877 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 9971 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 9880 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 9444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 9973 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 9882 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 9974 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | cnt/AoutOE +SIGNAL | NODE | nDoutOE_OBUF.D2 | 9883 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 9972 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 9881 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 9975 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 9884 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nCAS_OBUF.EXP | 10000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9445 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 10001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nCAS_OBUF.EXP | 10000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 9977 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 9886 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 9978 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SIGNAL | NODE | nOE_OBUF.D2 | 9887 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nCAS_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nOE_OBUF.EXP | 9989 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 9976 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nOE_OBUF.D | 9885 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 9979 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 9888 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 16 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMWE_OBUF.EXP | 10090 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 9983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 16 | 3 +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 14 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 9981 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 9890 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 9982 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 9891 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMWE_OBUF.EXP | 10076 | ? | 503 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | nROMWE_OBUF.EXP | 9970 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 9980 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMWE_OBUF.D | 9889 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 9983 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 9892 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9447 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9985 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9894 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9986 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9895 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 9984 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVPA_FSB_OBUF.D | 9893 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 9987 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 9896 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/nDinLE | WarpSE_COPY_0_COPY_0 | 2424308736 | 7 | 2 +MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ALE0M | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ALE0S | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nDinLE | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.Q | iobm/nDinLE | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/nDinLE.EXP | 10119 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT +NODE | nADoutLE0_OBUF.EXP | 10011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobm/nDinLE.SI | iobm/nDinLE | 0 | 6 | 3 +SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ALE0M | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ALE0S | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nDinLE.D1 | 9989 | ? | 574 | 4096 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9898 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nDinLE.D2 | 9990 | ? | 574 | 4096 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9899 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/nDinLE.EXP | 10118 | ? | 562 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nADoutLE0_OBUF.EXP | 10009 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr -SRFF_INSTANCE | iobm/nDinLE.REG | iobm/nDinLE | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nDinLE.D | 9988 | ? | 574 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nDinLE.Q | 9991 | ? | 0 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ALE0 | 9408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE0 | 9421 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ALE0 | 9408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE0 | 9421 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9993 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9994 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/ALE0 | IV_FALSE | iobs/ALE0 - SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 9992 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE0_OBUF.D | 9897 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 9995 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 9900 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK- | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nCAS_OBUF.EXP | 10000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 3 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 9902 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 9903 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nCAS_OBUF.EXP | 9988 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nCAS_OBUF.D | 9901 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK- | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 9904 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 9365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 10012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 9906 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 9907 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinLE_OBUF.EXP | 10010 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinLE_OBUF.D | 9905 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK- | 9230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 9908 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 9997 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 9910 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 9998 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 9911 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 9996 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinOE_OBUF.D | 9909 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 9999 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefRAS | 9432 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefRAS | 9432 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 10001 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 10002 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RefRAS -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN - -SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 10000 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 10003 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | ram/nCAS | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9334 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | ram/nCAS | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.Q | ram/nCAS | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | ram/nCAS.SI | ram/nCAS | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/nCAS.D1 | 10005 | ? | 543 | 4096 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/nCAS.D2 | 10006 | ? | 543 | 4096 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | ram/nCAS.REG | ram/nCAS | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/nCAS.D | 10004 | ? | 543 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9334 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/nCAS.Q | 10007 | ? | 0 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | nDinOE_OBUF.Q | 9912 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nRESout | 9401 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N0$Q | 9453 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0 | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | N0$OE | 9454 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 9368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nRESout | 9401 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N0.D1 | 10009 | ? | 571 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N0.D1 | 9914 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N0.D2 | 10010 | ? | 571 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N0.D2 | 9915 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | N0.TRST | 10012 | ? | 571 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | cnt/nRESout +SIGNAL | NODE | N0.TRST | 9917 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | nRESout SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N0.D | 10008 | ? | 571 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F +NODE | N0.D | 9913 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N0.Q | 10013 | ? | 571 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q +NODE | N0.Q | 9918 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | N0.TRST | 10012 | ? | 571 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | cnt/nRESout +SIGNAL | NODE | N0.TRST | 9917 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | nRESout OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | N0.BUFOE.OUT | 10011 | ? | 571 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT +NODE | N0.BUFOE.OUT | 9916 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 19 | 2 +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | cnt/Timer<2>.EXP | 10025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.EXP | cnt/Timer<2> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_19_IBUF$BUF0.EXP | 10137 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF$BUF0.EXP | 10024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 19 | 3 +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReq | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | cnt/Timer<2>.EXP | 10025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.EXP | cnt/Timer<2> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 10015 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9920 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 10016 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9921 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/Timer<2>.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10124 | ? | 499 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10013 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 10014 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | A_FSB_19_IBUF$BUF0.D | 9919 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 10017 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 9922 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 6 | 2 +MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_21_IBUF$BUF0 | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_21_IBUF$BUF0.EXP | 10123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_21_IBUF$BUF0 | 9370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q -SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 6 | 3 +SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 10019 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9924 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 10020 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9925 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.EXP | 10121 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/RASEL SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_21_IBUF$BUF0.D | 10018 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | A_FSB_21_IBUF$BUF0.D | 9923 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_21_IBUF$BUF0.Q | 10021 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | A_FSB_21_IBUF$BUF0.Q | 9926 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9422 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9422 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 10023 | ? | 544 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9928 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 10024 | ? | 544 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9929 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Load1 -SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | iobs/ALE1 +SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 10022 | ? | 544 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE1_OBUF.D | 9927 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 10025 | ? | 544 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB +NODE | nADoutLE1_OBUF.Q | 9930 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped+Ce | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308480 | 4 | 2 +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nBR_IOB | 9367 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 3 | 2 +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nBR_IOB | 9367 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 10027 | ? | 498 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 9932 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 10028 | ? | 498 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | cnt/nBR_IOB | IV_TRUE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 9933 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | AoutOE SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 10026 | ? | 498 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nAoutOE_OBUF.D | 9931 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK | 9240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 10029 | ? | 551 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB +NODE | nAoutOE_OBUF.Q | 9934 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 10031 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9936 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 10032 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9937 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 10030 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMLWE_OBUF.D | 9935 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 10033 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 9938 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1.EXP | 10139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 10140 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF | 9376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 12 | 3 +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | nUDS_FSB_IBUF | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1.EXP | 10139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 10035 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9940 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 10036 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10127 | ? | 523 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9941 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 10034 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMUWE_OBUF.D | 9939 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 10037 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 9942 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone.EXP | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 9997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 10107 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 9998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone.EXP | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 9997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 10039 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 9944 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 10040 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RefDone.EXP +SIGNAL | NODE | nROMCS_OBUF.D2 | 9945 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 10096 | ? | 522 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nROMCS_OBUF.EXP | 9986 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 10038 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMCS_OBUF.D | 9943 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 10041 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 9946 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | N1 | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 +MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | C25MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N1$Q | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1.Q | N1 | 0 | 0 | MC_Q +NODE | C25MEN_OBUF$Q | 9378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | N1.SI | N1 | 0 | 0 | 2 +SIGNAL_INSTANCE | C25MEN_OBUF.SI | C25MEN_OBUF | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N1.D1 | 10043 | ? | 0 | 4096 | N1 | NULL | NULL | N1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF.D1 | 9948 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N1.D2 | 10044 | ? | 0 | 4096 | N1 | NULL | NULL | N1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF.D2 | 9949 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC -SRFF_INSTANCE | N1.REG | N1 | 0 | 1 | 1 +SRFF_INSTANCE | C25MEN_OBUF.REG | C25MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N1.D | 10042 | ? | 0 | 0 | N1 | NULL | NULL | N1.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF.D | 9947 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N1.Q | 10045 | ? | 0 | 0 | N1 | NULL | NULL | N1.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF.Q | 9950 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | N1$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 0 | 1 +MACROCELL_INSTANCE | OptxMapped | C25MEN_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N1$BUF0 | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1$BUF0.Q | N1$BUF0 | 0 | 0 | MC_Q +NODE | C25MEN_OBUF$BUF0 | 9379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF$BUF0.Q | C25MEN_OBUF$BUF0 | 0 | 0 | MC_Q -SIGNAL_INSTANCE | N1$BUF0.SI | N1$BUF0 | 0 | 0 | 2 +SIGNAL_INSTANCE | C25MEN_OBUF$BUF0.SI | C25MEN_OBUF$BUF0 | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N1$BUF0.D1 | 10047 | ? | 0 | 4096 | N1$BUF0 | NULL | NULL | N1$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF$BUF0.D1 | 9952 | ? | 0 | 4096 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N1$BUF0.D2 | 10048 | ? | 0 | 6144 | N1$BUF0 | NULL | NULL | N1$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF$BUF0.D2 | 9953 | ? | 0 | 6144 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC -SRFF_INSTANCE | N1$BUF0.REG | N1$BUF0 | 0 | 1 | 1 +SRFF_INSTANCE | C25MEN_OBUF$BUF0.REG | C25MEN_OBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N1$BUF0.D | 10046 | ? | 0 | 0 | N1$BUF0 | NULL | NULL | N1$BUF0.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF$BUF0.D | 9951 | ? | 0 | 0 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N1$BUF0.Q | 10049 | ? | 0 | 0 | N1$BUF0 | NULL | NULL | N1$BUF0.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF$BUF0.Q | 9954 | ? | 0 | 0 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 9956 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 9957 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 9955 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 9958 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nVMA$Q | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 9231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | iobm/nVMA$OE | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.BUFOE.OUT | iobm/nVMA | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 9233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9466 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nLDS | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.Q | iobm/nLDS | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | iobm/nLDS$OE | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.BUFOE.OUT | iobm/nLDS | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9467 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nUDS | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.Q | iobm/nUDS | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | iobm/nUDS$OE | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.BUFOE.OUT | iobm/nUDS | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9468 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9381 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nASout | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 0 | 0 | MC_Q +NODE | nAS_IOBout | 9234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | iobm/nASout$OE | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.BUFOE.OUT | iobm/nASout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 9235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9469 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9382 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT -OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | fsb/nDTACK | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 0 | 0 | MC_Q +NODE | nLDS_IOBout | 9236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | nLDS_IOBout$OE | 9237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9470 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9383 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nUDS_IOBout | 9238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | nUDS_IOBout$OE | 9239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9384 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobs/nBERR_FSB | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9471 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9385 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDTACK_FSB_OBUF | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9386 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | cnt/nBR_IOB$Q | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 9472 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT +NODE | nBR_IOB | 9387 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9434 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9473 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT +NODE | RA<0> | 9388 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9435 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9474 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT +NODE | RA<1> | 9389 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9436 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9475 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT +NODE | RA<2> | 9390 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_3_OBUF | 9437 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9476 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT +NODE | RA<3> | 9391 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9438 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9477 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT +NODE | RA<4> | 9392 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9439 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9478 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT +NODE | RA<5> | 9393 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9440 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9479 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT +NODE | RA<6> | 9394 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9441 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9480 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT +NODE | RA<7> | 9395 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9442 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9481 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT +NODE | RA<8> | 9396 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9443 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9482 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT +NODE | RA<9> | 9397 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 9444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9483 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9398 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9445 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9484 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT +NODE | nOE | 9399 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9485 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT +NODE | nROMWE | 9400 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9447 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9486 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nDinLE | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.Q | iobm/nDinLE | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9487 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9401 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9488 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9489 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 9490 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9402 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | ram/nCAS | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.Q | ram/nCAS | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9491 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT +NODE | nCAS | 9403 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinLE_OBUF | 9365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinLE | 9404 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 9405 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N0$Q | 9453 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0 | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | N0$OE | 9454 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 9368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRES | 9492 | PIPO | 571 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 9406 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 9493 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT +NODE | RA<11> | 9407 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_21_IBUF$BUF0 | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 9494 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT +NODE | RA<10> | 9408 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 9495 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9409 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 9496 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9410 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 9497 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9411 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 9498 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9412 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 9499 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT +NODE | nROMCS | 9413 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N1$Q | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1.Q | N1 | 0 | 0 | MC_Q +NODE | C25MEN_OBUF$Q | 9378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C25MEN | 9500 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT +NODE | C25MEN | 9414 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C20MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N1$BUF0 | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1$BUF0.Q | N1$BUF0 | 0 | 0 | MC_Q +NODE | C25MEN_OBUF$BUF0 | 9379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF$BUF0.Q | C25MEN_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C20MEN | 9501 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT +NODE | C20MEN | 9415 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT -MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 25 | 1 +OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 9380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 9416 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10079 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 9973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 10078 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 9972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 25 | 1 +SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10079 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 9973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 10064 | ? | 509 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | iobs/ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | iobs/ALE1 -SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF +SIGNAL | NODE | EXP10_.EXP | 9959 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP11_.EXP +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 +MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 10079 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 9973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 20 | 1 +SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 10065 | ? | 509 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF +SIGNAL | NODE | EXP11_.EXP | 9960 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 9974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP12_.EXP | 9961 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP12_.EXP | 9974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 9975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP12_.EXP | 9974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP13_.EXP | 9962 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP12_.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 9976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 24 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 9963 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | ALE1 +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady | IV_TRUE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 +MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_FSB_OBUF.UIM | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 10080 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 9977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 15 | 1 +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 21 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_FSB_OBUF.UIM | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 10066 | ? | 539 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10080 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 10081 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10080 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 10067 | ? | 539 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP16_.EXP -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 - -MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9363 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOREQ.EXP | 10082 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.EXP | iobs/IOREQ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 10083 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9363 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOREQ.EXP | 10082 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.EXP | iobs/IOREQ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 10069 | ? | 520 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/IOREQ.EXP +SIGNAL | NODE | EXP15_.EXP | 9964 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r +SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady -MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r.EXP | 10085 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +NODE | nDTACK_FSB_OBUF.EXP | 9978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 10086 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 9979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 9 | 1 +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r.EXP | 10085 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +NODE | nDTACK_FSB_OBUF.EXP | 9978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 10072 | ? | 514 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | fsb/Ready1r.EXP +SIGNAL | NODE | EXP16_.EXP | 9966 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nDTACK_FSB_OBUF.EXP SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 -MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 +MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 10087 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 9980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 7 | 1 +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 10073 | ? | 514 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 9967 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9355 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 10088 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 9982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 13 | 1 +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9355 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 10074 | ? | 503 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | EXP18_.EXP | 9969 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 10090 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 10089 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 9997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 18 | 1 +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 10090 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 10075 | ? | 503 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | EXP19_.EXP | 9985 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay -MACROCELL_INSTANCE | NULL | EXP23_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 10091 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 9999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 12 | 1 +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 10077 | ? | 509 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady +SIGNAL | NODE | EXP20_.EXP | 9987 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF -MACROCELL_INSTANCE | NULL | EXP24_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 10094 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 12 | 1 +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobs/Once | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 10092 | ? | 559 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SIGNAL | NODE | EXP21_.EXP | 9996 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP25_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10114 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 10115 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 10 | 1 +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10114 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 10104 | ? | 540 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP26_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady.EXP | 10116 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP26_.EXP | 10117 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady.EXP | 10116 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP26_.EXP | 10106 | ? | 522 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RAMReady.EXP -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP27_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1.EXP | 10141 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP27_.EXP | 10142 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1.EXP | 10141 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP27_.EXP | 10129 | ? | 527 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1.EXP -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 - -MACROCELL_INSTANCE | NULL | EXP28_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/nBERR_FSB.EXP | 10144 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.EXP | iobs/nBERR_FSB | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP28_.EXP | 10145 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/nBERR_FSB.EXP | 10144 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.EXP | iobs/nBERR_FSB | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP28_.EXP | 10132 | ? | 525 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/nBERR_FSB.EXP -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP29_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP29_.EXP | 10149 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP29_.EXP | 10136 | ? | 499 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | EXP22_.EXP | 10019 | ? | 0 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RAMEN +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RAMEN +SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RegUrgentSync | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | ram/RefUrgent | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | ram/RefReqSync | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | ram/RefReq | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | ram/RefRAS | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | iobs/IOACTr | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobs/IOU1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | iobs/IOL1 | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobs/IOU0 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | iobs/IOL0 | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | cnt/Timer<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/Timer<2> | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | cnt/Timer<1> | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | cnt/RefUrgent | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/IOReady | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | cnt/RefReq | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/RefUrg | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | ram/RefReqSync | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | ram/RefReq | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/Er | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | iobm/DTACKrr | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/BERRrr | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | iobm/BERRrf | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | cnt/nIPL2r | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | cnt/Er<0> | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | ALE0S | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobs/IOU1 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | iobs/IOL1 | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ALE0M | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 +FBPIN | 18 | ram/RefDone | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 6 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 FBPIN | 8 | NULL | 0 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 -FBPIN | 9 | iobm/VPArr | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 -FBPIN | 10 | iobm/VPArf | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/RESrr | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 -FBPIN | 12 | iobm/RESrf | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 -FBPIN | 13 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/Er2 | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | iobm/Er | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/DTACKrf | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 9 | NULL | 0 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 +FBPIN | 11 | NULL | 0 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 +FBPIN | 12 | NULL | 0 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 +FBPIN | 13 | iobm/VPArr | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/VPArf | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | iobm/RESrr | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | iobm/RESrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/IOREQr | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | fsb/VPA | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP14_ | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | EXP15_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | EXP16_ | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 -FBPIN | 6 | EXP17_ | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | iobs/IOREQ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | EXP18_ | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 -FBPIN | 9 | fsb/nDTACK | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 -FBPIN | 10 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP19_ | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 -FBPIN | 12 | iobs/IORW1 | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 -FBPIN | 13 | EXP20_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP21_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 -FBPIN | 15 | iobs/IORW0 | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 -FBPIN | 16 | EXP22_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | IORW0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP10_ | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | EXP11_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | EXP12_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | EXP13_ | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 6 | fsb/VPA | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | EXP14_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | EXP15_ | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 9 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 +FBPIN | 10 | EXP16_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobs/IORW1 | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 +FBPIN | 12 | EXP17_ | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 +FBPIN | 13 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cs/nOverlay | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 15 | EXP18_ | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 +FBPIN | 16 | IOREQ | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 -FBPIN | 18 | EXP23_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/RegUrgSync | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | ram/BACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | nRESout | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 7 | cnt/PORS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | N0 | 1 | N01 | 1 | nRES | 1 | 91 | 49152 -FBPIN | 9 | cnt/IPL2r | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 9 | cnt/Er<1> | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | cnt/nRESout | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | cnt/PORS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/LTimer<0> | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | cnt/LTimer<3> | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | cnt/LTimer<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/LTimer<1> | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 -FBPIN | 18 | iobm/IOBERR | 1 | NULL | 0 | NULL | 0 +FBPIN | 12 | cnt/LTimer<3> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | cnt/LTimer<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/LTimer<1> | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | RefReq | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | IOU0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | IOL0 | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | RefUrg | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | EXP19_ | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 3 | ram/RefDone | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cs/nOverlay1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | ram/nCAS | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 +FBPIN | 3 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 -FBPIN | 7 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 7 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 39 | 49152 FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 -FBPIN | 10 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 -FBPIN | 13 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | EXP25_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | EXP26_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/Once | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | EXP21_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | iobm/nVMA | 1 | NULL | 0 | nVMA_IOB | 1 | 74 | 49152 -FBPIN | 3 | iobm/DoutOE | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/ALE0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/IOS_FSM_FFd3 | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 -FBPIN | 6 | iobm/ES<3> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 -FBPIN | 7 | iobm/ES<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/ES<0> | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 -FBPIN | 9 | iobm/nLDS | 1 | NULL | 0 | nLDS_IOB | 1 | 79 | 49152 -FBPIN | 10 | iobm/ES<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/nUDS | 1 | NULL | 0 | nUDS_IOB | 1 | 80 | 49152 -FBPIN | 12 | iobm/nASout | 1 | NULL | 0 | nAS_IOB | 1 | 81 | 49152 -FBPIN | 13 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nVMA_IOBout | 1 | NULL | 0 | nVMA_IOB | 1 | 74 | 49152 +FBPIN | 3 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/ES<1> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | iobm/ES<0> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 +FBPIN | 7 | iobm/DoutOE | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/ES<4> | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 9 | nLDS_IOBout | 1 | NULL | 0 | nLDS_IOB | 1 | 79 | 49152 +FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nUDS_IOBout | 1 | NULL | 0 | nUDS_IOB | 1 | 80 | 49152 +FBPIN | 12 | nAS_IOBout | 1 | NULL | 0 | nAS_IOB | 1 | 81 | 49152 +FBPIN | 13 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 82 | 49152 FBPIN | 15 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 85 | 49152 -FBPIN | 16 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/nDinLE | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 -FBPIN | 18 | iobm/IOACT | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | IOACT | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 +FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR7_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/LTimer<13> | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 FBPIN | 3 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 FBPIN | 4 | cnt/LTimer<8> | 1 | NULL | 0 | NULL | 0 @@ -7857,33 +7687,33 @@ FBPIN | 8 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 FBPIN | 9 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 FBPIN | 10 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 -FBPIN | 12 | N1 | 1 | NULL | 0 | C25MEN | 1 | 58 | 49152 +FBPIN | 12 | C25MEN_OBUF | 1 | NULL | 0 | C25MEN | 1 | 58 | 49152 FBPIN | 13 | cnt/LTimer<5> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | N1$BUF0 | 1 | NULL | 0 | C20MEN | 1 | 59 | 49152 +FBPIN | 14 | C25MEN_OBUF$BUF0 | 1 | NULL | 0 | C20MEN | 1 | 59 | 49152 FBPIN | 15 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 | 60 | 49152 FBPIN | 16 | cnt/LTimer<12> | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 FBPIN | 18 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobs/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/RASEL | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 3 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobs/ALE0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | cnt/Timer<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | cnt/INITS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 -FBPIN | 9 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | EXP27_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 | 68 | 49152 -FBPIN | 12 | iobs/nBERR_FSB | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | EXP28_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 -FBPIN | 15 | cnt/nBR_IOB | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 -FBPIN | 16 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | ram/BACTr | 1 | NULL | 0 | NULL | 0 | 73 | 49152 -FBPIN | 18 | EXP29_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 9 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 | 67 | 49152 +FBPIN | 10 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 +FBPIN | 13 | EXP22_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 | 71 | 49152 +FBPIN | 15 | nBR_IOB_OBUF | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 +FBPIN | 16 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/INITS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 73 | 49152 +FBPIN | 18 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -7891,91 +7721,84 @@ BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | ram/RegUrgentSync | NULL | 2 | ram/RefDone | NULL | 5 | iobs/IOACTr | NULL | 7 | iobs/IOU1 | NULL | 8 | iobs/IOL1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 9 | cnt/TimerTC | NULL | 12 | nUDS_FSB | 33 | 13 | cnt/Timer<2> | NULL | 14 | cnt/Timer<1> | NULL | 15 | cnt/RefUrgent | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | E | 25 | 17 | cnt/RefReq | NULL | 20 | iobs/Once | NULL | 25 | iobs/PS_FSM_FFd2 | NULL | 27 | ram/RefReqSync | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 29 | nLDS_FSB | 30 | 30 | iobs/Load1 | NULL | 36 | nAS_FSB | 32 | 37 | ram/RS_FSM_FFd2 | NULL | 40 | cnt/Timer<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 41 | ram/RS_FSM_FFd1 | NULL | 43 | fsb/ASrf | NULL | 45 | iobs/IOReady | NULL | 47 | iobm/IOBERR | NULL | 49 | iobs/ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 51 | iobs/PS_FSM_FFd1 | NULL | 52 | cnt/Timer<3> | NULL | 53 | iobm/IOACT | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | ram/RegUrgSync | NULL | 1 | ram/RefReqSync | NULL | 2 | iobm/IOS_FSM_FFd3 | NULL | 6 | iobs/PS_FSM_FFd2 | NULL | 7 | ram/RS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 9 | iobs/PS_FSM_FFd1 | NULL | 12 | ram/RS_FSM_FFd1 | NULL | 14 | RefReq | NULL | 15 | IOACT | NULL | 16 | iobm/IOREQr | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 17 | ram/RefDone | NULL | 23 | nBERR_IOB | 76 | 27 | nUDS_FSB | 33 | 29 | nLDS_FSB | 30 | 30 | ram/RS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | iobs/Load1 | NULL | 43 | nDTACK_IOB | 78 | 47 | iobm/IOS_FSM_FFd2 | NULL | 49 | nIPL2 | 92 | 50 | iobm/IOS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 52 | E | 25 -FB_IMUX_INDEX | FOOBAR1_ | 0 | -1 | 74 | -1 | -1 | 5 | -1 | 7 | 8 | 9 | -1 | -1 | 272 | 13 | 14 | 15 | 242 | 17 | -1 | -1 | 126 | -1 | -1 | -1 | -1 | 139 | -1 | 2 | -1 | 260 | 141 | -1 | -1 | -1 | -1 | -1 | 268 | 84 | -1 | -1 | 35 | 134 | -1 | 6 | -1 | 16 | -1 | 71 | -1 | 103 | -1 | 132 | 12 | 107 +FB_IMUX_INDEX | FOOBAR1_ | 54 | 1 | 92 | -1 | -1 | -1 | 78 | 79 | -1 | 81 | -1 | -1 | 12 | -1 | 68 | 105 | 34 | 17 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | 272 | -1 | 260 | 141 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 74 | -1 | -1 | -1 | 167 | -1 | -1 | -1 | 99 | -1 | 150 | 15 | -1 | 242 | -1 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 4 | nRES | 91 | 6 | iobs/IOREQ | NULL | 7 | nDTACK_IOB | 78 | 11 | nVPA_IOB | 77 | 17 | cnt/Timer<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | iobm/Er | NULL | 51 | cnt/TimerTC | NULL | 52 | E | 25 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 4 | nRES | 91 | 11 | nVPA_IOB | 77 | 15 | IOREQ | NULL | 36 | iobm/Er | NULL -FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | 148 | -1 | 42 | 167 | -1 | -1 | -1 | 171 | -1 | -1 | -1 | -1 | -1 | 35 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 32 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 9 | 242 | -1 +FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | 148 | -1 | -1 | -1 | -1 | -1 | -1 | 171 | -1 | -1 | -1 | 51 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 4 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobs/Once | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<8> | 6 | 3 | cs/nOverlay1 | NULL | 4 | A_FSB<9> | 7 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | iobs/IOACTr | NULL | 6 | fsb/ASrf | NULL | 7 | A_FSB<17> | 15 | 8 | fsb/nDTACK.UIM | NULL | 9 | fsb/Ready1r | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | A_FSB<11> | 9 | 11 | iobs/IORW1 | NULL | 13 | iobs/ALE1 | NULL | 14 | iobs/IORW0 | NULL | 16 | iobs/IOReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 31 | A_FSB<10> | 8 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 33 | nAS_FSB | 32 | 37 | A_FSB<13> | 11 | 39 | A_FSB<22> | 20 | 42 | A_FSB<12> | 10 | 43 | iobs/PS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 44 | fsb/VPA | NULL | 45 | A_FSB<18> | 16 | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 49 | ram/RAMReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 50 | fsb/Ready0r | NULL | 51 | iobs/PS_FSM_FFd1 | NULL | 53 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | IORW0 | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<21> | 19 | 3 | A_FSB<15> | 13 | 4 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | fsb/VPA | NULL | 6 | iobs/PS_FSM_FFd2 | NULL | 7 | A_FSB<17> | 15 | 8 | nDTACK_FSB_OBUF.UIM | NULL | 9 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | iobs/IORW1 | NULL | 12 | fsb/Ready1r | NULL | 13 | ALE1 | NULL | 14 | A_FSB<12> | 10 | 15 | iobs/IOReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 16 | A_FSB<13> | 11 | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 26 | cs/nOverlay | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 35 | fsb/ASrf | NULL | 36 | nAS_FSB | 32 | 39 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 40 | A_FSB<8> | 6 | 43 | A_FSB<10> | 8 | 45 | A_FSB<18> | 16 | 47 | iobs/IOACTr | NULL | 49 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 50 | ram/RAMReady | NULL | 51 | nRES | 91 | 52 | A_FSB<11> | 9 | 53 | fsb/Ready0r | NULL -FB_IMUX_INDEX | FOOBAR3_ | 126 | 210 | 194 | 75 | 196 | 5 | 6 | 216 | 44 | 45 | 202 | 47 | -1 | 103 | 50 | -1 | 16 | -1 | -1 | -1 | -1 | 222 | -1 | 240 | -1 | -1 | -1 | -1 | 256 | -1 | 226 | 198 | -1 | 268 | -1 | -1 | -1 | 208 | -1 | 230 | -1 | -1 | 206 | 139 | 36 | 218 | 212 | 228 | -1 | 88 | 78 | 132 | -1 | 214 +FB_IMUX_INDEX | FOOBAR3_ | 36 | 210 | 228 | 212 | 196 | 41 | 78 | 216 | 44 | 81 | 46 | -1 | 48 | 103 | 206 | 87 | 208 | -1 | -1 | -1 | 214 | 222 | -1 | 240 | -1 | -1 | 49 | -1 | 256 | -1 | 226 | -1 | -1 | -1 | -1 | 60 | 268 | -1 | -1 | 230 | 194 | -1 | -1 | 198 | -1 | 218 | -1 | 3 | -1 | 88 | 136 | 148 | 202 | 134 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | fsb/VPA | NULL | 1 | cnt/AoutOE | NULL | 2 | iobm/BERRrr | NULL | 3 | iobm/BERRrf | NULL | 4 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 6 | cnt/PORS_FSM_FFd1 | NULL | 8 | cnt/IPL2r | NULL | 9 | cnt/TimerTC | NULL | 10 | iobm/RESrr | NULL | 11 | iobm/RESrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 12 | cnt/PORS_FSM_FFd2 | NULL | 13 | cnt/LTimer<0> | NULL | 14 | cnt/LTimer<3> | NULL | 15 | iobm/DTACKrr | NULL | 16 | iobm/DTACKrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 17 | iobm/IOBERR | NULL | 23 | A_FSB<23> | 24 | 24 | iobm/ETACK | NULL | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | nAS_FSB | 32 | 36 | iobm/IOS_FSM_FFd1 | NULL | 37 | cnt/LTimer<1> | NULL | 39 | A_FSB<22> | 20 | 42 | cnt/nBR_IOB | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 43 | iobm/IOS_FSM_FFd2 | NULL | 45 | A_FSB<21> | 19 | 46 | nBERR_IOB | 76 | 47 | cnt/LTimer<13> | NULL | 48 | C8M | 23 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 49 | nIPL2 | 92 | 50 | cnt/LTimer<2> | NULL | 51 | iobm/DoutOE | NULL | 53 | cnt/nRESout | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | A_FSB<20> | 18 | 2 | cnt/Timer<2> | NULL | 3 | nRESout | NULL | 6 | iobs/PS_FSM_FFd2 | NULL | 8 | cnt/Er<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 9 | cnt/TimerTC | NULL | 10 | cnt/Er<0> | NULL | 12 | cnt/LTimer<2> | NULL | 13 | iobs/IOU1 | NULL | 14 | iobs/IOL1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 16 | cnt/INITS_FSM_FFd1 | NULL | 17 | RefUrg | NULL | 18 | cnt/INITS_FSM_FFd2 | NULL | 21 | cnt/LTimer<0> | NULL | 23 | A_FSB<23> | 24 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 27 | nUDS_FSB | 33 | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 30 | iobs/PS_FSM_FFd1 | NULL | 32 | iobm/DoutOE | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 34 | cnt/Timer<0> | NULL | 35 | fsb/ASrf | NULL | 36 | nAS_FSB | 32 | 37 | fsb/VPA | NULL | 39 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 40 | cnt/Timer<1> | NULL | 42 | nBR_IOB_OBUF | NULL | 45 | cnt/LTimer<1> | NULL | 47 | A_FSB<21> | 19 | 49 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 51 | AoutOE | NULL -FB_IMUX_INDEX | FOOBAR4_ | 36 | 55 | 56 | 57 | 94 | -1 | 60 | -1 | 62 | 9 | 28 | 29 | 66 | 67 | 68 | 33 | 34 | 71 | -1 | -1 | -1 | -1 | -1 | 240 | 90 | -1 | -1 | -1 | 256 | -1 | 226 | -1 | -1 | 268 | -1 | -1 | 63 | 70 | -1 | 230 | -1 | -1 | 140 | 102 | -1 | 228 | 175 | 108 | 238 | 150 | 69 | 92 | -1 | 65 +FB_IMUX_INDEX | FOOBAR4_ | 226 | -1 | 128 | 57 | -1 | -1 | 78 | -1 | 62 | 63 | 10 | -1 | 66 | 13 | 14 | -1 | 142 | 71 | 132 | -1 | -1 | 143 | -1 | 240 | -1 | -1 | -1 | 272 | 256 | 260 | 81 | -1 | 96 | -1 | 129 | 60 | 268 | 41 | -1 | 230 | 135 | -1 | 140 | -1 | -1 | 67 | -1 | 228 | -1 | 103 | -1 | 55 | -1 | -1 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | ram/RASEL | NULL | 2 | ram/RefReqSync | NULL | 3 | ram/RefReq | NULL | 4 | nRES | 91 | 5 | A_FSB<16> | 14 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | fsb/ASrf | NULL | 7 | cs/nOverlay0 | NULL | 8 | A_FSB<3> | 96 | 10 | ram/RS_FSM_FFd3 | NULL | 12 | A_FSB<4> | 97 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 13 | nWE_FSB | 29 | 14 | A_FSB<7> | 4 | 16 | ram/RAMReady | NULL | 19 | A_FSB<20> | 18 | 22 | ram/RefUrgent | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 | 30 | ram/RAMEN | NULL | 33 | A_FSB<6> | 3 | 34 | A_FSB<5> | 2 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 35 | A_FSB<14> | 12 | 36 | nAS_FSB | 32 | 37 | ram/RS_FSM_FFd2 | NULL | 39 | ram/RefDone | NULL | 42 | A_FSB<12> | 10 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 44 | A_FSB<13> | 11 | 45 | A_FSB<21> | 19 | 46 | A_FSB<15> | 13 | 47 | ram/BACTr | NULL | 50 | fsb/Ready0r | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 52 | cs/nOverlay1 | NULL | 53 | ram/RS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | ram/RASEL | NULL | 1 | A_FSB<14> | 12 | 3 | iobs/IOACTr | NULL | 4 | A_FSB<22> | 20 | 5 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | fsb/ASrf | NULL | 7 | A_FSB<17> | 15 | 8 | A_FSB<3> | 96 | 9 | A_FSB<18> | 16 | 10 | A_FSB<6> | 3 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 12 | A_FSB<4> | 97 | 13 | cs/nOverlay | NULL | 14 | A_FSB<7> | 4 | 15 | ram/RS_FSM_FFd3 | NULL | 16 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 25 | ram/RAMEN | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 28 | nWE_FSB | 29 | 30 | iobs/PS_FSM_FFd1 | NULL | 36 | nAS_FSB | 32 | 39 | A_FSB<5> | 2 | 42 | ram/RefUrg | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 43 | iobs/IOReady | NULL | 44 | A_FSB<13> | 11 | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 48 | ram/RS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 49 | ALE1 | NULL | 50 | iobs/PS_FSM_FFd2 | NULL | 52 | ram/RS_FSM_FFd1 | NULL | 53 | IOBERR | NULL -FB_IMUX_INDEX | FOOBAR5_ | 72 | -1 | 2 | 3 | 148 | 214 | 6 | 79 | 168 | -1 | 136 | -1 | 172 | 256 | 190 | -1 | 88 | -1 | -1 | 226 | -1 | -1 | 1 | 240 | 230 | -1 | -1 | -1 | -1 | -1 | 81 | -1 | -1 | 186 | 182 | 210 | 268 | 84 | -1 | 74 | -1 | -1 | 206 | -1 | 208 | 228 | 212 | 142 | -1 | -1 | 78 | -1 | 75 | 134 +FB_IMUX_INDEX | FOOBAR5_ | 126 | 210 | -1 | 3 | 230 | 214 | 60 | 216 | 168 | 218 | 186 | -1 | 172 | 49 | 190 | 141 | 88 | -1 | -1 | 226 | -1 | 222 | 206 | 240 | -1 | 139 | -1 | -1 | 256 | -1 | 81 | -1 | -1 | -1 | -1 | -1 | 268 | -1 | -1 | 182 | -1 | -1 | 0 | 87 | 208 | -1 | 212 | 228 | 79 | 103 | 78 | -1 | 12 | 107 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/ETACK | NULL | 1 | iobm/nVMA | NULL | 2 | iobs/Clear1 | NULL | 3 | iobm/BERRrf | NULL | 4 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/ES<3> | NULL | 6 | iobm/ES<1> | NULL | 7 | iobm/ES<0> | NULL | 8 | iobm/VPArr | NULL | 9 | iobm/VPArf | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobs/IOU0 | NULL | 11 | iobs/IOL0 | NULL | 12 | iobm/IOREQr | NULL | 13 | iobm/Er2 | NULL | 14 | iobm/Er | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | iobm/ES<2> | NULL | 17 | iobm/IOACT | NULL | 20 | iobm/ALE0 | NULL | 28 | iobm/RESrr | NULL | 29 | iobm/IOS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 30 | iobs/Load1 | NULL | 33 | iobm/BERRrr | NULL | 36 | iobm/IOS_FSM_FFd1 | NULL | 42 | iobs/IORW0 | NULL | 43 | iobm/RESrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 45 | iobs/ALE0 | NULL | 46 | iobm/DTACKrf | NULL | 47 | iobm/ES<4> | NULL | 48 | C8M | 23 | 49 | iobs/ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 51 | cnt/AoutOE | NULL | 52 | iobm/DTACKrr | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/ETACK | NULL | 1 | AoutOE | NULL | 2 | iobs/Load1 | NULL | 3 | iobm/ES<3> | NULL | 4 | iobm/Er | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/DTACKrr | NULL | 6 | iobm/DTACKrf | NULL | 7 | iobm/BERRrr | NULL | 8 | iobm/BERRrf | NULL | 9 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 11 | ALE0S | NULL | 12 | iobm/VPArr | NULL | 13 | iobm/VPArf | NULL | 14 | iobm/RESrr | NULL | 15 | iobm/IOS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 16 | ALE0M | NULL | 17 | iobm/Er2 | NULL | 22 | nVMA_IOBout | NULL | 23 | nBERR_IOB | 76 | 28 | iobm/ES<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 29 | iobm/ES<2> | NULL | 37 | IOL0 | NULL | 38 | IOACT | NULL | 39 | iobm/ES<0> | NULL | 42 | iobm/ES<4> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 43 | IOU0 | NULL | 44 | IORW0 | NULL | 46 | iobm/IOREQr | NULL | 48 | C8M | 23 | 49 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 50 | iobs/Clear1 | NULL | 51 | iobm/IOS_FSM_FFd3 | NULL | 52 | iobm/RESrf | NULL | 53 | IOBERR | NULL -FB_IMUX_INDEX | FOOBAR6_ | 90 | 91 | 128 | 57 | 94 | 95 | 96 | 97 | 26 | 27 | 10 | 11 | 30 | 31 | 32 | 105 | -1 | 107 | -1 | -1 | 93 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 28 | 102 | 141 | -1 | -1 | 56 | -1 | -1 | 63 | -1 | -1 | -1 | -1 | -1 | 50 | 29 | -1 | 129 | 34 | 99 | 238 | 103 | -1 | 55 | 33 | -1 +FB_IMUX_INDEX | FOOBAR6_ | 90 | 55 | 74 | 93 | 4 | 5 | 6 | 7 | 8 | 99 | -1 | 11 | 30 | 31 | 32 | 15 | 16 | 35 | -1 | -1 | -1 | -1 | 91 | 175 | -1 | -1 | -1 | -1 | 94 | 102 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 70 | 105 | 95 | -1 | -1 | 97 | 69 | 36 | -1 | 34 | -1 | 238 | 103 | 53 | 92 | 33 | 107 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | cnt/LTimer<13> | NULL | 2 | A_FSB<21> | 19 | 3 | cs/nOverlay1 | NULL | 4 | A_FSB<2> | 95 | 6 | cnt/LTimer<7> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | A_FSB<17> | 15 | 9 | cnt/LTimer<6> | NULL | 12 | cnt/LTimer<5> | NULL | 13 | A_FSB<19> | 17 | 14 | cnt/LTimer<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 15 | cnt/LTimer<2> | NULL | 16 | cnt/LTimer<1> | NULL | 17 | cnt/LTimer<10> | NULL | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 27 | cnt/LTimer<8> | NULL | 29 | cnt/LTimer<11> | NULL | 30 | A_FSB<20> | 18 | 31 | cnt/LTimer<3> | NULL | 33 | cnt/LTimer<12> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 39 | A_FSB<1> | 94 | 43 | A_FSB<10> | 8 | 44 | cnt/LTimer<9> | NULL | 45 | cnt/LTimer<0> | NULL | 47 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 49 | A_FSB<8> | 6 | 50 | A_FSB<18> | 16 | 51 | cnt/TimerTC | NULL | 52 | A_FSB<11> | 9 | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 2 | cnt/LTimer<9> | NULL | 3 | cnt/LTimer<8> | NULL | 4 | A_FSB<2> | 95 | 6 | cnt/LTimer<7> | NULL | 7 | A_FSB<17> | 15 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 9 | cnt/LTimer<6> | NULL | 10 | A_FSB<11> | 9 | 11 | cnt/LTimer<3> | NULL | 12 | cnt/LTimer<5> | NULL | 13 | cnt/LTimer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 14 | cnt/LTimer<4> | NULL | 15 | cnt/LTimer<12> | NULL | 16 | cnt/LTimer<11> | NULL | 17 | cnt/LTimer<10> | NULL | 18 | A_FSB<19> | 17 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 19 | A_FSB<20> | 18 | 21 | cnt/LTimer<0> | NULL | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 | 26 | cs/nOverlay | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 27 | ram/RASEL | NULL | 36 | cnt/TimerTC | NULL | 38 | cnt/Er<1> | NULL | 39 | cnt/Er<0> | NULL | 40 | A_FSB<8> | 6 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 43 | A_FSB<10> | 8 | 45 | A_FSB<1> | 94 | 47 | A_FSB<21> | 19 | 49 | cnt/LTimer<2> | NULL | 50 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR7_ | 108 | -1 | 228 | 75 | 164 | -1 | 114 | 216 | -1 | 117 | -1 | -1 | 120 | 222 | 122 | 69 | 70 | 125 | -1 | -1 | -1 | -1 | -1 | 240 | 230 | -1 | -1 | 111 | -1 | 124 | 226 | 68 | -1 | 123 | -1 | -1 | -1 | -1 | -1 | 156 | -1 | -1 | -1 | 198 | 110 | 67 | -1 | 72 | -1 | 194 | 218 | 9 | 202 | 196 +FB_IMUX_INDEX | FOOBAR7_ | -1 | -1 | 110 | 111 | 164 | -1 | 114 | 216 | -1 | 117 | 202 | 65 | 120 | 67 | 122 | 123 | 124 | 125 | 222 | 226 | -1 | 143 | -1 | 240 | 230 | -1 | 49 | 126 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 63 | -1 | 62 | 10 | 194 | -1 | -1 | 198 | -1 | 156 | -1 | 228 | -1 | 66 | 218 | -1 | -1 | 196 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<20> | 18 | 1 | ram/RefUrgent | NULL | 2 | A_FSB<21> | 19 | 4 | ram/RefRAS | NULL | 5 | A_FSB<16> | 14 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | iobs/PS_FSM_FFd1 | NULL | 7 | A_FSB<17> | 15 | 8 | ram/RS_FSM_FFd1 | NULL | 9 | ram/RAMEN | NULL | 10 | ram/RS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | iobs/nBERR_FSB.UIM | NULL | 12 | ram/RS_FSM_FFd2 | NULL | 13 | iobs/ALE1 | NULL | 14 | cnt/nBR_IOB | NULL | 16 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 25 | iobs/PS_FSM_FFd2 | NULL | 27 | iobs/Once | NULL | 28 | nWE_FSB | 29 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 30 | cnt/PORS_FSM_FFd1 | NULL | 33 | nAS_FSB | 32 | 35 | A_FSB<14> | 12 | 37 | nLDS_FSB | 30 | 38 | cnt/IPL2r | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 39 | A_FSB<22> | 20 | 43 | fsb/ASrf | NULL | 44 | iobs/IOACTr | NULL | 45 | A_FSB<18> | 16 | 47 | iobm/IOBERR | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 49 | cnt/PORS_FSM_FFd2 | NULL | 51 | nUDS_FSB | 33 | 52 | cs/nOverlay1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | ram/RefUrg | NULL | 2 | ram/RefReq | NULL | 3 | iobs/IOACTr | NULL | 4 | A_FSB<22> | 20 | 6 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 7 | ram/RS_FSM_FFd2 | NULL | 8 | nAS_FSB | 32 | 9 | cnt/nIPL2r | NULL | 10 | ram/RAMReady | NULL | 11 | nBERR_FSB_OBUF.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 12 | ram/RS_FSM_FFd1 | NULL | 13 | cs/nOverlay | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | ram/RS_FSM_FFd3 | NULL | 16 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 17 | IOBERR | NULL | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 27 | nUDS_FSB | 33 | 28 | nWE_FSB | 29 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 29 | nLDS_FSB | 30 | 33 | ram/BACTr | NULL | 36 | cnt/TimerTC | NULL | 37 | cnt/Timer<2> | NULL | 38 | cnt/Er<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 39 | cnt/Er<0> | NULL | 40 | cnt/Timer<1> | NULL | 43 | ram/RAMEN | NULL | 45 | cnt/Timer<0> | NULL | 47 | cnt/LTimerTC | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 49 | ALE1 | NULL | 50 | iobs/PS_FSM_FFd2 | NULL | 51 | cnt/INITS_FSM_FFd2 | NULL | 52 | cnt/INITS_FSM_FFd1 | NULL | 53 | fsb/Ready0r | NULL -FB_IMUX_INDEX | FOOBAR8_ | 226 | 1 | 228 | -1 | 4 | 214 | 132 | 216 | 134 | 81 | 136 | 137 | 84 | 103 | 140 | -1 | 208 | -1 | -1 | -1 | -1 | 222 | -1 | 240 | -1 | 139 | -1 | 126 | 256 | -1 | 60 | -1 | -1 | 268 | -1 | 210 | -1 | 260 | 62 | 230 | -1 | -1 | -1 | 6 | 5 | 218 | -1 | 71 | -1 | 66 | -1 | 272 | 75 | -1 +FB_IMUX_INDEX | FOOBAR8_ | 0 | -1 | 2 | 3 | 230 | -1 | 60 | 79 | 268 | 9 | 136 | 137 | 12 | 49 | 140 | 141 | 88 | 107 | -1 | -1 | -1 | 222 | -1 | 240 | -1 | -1 | -1 | 272 | 256 | 260 | -1 | -1 | -1 | 56 | -1 | -1 | 63 | 128 | 62 | 10 | 135 | -1 | -1 | 139 | -1 | 129 | -1 | 108 | -1 | 103 | 78 | 132 | 142 | 134 GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 - -TIME_TSPEC | TS_CLK_IOB | PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS | CLK_IOB | 10003 | 1428 | 714 | NULL - -TIME_TSPEC | TS_CLK_FSB | PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS | CLK_FSB | 10003 | 400 | 200 | NULL - -TIME_TSPEC | TS_CLK2X_IOB | PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS | CLK2X_IOB | 10003 | 666 | 333 | NULL diff --git a/cpld/XC95144XL/WarpSE.xise b/cpld/XC95144XL/WarpSE.xise index 9211478..4a5d300 100644 --- a/cpld/XC95144XL/WarpSE.xise +++ b/cpld/XC95144XL/WarpSE.xise @@ -36,11 +36,11 @@ - + - + @@ -64,7 +64,7 @@ - + @@ -78,7 +78,6 @@ - @@ -97,11 +96,11 @@ - + - + @@ -113,7 +112,7 @@ - + @@ -131,7 +130,7 @@ - + @@ -162,7 +161,6 @@ - @@ -196,7 +194,7 @@ - + @@ -241,8 +239,8 @@ - - + + diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml index b36e1a7..367e0d2 100644 --- a/cpld/XC95144XL/WarpSE.xml +++ b/cpld/XC95144XL/WarpSE.xml @@ -1,3 +1,3 @@ -WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE.xst b/cpld/XC95144XL/WarpSE.xst index b4b1324..1d31f8c 100644 --- a/cpld/XC95144XL/WarpSE.xst +++ b/cpld/XC95144XL/WarpSE.xst @@ -8,9 +8,9 @@ run -p xc9500xl -top WarpSE -opt_mode Speed --opt_level 2 +-opt_level 1 -iuc NO --keep_hierarchy No +-keep_hierarchy Yes -netlist_hierarchy As_Optimized -rtlview Yes -hierarchy_separator / diff --git a/cpld/XC95144XL/WarpSE_bld.html b/cpld/XC95144XL/WarpSE_bld.html deleted file mode 100644 index 2b90479..0000000 --- a/cpld/XC95144XL/WarpSE_bld.html +++ /dev/null @@ -1 +0,0 @@ -Translation Report
Translation Report

Mon Mar 28 09:31:43 2022



Release 14.7 ngdbuild P.20131013 (nt)
Copyright (c) 1995-2013 Xilinx, Inc.  All rights reserved.

Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle
ise -dd _ngo -uc Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10
WarpSE.ngc WarpSE.ngd

Reading NGO file "Z:/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ...
Gathering constraint information from source properties...
Done.

Annotating constraints to design from ucf file
"Z:/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ...
Resolving constraint associations...
Checking Constraint Associations...
Done...

Checking expanded design ...

Partition Implementation Status
-------------------------------

  No Partitions were found in this design.

-------------------------------

NGDBUILD Design Results Summary:
  Number of errors:     0
  Number of warnings:   0

Total memory usage is 117300 kilobytes

Writing NGD file "WarpSE.ngd" ...
Total REAL time to NGDBUILD completion:  17 sec
Total CPU time to NGDBUILD completion:   16 sec

Writing NGDBUILD log file "WarpSE.bld"...
\ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE_envsettings.html b/cpld/XC95144XL/WarpSE_envsettings.html index e588217..305276d 100644 --- a/cpld/XC95144XL/WarpSE_envsettings.html +++ b/cpld/XC95144XL/WarpSE_envsettings.html @@ -98,7 +98,7 @@ -opt_level Optimization Effort -2 +1 1 @@ -110,7 +110,7 @@ -keep_hierarchy Keep Hierarchy -No +Yes YES diff --git a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm index f49539f..ea64f9d 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 3-25-2023, 0:52AM +Design Name: WarpSE Date: 3-26-2023, 4:30AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -119/144 ( 83%) 428 /720 ( 59%) 230/432 ( 53%) 94 /144 ( 65%) 71 /81 ( 88%) +118/144 ( 82%) 384 /720 ( 53%) 224/432 ( 52%) 93 /144 ( 65%) 71 /81 ( 88%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 28/54 39/90 11/11* -FB2 10/18 8/54 11/90 8/10 -FB3 7/18 33/54 81/90 10/10* -FB4 17/18 34/54 40/90 10/10* -FB5 16/18 32/54 72/90 8/10 -FB6 18/18* 32/54 55/90 10/10* -FB7 18/18* 30/54 55/90 8/10 -FB8 15/18 33/54 75/90 6/10 +FB1 18/18* 21/54 25/90 11/11* +FB2 6/18 4/54 6/90 8/10 +FB3 9/18 34/54 82/90 10/10* +FB4 18/18* 31/54 34/90 10/10* +FB5 14/18 34/54 69/90 8/10 +FB6 18/18* 34/54 63/90 10/10* +FB7 18/18* 31/54 34/90 8/10 +FB8 17/18 35/54 71/90 6/10 ----- ----- ----- ----- - 119/144 230/432 428/720 71/81 + 118/144 224/432 384/720 71/81 * - Resource is exhausted @@ -53,7 +53,7 @@ GSR : 0 0 | ** Power Data ** -There are 119 macrocells in high performance mode (MCHP). +There are 118 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -89,9 +89,9 @@ WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 10 26 FB3_9 28 I/O O STD FAST RESET +nDTACK_FSB 9 26 FB3_9 28 I/O O STD FAST RESET nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 1 3 FB4_2 87 I/O O STD FAST SET +nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET nDoutOE 1 2 FB4_5 89 I/O O STD FAST nDinOE 3 6 FB4_6 90 I/O O STD FAST nRES 1 1 FB4_8 91 I/O I/O STD FAST @@ -114,111 +114,110 @@ nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET RA<1> 2 3 FB7_2 50 I/O O STD FAST RA<7> 2 3 FB7_5 52 I/O O STD FAST RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 7 7 FB7_8 54 I/O O STD FAST +RA<8> 5 6 FB7_8 54 I/O O STD FAST RA<10> 1 1 FB7_9 55 I/O O STD FAST RA<9> 2 3 FB7_11 56 I/O O STD FAST C25MEN 0 0 FB7_12 58 I/O O STD FAST C20MEN 0 0 FB7_14 59 I/O O STD FAST RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 3 7 FB8_5 64 I/O O STD FAST +nRAS 0 0 FB8_5 64 I/O O STD FAST nRAMLWE 1 4 FB8_6 65 I/O O STD FAST nRAMUWE 1 4 FB8_8 66 I/O O STD FAST nBERR_FSB 4 8 FB8_12 70 I/O O STD FAST RESET nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 83 Buried Nodes ** +** 82 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/RegUrgentSync 1 1 FB1_1 STD RESET -ram/RefUrgent 1 2 FB1_2 STD RESET -ram/RefReqSync 1 1 FB1_3 STD RESET -ram/RefReq 1 2 FB1_4 STD RESET -ram/RefRAS 1 2 FB1_5 STD RESET -iobs/IOACTr 1 1 FB1_6 STD RESET -fsb/ASrf 1 1 FB1_7 STD RESET -iobs/IOU1 2 2 FB1_8 STD RESET -iobs/IOL1 2 2 FB1_9 STD RESET -cnt/TimerTC 2 5 FB1_10 STD RESET -iobs/IOU0 3 5 FB1_11 STD RESET -iobs/IOL0 3 5 FB1_12 STD RESET -cnt/Timer<3> 3 6 FB1_13 STD RESET -cnt/Timer<2> 3 5 FB1_14 STD RESET -cnt/Timer<1> 3 4 FB1_15 STD RESET -cnt/RefUrgent 3 5 FB1_16 STD RESET -iobs/IOReady 4 8 FB1_17 STD RESET -cnt/RefReq 4 5 FB1_18 STD RESET -iobm/VPArr 1 1 FB2_9 STD RESET -iobm/VPArf 1 1 FB2_10 STD RESET -iobm/RESrr 1 1 FB2_11 STD RESET -iobm/RESrf 1 1 FB2_12 STD RESET -iobm/IOREQr 1 1 FB2_13 STD RESET -iobm/Er2 1 1 FB2_14 STD RESET -iobm/Er 1 1 FB2_15 STD RESET -iobm/DTACKrr 1 1 FB2_16 STD RESET -iobm/DTACKrf 1 1 FB2_17 STD RESET -cnt/Timer<0> 2 3 FB2_18 STD RESET -fsb/VPA 18 26 FB3_1 STD RESET -iobs/IOREQ 13 19 FB3_7 STD RESET -fsb/Ready1r 6 17 FB3_10 STD RESET -iobs/IORW1 16 19 FB3_12 STD RESET -iobs/IORW0 17 20 FB3_15 STD RESET -iobm/BERRrr 1 1 FB4_3 STD RESET -iobm/BERRrf 1 1 FB4_4 STD RESET -cnt/PORS_FSM_FFd1 1 4 FB4_7 STD RESET -cnt/IPL2r 1 1 FB4_9 STD RESET -iobm/IOS_FSM_FFd1 2 3 FB4_10 STD RESET -cnt/nRESout 2 4 FB4_12 STD RESET -cnt/PORS_FSM_FFd2 2 3 FB4_13 STD RESET +ram/RefUrg 1 2 FB1_1 STD RESET +ram/RefReqSync 1 1 FB1_2 STD RESET +ram/RefReq 1 2 FB1_3 STD RESET +iobs/IOACTr 1 1 FB1_4 STD RESET +iobm/Er 1 1 FB1_5 STD RESET +iobm/DTACKrr 1 1 FB1_6 STD RESET +iobm/DTACKrf 1 1 FB1_7 STD RESET +iobm/BERRrr 1 1 FB1_8 STD RESET +iobm/BERRrf 1 1 FB1_9 STD RESET +cnt/nIPL2r 1 1 FB1_10 STD RESET +cnt/Er<0> 1 1 FB1_11 STD RESET +ALE0S 1 2 FB1_12 STD RESET +ram/RS_FSM_FFd1 2 3 FB1_13 STD RESET +iobs/IOU1 2 2 FB1_14 STD RESET +iobs/IOL1 2 2 FB1_15 STD RESET +iobm/IOS_FSM_FFd1 2 3 FB1_16 STD RESET +ALE0M 2 4 FB1_17 STD RESET +ram/RefDone 3 5 FB1_18 STD RESET +iobm/VPArr 1 1 FB2_13 STD RESET +iobm/VPArf 1 1 FB2_14 STD RESET +iobm/RESrr 1 1 FB2_15 STD RESET +iobm/RESrf 1 1 FB2_16 STD RESET +iobm/IOREQr 1 1 FB2_17 STD RESET +iobm/Er2 1 1 FB2_18 STD RESET +IORW0 17 20 FB3_1 STD RESET +fsb/VPA 16 26 FB3_6 STD RESET +iobs/IORW1 16 19 FB3_11 STD RESET +fsb/Ready1r 6 17 FB3_13 STD RESET +cs/nOverlay 3 8 FB3_14 STD RESET +IOREQ 13 19 FB3_16 STD RESET +iobs/Clear1 1 3 FB3_18 STD RESET +ram/RegUrgSync 1 1 FB4_1 STD RESET +ram/BACTr 1 2 FB4_3 STD RESET +nRESout 1 2 FB4_4 STD RESET +fsb/ASrf 1 1 FB4_7 STD RESET +cnt/Er<1> 1 1 FB4_9 STD RESET +cnt/TimerTC 2 6 FB4_10 STD RESET +cnt/LTimer<3> 2 6 FB4_12 STD RESET +cnt/LTimer<2> 2 5 FB4_13 STD RESET +cnt/LTimer<1> 2 4 FB4_14 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cnt/LTimer<0> 2 3 FB4_14 STD RESET -cnt/LTimer<3> 4 6 FB4_15 STD RESET -cnt/LTimer<2> 4 5 FB4_16 STD RESET -cnt/LTimer<1> 4 4 FB4_17 STD RESET -iobm/IOBERR 9 13 FB4_18 STD RESET -ram/RASEL 17 13 FB5_1 STD RESET -ram/RefDone 2 4 FB5_3 STD RESET -cs/nOverlay1 2 3 FB5_4 STD RESET -fsb/Ready0r 3 8 FB5_7 STD RESET -cs/nOverlay0 3 8 FB5_8 STD RESET -ram/RAMEN 9 13 FB5_10 STD RESET -ram/RS_FSM_FFd2 10 12 FB5_13 STD RESET -ram/RAMReady 12 13 FB5_17 STD RESET +RefReq 2 5 FB4_15 STD RESET +IOU0 3 5 FB4_16 STD RESET +IOL0 3 5 FB4_17 STD RESET +RefUrg 5 7 FB4_18 STD RESET +iobs/Load1 14 18 FB5_3 STD RESET +iobs/PS_FSM_FFd2 12 19 FB5_7 STD RESET +ram/RS_FSM_FFd2 8 10 FB5_8 STD RESET +iobs/PS_FSM_FFd1 2 3 FB5_10 STD RESET +iobs/IOReady 4 8 FB5_16 STD RESET +iobs/Once 15 18 FB5_17 STD RESET iobm/ETACK 1 6 FB6_1 STD RESET -iobm/DoutOE 2 3 FB6_3 STD RESET -iobm/ALE0 2 4 FB6_4 STD RESET -iobm/IOS_FSM_FFd3 3 6 FB6_5 STD RESET -iobm/ES<3> 3 6 FB6_6 STD RESET -iobm/ES<1> 3 4 FB6_7 STD RESET -iobm/ES<0> 3 7 FB6_8 STD RESET -iobm/ES<4> 4 7 FB6_10 STD RESET -iobm/IOS_FSM_FFd2 5 11 FB6_13 STD RESET -iobm/ES<2> 5 7 FB6_16 STD RESET -iobm/IOACT 6 12 FB6_18 STD RESET -cnt/LTimer<13> 3 15 FB7_1 STD RESET -cnt/LTimer<9> 4 12 FB7_3 STD RESET -cnt/LTimer<8> 4 11 FB7_4 STD RESET -cnt/LTimer<7> 4 10 FB7_7 STD RESET -cnt/LTimer<6> 4 9 FB7_10 STD RESET -cnt/LTimer<5> 4 8 FB7_13 STD RESET -cnt/LTimer<4> 4 7 FB7_15 STD RESET -cnt/LTimer<12> 4 15 FB7_16 STD RESET -cnt/LTimer<11> 4 14 FB7_17 STD RESET -cnt/LTimer<10> 4 13 FB7_18 STD RESET -iobs/Once 15 18 FB8_1 STD RESET -iobs/Clear1 1 3 FB8_3 STD RESET -iobs/ALE0 1 2 FB8_4 STD RESET -iobs/PS_FSM_FFd1 2 3 FB8_7 STD RESET -ram/RS_FSM_FFd1 8 11 FB8_9 STD RESET -ram/RS_FSM_FFd3 9 11 FB8_11 STD RESET +iobm/IOS_FSM_FFd3 3 6 FB6_3 STD RESET +iobm/ES<3> 3 6 FB6_4 STD RESET +iobm/ES<1> 3 4 FB6_5 STD RESET +iobm/ES<0> 3 7 FB6_6 STD RESET +iobm/DoutOE 3 6 FB6_7 STD RESET +iobm/ES<4> 4 7 FB6_8 STD RESET +iobm/IOS_FSM_FFd2 5 11 FB6_10 STD RESET +iobm/ES<2> 5 7 FB6_13 STD RESET +IOACT 6 12 FB6_16 STD RESET +IOBERR 9 13 FB6_18 STD RESET +cnt/LTimerTC 2 16 FB7_1 STD RESET +cnt/LTimer<9> 2 12 FB7_3 STD RESET +cnt/LTimer<8> 2 11 FB7_4 STD RESET +cnt/LTimer<7> 2 10 FB7_7 STD RESET +cnt/LTimer<6> 2 9 FB7_10 STD RESET +cnt/LTimer<5> 2 8 FB7_13 STD RESET +cnt/LTimer<4> 2 7 FB7_15 STD RESET +cnt/LTimer<12> 2 15 FB7_16 STD RESET +cnt/LTimer<11> 2 14 FB7_17 STD RESET +cnt/LTimer<10> 2 13 FB7_18 STD RESET +ram/RASEL 14 12 FB8_1 STD RESET +cnt/Timer<2> 5 6 FB8_3 STD RESET +cnt/Timer<0> 2 4 FB8_4 STD RESET +cnt/INITS_FSM_FFd2 2 6 FB8_7 STD RESET +fsb/Ready0r 2 7 FB8_9 STD RESET +cnt/Timer<1> 4 5 FB8_10 STD RESET +ram/RAMReady 9 12 FB8_11 STD RESET +ram/RAMEN 9 12 FB8_14 STD RESET +ram/RS_FSM_FFd3 13 12 FB8_16 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -iobs/PS_FSM_FFd2 12 19 FB8_14 STD RESET -iobs/Load1 14 18 FB8_16 STD RESET -ram/BACTr 1 2 FB8_17 STD RESET +cnt/INITS_FSM_FFd1 1 7 FB8_17 STD RESET +cnt/LTimer<0> 1 3 FB8_18 STD RESET ** 35 Inputs ** @@ -276,66 +275,63 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 28/26 -Number of signals used by logic mapping into function block: 28 +Number of function block inputs used/remaining: 21/33 +Number of signals used by logic mapping into function block: 21 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RegUrgentSync 1 0 0 4 FB1_1 (b) (b) -ram/RefUrgent 1 0 0 4 FB1_2 11 I/O I -ram/RefReqSync 1 0 0 4 FB1_3 12 I/O I -ram/RefReq 1 0 0 4 FB1_4 (b) (b) -ram/RefRAS 1 0 0 4 FB1_5 13 I/O I -iobs/IOACTr 1 0 0 4 FB1_6 14 I/O I -fsb/ASrf 1 0 0 4 FB1_7 (b) (b) -iobs/IOU1 2 0 0 3 FB1_8 15 I/O I -iobs/IOL1 2 0 0 3 FB1_9 16 I/O I -cnt/TimerTC 2 0 0 3 FB1_10 (b) (b) -iobs/IOU0 3 0 0 2 FB1_11 17 I/O I -iobs/IOL0 3 0 0 2 FB1_12 18 I/O I -cnt/Timer<3> 3 0 0 2 FB1_13 (b) (b) -cnt/Timer<2> 3 0 0 2 FB1_14 19 I/O I -cnt/Timer<1> 3 0 0 2 FB1_15 20 I/O I -cnt/RefUrgent 3 0 0 2 FB1_16 (b) (b) -iobs/IOReady 4 0 0 1 FB1_17 22 GCK/I/O GCK -cnt/RefReq 4 0 0 1 FB1_18 (b) (b) +ram/RefUrg 1 0 0 4 FB1_1 (b) (b) +ram/RefReqSync 1 0 0 4 FB1_2 11 I/O I +ram/RefReq 1 0 0 4 FB1_3 12 I/O I +iobs/IOACTr 1 0 0 4 FB1_4 (b) (b) +iobm/Er 1 0 0 4 FB1_5 13 I/O I +iobm/DTACKrr 1 0 0 4 FB1_6 14 I/O I +iobm/DTACKrf 1 0 0 4 FB1_7 (b) (b) +iobm/BERRrr 1 0 0 4 FB1_8 15 I/O I +iobm/BERRrf 1 0 0 4 FB1_9 16 I/O I +cnt/nIPL2r 1 0 0 4 FB1_10 (b) (b) +cnt/Er<0> 1 0 0 4 FB1_11 17 I/O I +ALE0S 1 0 0 4 FB1_12 18 I/O I +ram/RS_FSM_FFd1 2 0 0 3 FB1_13 (b) (b) +iobs/IOU1 2 0 0 3 FB1_14 19 I/O I +iobs/IOL1 2 0 0 3 FB1_15 20 I/O I +iobm/IOS_FSM_FFd1 2 0 0 3 FB1_16 (b) (b) +ALE0M 2 0 0 3 FB1_17 22 GCK/I/O GCK +ram/RefDone 3 0 0 2 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: E 11: iobm/IOBERR 20: nADoutLE1 - 2: cnt/RefReq 12: iobs/IOACTr 21: nAS_FSB - 3: cnt/RefUrgent 13: iobs/IOL1 22: nLDS_FSB - 4: cnt/Timer<0> 14: iobs/IOReady 23: nUDS_FSB - 5: cnt/Timer<1> 15: iobs/IOU1 24: ram/RS_FSM_FFd1 - 6: cnt/Timer<2> 16: iobs/Load1 25: ram/RS_FSM_FFd2 - 7: cnt/Timer<3> 17: iobs/Once 26: ram/RefDone - 8: cnt/TimerTC 18: iobs/PS_FSM_FFd1 27: ram/RefReqSync - 9: fsb/ASrf 19: iobs/PS_FSM_FFd2 28: ram/RegUrgentSync - 10: iobm/IOACT + 1: E 8: iobs/Load1 15: nUDS_FSB + 2: IOACT 9: iobs/PS_FSM_FFd1 16: ram/RS_FSM_FFd1 + 3: RefReq 10: iobs/PS_FSM_FFd2 17: ram/RS_FSM_FFd2 + 4: iobm/IOREQr 11: nBERR_IOB 18: ram/RS_FSM_FFd3 + 5: iobm/IOS_FSM_FFd1 12: nDTACK_IOB 19: ram/RefDone + 6: iobm/IOS_FSM_FFd2 13: nIPL2 20: ram/RefReqSync + 7: iobm/IOS_FSM_FFd3 14: nLDS_FSB 21: ram/RegUrgSync Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RegUrgentSync ..X..................................... 1 -ram/RefUrgent .........................X.X............ 2 -ram/RefReqSync .X...................................... 1 -ram/RefReq .........................XX............. 2 -ram/RefRAS .......................XX............... 2 -iobs/IOACTr .........X.............................. 1 -fsb/ASrf ....................X................... 1 -iobs/IOU1 ...............X......X................. 2 -iobs/IOL1 ...............X.....X.................. 2 -cnt/TimerTC X..XXXX................................. 5 -iobs/IOU0 ..............X..XXX..X................. 5 -iobs/IOL0 ............X....XXX.X.................. 5 -cnt/Timer<3> X..XXXXX................................ 6 -cnt/Timer<2> X..XXX.X................................ 5 -cnt/Timer<1> X..XX..X................................ 4 -cnt/RefUrgent X..XXXX................................. 5 -iobs/IOReady ........X.XX.X..X.XXX................... 8 -cnt/RefReq X..XXXX................................. 5 +ram/RefUrg ..................X.X................... 2 +ram/RefReqSync ..X..................................... 1 +ram/RefReq ..................XX.................... 2 +iobs/IOACTr .X...................................... 1 +iobm/Er X....................................... 1 +iobm/DTACKrr ...........X............................ 1 +iobm/DTACKrf ...........X............................ 1 +iobm/BERRrr ..........X............................. 1 +iobm/BERRrf ..........X............................. 1 +cnt/nIPL2r ............X........................... 1 +cnt/Er<0> X....................................... 1 +ALE0S ........XX.............................. 2 +ram/RS_FSM_FFd1 ...............XXX...................... 3 +iobs/IOU1 .......X......X......................... 2 +iobs/IOL1 .......X.....X.......................... 2 +iobm/IOS_FSM_FFd1 ....XXX................................. 3 +ALE0M ...XXXX................................. 4 +ram/RefDone ...............XXXXX.................... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 8/46 -Number of signals used by logic mapping into function block: 8 +Number of function block inputs used/remaining: 4/50 +Number of signals used by logic mapping into function block: 4 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -346,384 +342,392 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_6 2 GTS/I/O I (unused) 0 0 0 5 FB2_7 (b) (unused) 0 0 0 5 FB2_8 3 GTS/I/O I -iobm/VPArr 1 0 0 4 FB2_9 4 GTS/I/O I -iobm/VPArf 1 0 0 4 FB2_10 (b) (b) -iobm/RESrr 1 0 0 4 FB2_11 6 I/O I -iobm/RESrf 1 0 0 4 FB2_12 7 I/O I -iobm/IOREQr 1 0 0 4 FB2_13 (b) (b) -iobm/Er2 1 0 0 4 FB2_14 8 I/O I -iobm/Er 1 0 0 4 FB2_15 9 I/O I -iobm/DTACKrr 1 0 0 4 FB2_16 (b) (b) -iobm/DTACKrf 1 0 0 4 FB2_17 10 I/O I -cnt/Timer<0> 2 0 0 3 FB2_18 (b) (b) +(unused) 0 0 0 5 FB2_9 4 GTS/I/O I +(unused) 0 0 0 5 FB2_10 (b) +(unused) 0 0 0 5 FB2_11 6 I/O I +(unused) 0 0 0 5 FB2_12 7 I/O I +iobm/VPArr 1 0 0 4 FB2_13 (b) (b) +iobm/VPArf 1 0 0 4 FB2_14 8 I/O I +iobm/RESrr 1 0 0 4 FB2_15 9 I/O I +iobm/RESrf 1 0 0 4 FB2_16 (b) (b) +iobm/IOREQr 1 0 0 4 FB2_17 10 I/O I +iobm/Er2 1 0 0 4 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: E 4: cnt/TimerTC 7: nDTACK_IOB - 2: nRES.PIN 5: iobm/Er 8: nVPA_IOB - 3: cnt/Timer<0> 6: iobs/IOREQ + 1: IOREQ 3: iobm/Er 4: nVPA_IOB + 2: nRES.PIN Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr .......X................................ 1 -iobm/VPArf .......X................................ 1 +iobm/VPArr ...X.................................... 1 +iobm/VPArf ...X.................................... 1 iobm/RESrr .X...................................... 1 iobm/RESrf .X...................................... 1 -iobm/IOREQr .....X.................................. 1 -iobm/Er2 ....X................................... 1 -iobm/Er X....................................... 1 -iobm/DTACKrr ......X................................. 1 -iobm/DTACKrf ......X................................. 1 -cnt/Timer<0> X.XX.................................... 3 +iobm/IOREQr X....................................... 1 +iobm/Er2 ..X..................................... 1 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -fsb/VPA 18 13<- 0 0 FB3_1 (b) (b) -(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I -(unused) 0 0 /\3 2 FB3_3 (b) (b) -(unused) 0 0 0 5 FB3_4 (b) -(unused) 0 0 \/5 0 FB3_5 24 I/O I -(unused) 0 0 \/5 0 FB3_6 25 I/O I -iobs/IOREQ 13 10<- \/2 0 FB3_7 (b) (b) -(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 10 7<- \/2 0 FB3_9 28 I/O O -fsb/Ready1r 6 2<- \/1 0 FB3_10 (b) (b) -(unused) 0 0 \/5 0 FB3_11 29 I/O I -iobs/IORW1 16 11<- 0 0 FB3_12 30 I/O I -(unused) 0 0 /\5 0 FB3_13 (b) (b) -(unused) 0 0 \/5 0 FB3_14 32 I/O I -iobs/IORW0 17 12<- 0 0 FB3_15 33 I/O I -(unused) 0 0 /\5 0 FB3_16 (b) (b) -nROMWE 1 0 /\2 2 FB3_17 34 I/O O -(unused) 0 0 \/5 0 FB3_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 12: A_FSB<21> 23: iobs/IORW0 - 2: A_FSB<11> 13: A_FSB<22> 24: iobs/IORW1 - 3: A_FSB<12> 14: A_FSB<23> 25: iobs/IOReady - 4: A_FSB<13> 15: A_FSB<8> 26: iobs/Once - 5: A_FSB<14> 16: A_FSB<9> 27: iobs/PS_FSM_FFd1 - 6: A_FSB<15> 17: cs/nOverlay1 28: iobs/PS_FSM_FFd2 - 7: A_FSB<16> 18: fsb/ASrf 29: nADoutLE1 - 8: A_FSB<17> 19: fsb/Ready0r 30: nAS_FSB - 9: A_FSB<18> 20: fsb/Ready1r 31: nDTACK_FSB - 10: A_FSB<19> 21: fsb/VPA 32: nWE_FSB - 11: A_FSB<20> 22: iobs/IOACTr 33: ram/RAMReady - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -fsb/VPA XXXXXXXXXXXXXXXXXXXXX...X...XX.XX....... 26 -iobs/IOREQ ...XX.XXXXXXXX..XX...X...XXXXX.X........ 19 -nDTACK_FSB XXXXXXXXXXXXXXXXXXXX....X...XXXXX....... 26 -fsb/Ready1r ...XX.XXXXXXXX..XX.X....X...XX.X........ 17 -iobs/IORW1 ...XX.XXXXXXXX..XX.....X.XXXXX.X........ 19 -iobs/IORW0 ...XX.XXXXXXXX..XX....XX.XXXXX.X........ 20 -nROMWE .............................X.X........ 2 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB4 *********************************** Number of function block inputs used/remaining: 34/20 Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\3 2 FB4_1 (b) (b) -nAoutOE 1 0 0 4 FB4_2 87 I/O O -iobm/BERRrr 1 0 0 4 FB4_3 (b) (b) -iobm/BERRrf 1 0 0 4 FB4_4 (b) (b) -nDoutOE 1 0 0 4 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -cnt/PORS_FSM_FFd1 1 0 0 4 FB4_7 (b) (b) -nRES 1 0 0 4 FB4_8 91 I/O I/O -cnt/IPL2r 1 0 0 4 FB4_9 92 I/O I -iobm/IOS_FSM_FFd1 2 0 0 3 FB4_10 (b) (b) -nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/nRESout 2 0 0 3 FB4_12 94 I/O I -cnt/PORS_FSM_FFd2 2 0 0 3 FB4_13 (b) (b) -cnt/LTimer<0> 2 0 0 3 FB4_14 95 I/O I -cnt/LTimer<3> 4 0 0 1 FB4_15 96 I/O I -cnt/LTimer<2> 4 0 0 1 FB4_16 (b) (b) -cnt/LTimer<1> 4 0 \/1 0 FB4_17 97 I/O I -iobm/IOBERR 9 4<- 0 0 FB4_18 (b) (b) +IORW0 17 12<- 0 0 FB3_1 (b) (b) +(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 /\3 2 FB3_3 (b) (b) +(unused) 0 0 \/1 4 FB3_4 (b) (b) +(unused) 0 0 \/5 0 FB3_5 24 I/O I +fsb/VPA 16 11<- 0 0 FB3_6 25 I/O I +(unused) 0 0 /\5 0 FB3_7 (b) (b) +(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 9 5<- \/1 0 FB3_9 28 I/O O +(unused) 0 0 \/5 0 FB3_10 (b) (b) +iobs/IORW1 16 11<- 0 0 FB3_11 29 I/O I +(unused) 0 0 /\5 0 FB3_12 30 I/O I +fsb/Ready1r 6 1<- 0 0 FB3_13 (b) (b) +cs/nOverlay 3 0 /\1 1 FB3_14 32 I/O I +(unused) 0 0 \/5 0 FB3_15 33 I/O I +IOREQ 13 8<- 0 0 FB3_16 (b) (b) +nROMWE 1 0 /\3 1 FB3_17 34 I/O O +iobs/Clear1 1 0 \/4 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 13: cnt/PORS_FSM_FFd2 24: iobm/IOS_FSM_FFd1 - 2: A_FSB<21> 14: cnt/TimerTC 25: iobm/IOS_FSM_FFd2 - 3: A_FSB<22> 15: cnt/nRESout 26: iobm/IOS_FSM_FFd3 - 4: A_FSB<23> 16: fsb/VPA 27: iobm/RESrf - 5: C8M 17: iobm/BERRrf 28: iobm/RESrr - 6: cnt/IPL2r 18: iobm/BERRrr 29: nAS_FSB - 7: cnt/LTimer<0> 19: iobm/DTACKrf 30: nAoutOE - 8: cnt/LTimer<13> 20: iobm/DTACKrr 31: nBERR_IOB - 9: cnt/LTimer<1> 21: iobm/DoutOE 32: nBR_IOB - 10: cnt/LTimer<2> 22: iobm/ETACK 33: nIPL2 - 11: cnt/LTimer<3> 23: iobm/IOBERR 34: nWE_FSB - 12: cnt/PORS_FSM_FFd1 + 1: A_FSB<10> 13: A_FSB<22> 24: iobs/IOACTr + 2: A_FSB<11> 14: A_FSB<23> 25: iobs/IORW1 + 3: A_FSB<12> 15: A_FSB<8> 26: iobs/IOReady + 4: A_FSB<13> 16: A_FSB<9> 27: iobs/Once + 5: A_FSB<14> 17: IORW0 28: iobs/PS_FSM_FFd1 + 6: A_FSB<15> 18: nRES.PIN 29: iobs/PS_FSM_FFd2 + 7: A_FSB<16> 19: cs/nOverlay 30: nADoutLE1 + 8: A_FSB<17> 20: fsb/ASrf 31: nAS_FSB + 9: A_FSB<18> 21: fsb/Ready0r 32: nDTACK_FSB + 10: A_FSB<19> 22: fsb/Ready1r 33: nWE_FSB + 11: A_FSB<20> 23: fsb/VPA 34: ram/RAMReady + 12: A_FSB<21> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nAoutOE ...........XX..................X........ 3 -iobm/BERRrr ..............................X......... 1 -iobm/BERRrf ..............................X......... 1 -nDoutOE ....................X........X.......... 2 -nDinOE XXXX........................X....X...... 6 -cnt/PORS_FSM_FFd1 .....X.X...XX........................... 4 -nRES ..............X......................... 1 -cnt/IPL2r ................................X....... 1 -iobm/IOS_FSM_FFd1 .......................XXX.............. 3 -nVPA_FSB ...............X............X........... 2 -cnt/nRESout .......X...XX.X......................... 4 -cnt/PORS_FSM_FFd2 .......X...XX........................... 3 -cnt/LTimer<0> ......XX.....X.......................... 3 -cnt/LTimer<3> ......XXXXX..X.......................... 6 -cnt/LTimer<2> ......XXXX...X.......................... 5 -cnt/LTimer<1> ......XXX....X.......................... 4 -iobm/IOBERR ....X...........XXXX.XXXXXXX..X......... 13 +IORW0 ...XX.XXXXXXXX..X.XX....X.XXXXX.X....... 20 +fsb/VPA XXXXXXXXXXXXXXXX..XXXXX..X...XX.XX...... 26 +nDTACK_FSB XXXXXXXXXXXXXXXX..XXXX...X...XXXXX...... 26 +iobs/IORW1 ...XX.XXXXXXXX....XX....X.XXXXX.X....... 19 +fsb/Ready1r ...XX.XXXXXXXX....XX.X...X...XX.X....... 17 +cs/nOverlay ..........XXXX...XXX..........X......... 8 +IOREQ ...XX.XXXXXXXX....XX...X..XXXXX.X....... 19 +nROMWE ..............................X.X....... 2 +iobs/Clear1 ...........................XXX.......... 3 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 31/23 +Number of signals used by logic mapping into function block: 31 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RegUrgSync 1 0 0 4 FB4_1 (b) (b) +nAoutOE 2 0 0 3 FB4_2 87 I/O O +ram/BACTr 1 0 0 4 FB4_3 (b) (b) +nRESout 1 0 0 4 FB4_4 (b) (b) +nDoutOE 1 0 0 4 FB4_5 89 I/O O +nDinOE 3 0 0 2 FB4_6 90 I/O O +fsb/ASrf 1 0 0 4 FB4_7 (b) (b) +nRES 1 0 0 4 FB4_8 91 I/O I/O +cnt/Er<1> 1 0 0 4 FB4_9 92 I/O I +cnt/TimerTC 2 0 0 3 FB4_10 (b) (b) +nVPA_FSB 1 0 0 4 FB4_11 93 I/O O +cnt/LTimer<3> 2 0 0 3 FB4_12 94 I/O I +cnt/LTimer<2> 2 0 0 3 FB4_13 (b) (b) +cnt/LTimer<1> 2 0 0 3 FB4_14 95 I/O I +RefReq 2 0 0 3 FB4_15 96 I/O I +IOU0 3 0 0 2 FB4_16 (b) (b) +IOL0 3 0 0 2 FB4_17 97 I/O I +RefUrg 5 0 0 0 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<20> 12: cnt/LTimer<2> 22: iobs/PS_FSM_FFd1 + 2: A_FSB<21> 13: cnt/Timer<0> 23: iobs/PS_FSM_FFd2 + 3: A_FSB<22> 14: cnt/Timer<1> 24: nADoutLE1 + 4: A_FSB<23> 15: cnt/Timer<2> 25: nAS_FSB + 5: RefUrg 16: cnt/TimerTC 26: nAoutOE + 6: cnt/Er<0> 17: fsb/ASrf 27: nBR_IOB + 7: cnt/Er<1> 18: fsb/VPA 28: nLDS_FSB + 8: cnt/INITS_FSM_FFd1 19: iobm/DoutOE 29: nRESout + 9: cnt/INITS_FSM_FFd2 20: iobs/IOL1 30: nUDS_FSB + 10: cnt/LTimer<0> 21: iobs/IOU1 31: nWE_FSB + 11: cnt/LTimer<1> + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RegUrgSync ....X................................... 1 +nAoutOE .......XX................XX............. 4 +ram/BACTr ................X.......X............... 2 +nRESout .......XX............................... 2 +nDoutOE ..................X......X.............. 2 +nDinOE XXXX....................X.....X......... 6 +fsb/ASrf ........................X............... 1 +nRES ............................X........... 1 +cnt/Er<1> .....X.................................. 1 +cnt/TimerTC ....XXX.....XXX......................... 6 +nVPA_FSB .................X......X............... 2 +cnt/LTimer<3> .....XX..XXX...X........................ 6 +cnt/LTimer<2> .....XX..XX....X........................ 5 +cnt/LTimer<1> .....XX..X.....X........................ 4 +RefReq ....XXX......XX......................... 5 +IOU0 ....................XXXX.....X.......... 5 +IOL0 ...................X.XXX...X............ 5 +RefUrg ....XXX.....XXXX........................ 7 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 32/22 -Number of signals used by logic mapping into function block: 32 +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RASEL 17 12<- 0 0 FB5_1 (b) (b) -nROMCS 2 2<- /\5 0 FB5_2 35 I/O O -ram/RefDone 2 0 /\2 1 FB5_3 (b) (b) -cs/nOverlay1 2 0 0 3 FB5_4 (b) (b) -nCAS 1 0 0 4 FB5_5 36 I/O O -nOE 1 0 0 4 FB5_6 37 I/O O -fsb/Ready0r 3 0 0 2 FB5_7 (b) (b) -cs/nOverlay0 3 0 \/1 1 FB5_8 39 I/O (b) -RA<4> 2 1<- \/4 0 FB5_9 40 I/O O -ram/RAMEN 9 4<- 0 0 FB5_10 (b) (b) -RA<3> 2 0 \/2 1 FB5_11 41 I/O O -RA<5> 2 2<- \/5 0 FB5_12 42 I/O O -ram/RS_FSM_FFd2 10 5<- 0 0 FB5_13 (b) (b) +(unused) 0 0 \/1 4 FB5_1 (b) (b) +nROMCS 2 1<- \/4 0 FB5_2 35 I/O O +iobs/Load1 14 9<- 0 0 FB5_3 (b) (b) +(unused) 0 0 /\5 0 FB5_4 (b) (b) +nCAS 1 0 \/1 3 FB5_5 36 I/O O +nOE 1 1<- \/5 0 FB5_6 37 I/O O +iobs/PS_FSM_FFd2 12 7<- 0 0 FB5_7 (b) (b) +ram/RS_FSM_FFd2 8 5<- /\2 0 FB5_8 39 I/O (b) +RA<4> 2 2<- /\5 0 FB5_9 40 I/O O +iobs/PS_FSM_FFd1 2 0 /\2 1 FB5_10 (b) (b) +RA<3> 2 0 0 3 FB5_11 41 I/O O +RA<5> 2 0 0 3 FB5_12 42 I/O O +(unused) 0 0 0 5 FB5_13 (b) RA<2> 2 0 \/1 2 FB5_14 43 I/O O RA<6> 2 1<- \/4 0 FB5_15 46 I/O O -(unused) 0 0 \/5 0 FB5_16 (b) (b) -ram/RAMReady 12 9<- \/2 0 FB5_17 49 I/O (b) -(unused) 0 0 \/5 0 FB5_18 (b) (b) +iobs/IOReady 4 4<- \/5 0 FB5_16 (b) (b) +iobs/Once 15 10<- 0 0 FB5_17 49 I/O (b) +(unused) 0 0 /\5 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<12> 12: A_FSB<5> 23: ram/RAMEN - 2: A_FSB<13> 13: A_FSB<6> 24: ram/RAMReady - 3: A_FSB<14> 14: A_FSB<7> 25: ram/RASEL - 4: A_FSB<15> 15: nRES.PIN 26: ram/RS_FSM_FFd1 - 5: A_FSB<16> 16: cs/nOverlay0 27: ram/RS_FSM_FFd2 - 6: A_FSB<20> 17: cs/nOverlay1 28: ram/RS_FSM_FFd3 - 7: A_FSB<21> 18: fsb/ASrf 29: ram/RefDone - 8: A_FSB<22> 19: fsb/Ready0r 30: ram/RefReq - 9: A_FSB<23> 20: nAS_FSB 31: ram/RefReqSync - 10: A_FSB<3> 21: nWE_FSB 32: ram/RefUrgent - 11: A_FSB<4> 22: ram/BACTr + 1: A_FSB<12> 13: A_FSB<3> 24: iobs/PS_FSM_FFd1 + 2: A_FSB<13> 14: A_FSB<4> 25: iobs/PS_FSM_FFd2 + 3: A_FSB<14> 15: A_FSB<5> 26: nADoutLE1 + 4: A_FSB<15> 16: A_FSB<6> 27: nAS_FSB + 5: A_FSB<16> 17: A_FSB<7> 28: nWE_FSB + 6: A_FSB<17> 18: IOBERR 29: ram/RAMEN + 7: A_FSB<18> 19: cs/nOverlay 30: ram/RASEL + 8: A_FSB<19> 20: fsb/ASrf 31: ram/RS_FSM_FFd1 + 9: A_FSB<20> 21: iobs/IOACTr 32: ram/RS_FSM_FFd2 + 10: A_FSB<21> 22: iobs/IOReady 33: ram/RS_FSM_FFd3 + 11: A_FSB<22> 23: iobs/Once 34: ram/RefUrg + 12: A_FSB<23> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL ......XXX.......XX.X.XX..XXX.X.X........ 13 -nROMCS .....XXXX.......X....................... 5 -ram/RefDone .........................XX.X.X......... 4 -cs/nOverlay1 ...............X.X.X.................... 3 -nCAS ........................X............... 1 -nOE ...................XX................... 2 -fsb/Ready0r ......XXX.......XXXX...X................ 8 -cs/nOverlay0 .....XXXX.....XX.X.X.................... 8 -RA<4> ..X........X............X............... 3 -ram/RAMEN ......XXX.......XX.X.XX..XXX.X.X........ 13 -RA<3> .X........X.............X............... 3 -RA<5> ...X........X...........X............... 3 -ram/RS_FSM_FFd2 ......XXX.......XX.X.X...XXX.X.X........ 12 -RA<2> X........X..............X............... 3 -RA<6> ....X........X..........X............... 3 -ram/RAMReady ......XXX.......XX.X.XX..XXX.X.X........ 13 +nROMCS ........XXXX......X..................... 5 +iobs/Load1 .XX.XXXXXXXX......XX..XXXXXX............ 18 +nCAS .............................X.......... 1 +nOE ..........................XX............ 2 +iobs/PS_FSM_FFd2 .XX.XXXXXXXX......XXX.XXXXXX............ 19 +ram/RS_FSM_FFd2 ..........XX......XX......X.X.XXXX...... 10 +RA<4> ..X...........X..............X.......... 3 +iobs/PS_FSM_FFd1 ....................X..XX............... 3 +RA<3> .X...........X...............X.......... 3 +RA<5> ...X...........X.............X.......... 3 +RA<2> X...........X................X.......... 3 +RA<6> ....X...........X............X.......... 3 +iobs/IOReady .................X.XXXX.XXX............. 8 +iobs/Once .XX.XXXXXXXX......XX..XXXXXX............ 18 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 32/22 -Number of signals used by logic mapping into function block: 32 +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use iobm/ETACK 1 0 0 4 FB6_1 (b) (b) nVMA_IOB 3 0 0 2 FB6_2 74 I/O O -iobm/DoutOE 2 0 0 3 FB6_3 (b) (b) -iobm/ALE0 2 0 0 3 FB6_4 (b) (b) -iobm/IOS_FSM_FFd3 3 0 0 2 FB6_5 76 I/O I -iobm/ES<3> 3 0 0 2 FB6_6 77 I/O I -iobm/ES<1> 3 0 0 2 FB6_7 (b) (b) -iobm/ES<0> 3 0 0 2 FB6_8 78 I/O I +iobm/IOS_FSM_FFd3 3 0 0 2 FB6_3 (b) (b) +iobm/ES<3> 3 0 0 2 FB6_4 (b) (b) +iobm/ES<1> 3 0 0 2 FB6_5 76 I/O I +iobm/ES<0> 3 0 0 2 FB6_6 77 I/O I +iobm/DoutOE 3 0 0 2 FB6_7 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I nLDS_IOB 4 0 0 1 FB6_9 79 I/O O -iobm/ES<4> 4 0 0 1 FB6_10 (b) (b) +iobm/IOS_FSM_FFd2 5 0 0 0 FB6_10 (b) (b) nUDS_IOB 4 0 0 1 FB6_11 80 I/O O nAS_IOB 3 0 0 2 FB6_12 81 I/O O -iobm/IOS_FSM_FFd2 5 0 0 0 FB6_13 (b) (b) +iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) nADoutLE1 2 0 0 3 FB6_14 82 I/O O -nADoutLE0 1 0 0 4 FB6_15 85 I/O O -iobm/ES<2> 5 0 0 0 FB6_16 (b) (b) -nDinLE 1 0 \/1 3 FB6_17 86 I/O O -iobm/IOACT 6 1<- 0 0 FB6_18 (b) (b) +nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O +IOACT 6 1<- 0 0 FB6_16 (b) (b) +nDinLE 1 0 \/4 0 FB6_17 86 I/O O +IOBERR 9 4<- 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 12: iobm/ETACK 23: iobm/VPArr - 2: iobm/ALE0 13: iobm/Er 24: iobs/ALE0 - 3: iobm/BERRrf 14: iobm/Er2 25: iobs/Clear1 - 4: iobm/BERRrr 15: iobm/IOACT 26: iobs/IOL0 - 5: iobm/DTACKrf 16: iobm/IOREQr 27: iobs/IORW0 - 6: iobm/DTACKrr 17: iobm/IOS_FSM_FFd1 28: iobs/IOU0 - 7: iobm/ES<0> 18: iobm/IOS_FSM_FFd2 29: iobs/Load1 - 8: iobm/ES<1> 19: iobm/IOS_FSM_FFd3 30: nADoutLE1 - 9: iobm/ES<2> 20: iobm/RESrf 31: nAoutOE - 10: iobm/ES<3> 21: iobm/RESrr 32: nVMA_IOB - 11: iobm/ES<4> 22: iobm/VPArf + 1: ALE0M 13: iobm/ES<0> 24: iobm/IOS_FSM_FFd3 + 2: ALE0S 14: iobm/ES<1> 25: iobm/RESrf + 3: C8M 15: iobm/ES<2> 26: iobm/RESrr + 4: IOACT 16: iobm/ES<3> 27: iobm/VPArf + 5: IOBERR 17: iobm/ES<4> 28: iobm/VPArr + 6: IOL0 18: iobm/ETACK 29: iobs/Clear1 + 7: IORW0 19: iobm/Er 30: iobs/Load1 + 8: IOU0 20: iobm/Er2 31: nADoutLE1 + 9: iobm/BERRrf 21: iobm/IOREQr 32: nAoutOE + 10: iobm/BERRrr 22: iobm/IOS_FSM_FFd1 33: nBERR_IOB + 11: iobm/DTACKrf 23: iobm/IOS_FSM_FFd2 34: nVMA_IOB + 12: iobm/DTACKrr Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/ETACK ......XXXXX....................X........ 6 -nVMA_IOB ......XXXXX...X......XX.......XX........ 10 -iobm/DoutOE .................XX.......X............. 3 -iobm/ALE0 ...............XXXX..................... 4 -iobm/IOS_FSM_FFd3 X..............XXXX...........X......... 6 -iobm/ES<3> ......XXXX..XX.......................... 6 -iobm/ES<1> ......XX....XX.......................... 4 -iobm/ES<0> ......XXXXX.XX.......................... 7 -nLDS_IOB ................XXX......XX...X......... 6 -iobm/ES<4> ......XXXXX.XX.......................... 7 -nUDS_IOB ................XXX.......XX..X......... 6 -nAS_IOB ................XXX...........X......... 4 -iobm/IOS_FSM_FFd2 X.XXXX.....X....XXXXX................... 11 -nADoutLE1 ........................X...XX.......... 3 -nADoutLE0 .X.....................X................ 2 -iobm/ES<2> ......XXXXX.XX.......................... 7 -nDinLE ................XX...................... 2 -iobm/IOACT X.XXXX.....X...XXXXXX................... 12 +iobm/ETACK ............XXXXX................X...... 6 +nVMA_IOB ...X........XXXXX.........XX...X.X...... 10 +iobm/IOS_FSM_FFd3 ..X.................XXXX.......X........ 6 +iobm/ES<3> ............XXXX..XX.................... 6 +iobm/ES<1> ............XX....XX.................... 4 +iobm/ES<0> ............XXXXX.XX.................... 7 +iobm/DoutOE ......X.............XXXX.......X........ 6 +iobm/ES<4> ............XXXXX.XX.................... 7 +nLDS_IOB .....XX..............XXX.......X........ 6 +iobm/IOS_FSM_FFd2 ..X.....XXXX.....X...XXXXX.............. 11 +nUDS_IOB ......XX.............XXX.......X........ 6 +nAS_IOB .....................XXX.......X........ 4 +iobm/ES<2> ............XXXXX.XX.................... 7 +nADoutLE1 ............................XXX......... 3 +nADoutLE0 XX...................................... 2 +IOACT ..X.....XXXX.....X..XXXXXX.............. 12 +nDinLE .....................XX................. 2 +IOBERR ..X.X...XXXX.....X...XXXXX......X....... 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 30/24 -Number of signals used by logic mapping into function block: 30 +Number of function block inputs used/remaining: 31/23 +Number of signals used by logic mapping into function block: 31 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimer<13> 3 0 0 2 FB7_1 (b) (b) +cnt/LTimerTC 2 0 0 3 FB7_1 (b) (b) RA<1> 2 0 0 3 FB7_2 50 I/O O -cnt/LTimer<9> 4 0 0 1 FB7_3 (b) (b) -cnt/LTimer<8> 4 0 0 1 FB7_4 (b) (b) +cnt/LTimer<9> 2 0 0 3 FB7_3 (b) (b) +cnt/LTimer<8> 2 0 0 3 FB7_4 (b) (b) RA<7> 2 0 0 3 FB7_5 52 I/O O RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/LTimer<7> 4 0 \/1 0 FB7_7 (b) (b) -RA<8> 7 2<- 0 0 FB7_8 54 I/O O -RA<10> 1 0 /\1 3 FB7_9 55 I/O O -cnt/LTimer<6> 4 0 0 1 FB7_10 (b) (b) +cnt/LTimer<7> 2 0 0 3 FB7_7 (b) (b) +RA<8> 5 0 0 0 FB7_8 54 I/O O +RA<10> 1 0 0 4 FB7_9 55 I/O O +cnt/LTimer<6> 2 0 0 3 FB7_10 (b) (b) RA<9> 2 0 0 3 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/LTimer<5> 4 0 0 1 FB7_13 (b) (b) +cnt/LTimer<5> 2 0 0 3 FB7_13 (b) (b) C20MEN 0 0 0 5 FB7_14 59 I/O O -cnt/LTimer<4> 4 0 0 1 FB7_15 60 I/O (b) -cnt/LTimer<12> 4 0 0 1 FB7_16 (b) (b) -cnt/LTimer<11> 4 0 0 1 FB7_17 61 I/O (b) -cnt/LTimer<10> 4 0 0 1 FB7_18 (b) (b) +cnt/LTimer<4> 2 0 0 3 FB7_15 60 I/O (b) +cnt/LTimer<12> 2 0 0 3 FB7_16 (b) (b) +cnt/LTimer<11> 2 0 0 3 FB7_17 61 I/O (b) +cnt/LTimer<10> 2 0 0 3 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 11: A_FSB<2> 21: cnt/LTimer<3> - 2: A_FSB<11> 12: A_FSB<8> 22: cnt/LTimer<4> - 3: A_FSB<17> 13: A_FSB<9> 23: cnt/LTimer<5> - 4: A_FSB<18> 14: cnt/LTimer<0> 24: cnt/LTimer<6> - 5: A_FSB<19> 15: cnt/LTimer<10> 25: cnt/LTimer<7> - 6: A_FSB<1> 16: cnt/LTimer<11> 26: cnt/LTimer<8> - 7: A_FSB<20> 17: cnt/LTimer<12> 27: cnt/LTimer<9> - 8: A_FSB<21> 18: cnt/LTimer<13> 28: cnt/TimerTC - 9: A_FSB<22> 19: cnt/LTimer<1> 29: cs/nOverlay1 - 10: A_FSB<23> 20: cnt/LTimer<2> 30: ram/RASEL + 1: A_FSB<10> 12: A_FSB<8> 22: cnt/LTimer<3> + 2: A_FSB<11> 13: A_FSB<9> 23: cnt/LTimer<4> + 3: A_FSB<17> 14: cnt/Er<0> 24: cnt/LTimer<5> + 4: A_FSB<18> 15: cnt/Er<1> 25: cnt/LTimer<6> + 5: A_FSB<19> 16: cnt/LTimer<0> 26: cnt/LTimer<7> + 6: A_FSB<1> 17: cnt/LTimer<10> 27: cnt/LTimer<8> + 7: A_FSB<20> 18: cnt/LTimer<11> 28: cnt/LTimer<9> + 8: A_FSB<21> 19: cnt/LTimer<12> 29: cnt/TimerTC + 9: A_FSB<22> 20: cnt/LTimer<1> 30: cs/nOverlay + 10: A_FSB<23> 21: cnt/LTimer<2> 31: ram/RASEL + 11: A_FSB<2> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<13> .............XXXXXXXXXXXXXXX............ 15 -RA<1> .X........X..................X.......... 3 -cnt/LTimer<9> .............X...XXXXXXXXXXX............ 12 -cnt/LTimer<8> .............X...XXXXXXXXX.X............ 11 -RA<7> ..X........X.................X.......... 3 -RA<0> X....X.......................X.......... 3 -cnt/LTimer<7> .............X...XXXXXXXX..X............ 10 -RA<8> ...X...XXX..X...............XX.......... 7 +cnt/LTimerTC .............XXXXXXXXXXXXXXXX........... 16 +RA<1> .X........X...................X......... 3 +cnt/LTimer<9> .............XXX...XXXXXXXX.X........... 12 +cnt/LTimer<8> .............XXX...XXXXXXX..X........... 11 +RA<7> ..X........X..................X......... 3 +RA<0> X....X........................X......... 3 +cnt/LTimer<7> .............XXX...XXXXXX...X........... 10 +RA<8> ...X....XX..X................XX......... 6 RA<10> .......X................................ 1 -cnt/LTimer<6> .............X...XXXXXXX...X............ 9 -RA<9> ....X.X......................X.......... 3 +cnt/LTimer<6> .............XXX...XXXXX....X........... 9 +RA<9> ....X.X.......................X......... 3 C25MEN ........................................ 0 -cnt/LTimer<5> .............X...XXXXXX....X............ 8 +cnt/LTimer<5> .............XXX...XXXX.....X........... 8 C20MEN ........................................ 0 -cnt/LTimer<4> .............X...XXXXX.....X............ 7 -cnt/LTimer<12> .............XXXXXXXXXXXXXXX............ 15 -cnt/LTimer<11> .............XXX.XXXXXXXXXXX............ 14 -cnt/LTimer<10> .............XX..XXXXXXXXXXX............ 13 +cnt/LTimer<4> .............XXX...XXX......X........... 7 +cnt/LTimer<12> .............XXXXX.XXXXXXXXXX........... 15 +cnt/LTimer<11> .............XXXX..XXXXXXXXXX........... 14 +cnt/LTimer<10> .............XXX...XXXXXXXXXX........... 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/Once 15 10<- 0 0 FB8_1 (b) (b) +ram/RASEL 14 9<- 0 0 FB8_1 (b) (b) RA<11> 1 1<- /\5 0 FB8_2 63 I/O O -iobs/Clear1 1 0 /\1 3 FB8_3 (b) (b) -iobs/ALE0 1 0 0 4 FB8_4 (b) (b) -nRAS 3 0 0 2 FB8_5 64 I/O O +cnt/Timer<2> 5 1<- /\1 0 FB8_3 (b) (b) +cnt/Timer<0> 2 0 /\1 2 FB8_4 (b) (b) +nRAS 0 0 0 5 FB8_5 64 I/O O nRAMLWE 1 0 0 4 FB8_6 65 I/O O -iobs/PS_FSM_FFd1 2 0 \/1 2 FB8_7 (b) (b) -nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O -ram/RS_FSM_FFd1 8 5<- \/2 0 FB8_9 67 I/O (b) -(unused) 0 0 \/5 0 FB8_10 (b) (b) -ram/RS_FSM_FFd3 9 7<- \/3 0 FB8_11 68 I/O (b) -nBERR_FSB 4 3<- \/4 0 FB8_12 70 I/O O +cnt/INITS_FSM_FFd2 2 0 0 3 FB8_7 (b) (b) +nRAMUWE 1 0 0 4 FB8_8 66 I/O O +fsb/Ready0r 2 0 \/2 1 FB8_9 67 I/O (b) +cnt/Timer<1> 4 2<- \/3 0 FB8_10 (b) (b) +ram/RAMReady 9 4<- 0 0 FB8_11 68 I/O (b) +nBERR_FSB 4 0 /\1 0 FB8_12 70 I/O O (unused) 0 0 \/5 0 FB8_13 (b) (b) -iobs/PS_FSM_FFd2 12 9<- \/2 0 FB8_14 71 I/O (b) -nBR_IOB 2 2<- \/5 0 FB8_15 72 I/O O -iobs/Load1 14 9<- 0 0 FB8_16 (b) (b) -ram/BACTr 1 0 /\4 0 FB8_17 73 I/O (b) -(unused) 0 0 \/5 0 FB8_18 (b) (b) +ram/RAMEN 9 5<- \/1 0 FB8_14 71 I/O (b) +nBR_IOB 2 1<- \/4 0 FB8_15 72 I/O O +ram/RS_FSM_FFd3 13 8<- 0 0 FB8_16 (b) (b) +cnt/INITS_FSM_FFd1 1 0 /\4 0 FB8_17 73 I/O (b) +cnt/LTimer<0> 1 0 \/4 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 12: cnt/PORS_FSM_FFd1 23: nBERR_FSB - 2: A_FSB<14> 13: cnt/PORS_FSM_FFd2 24: nBR_IOB - 3: A_FSB<16> 14: cs/nOverlay1 25: nLDS_FSB - 4: A_FSB<17> 15: fsb/ASrf 26: nUDS_FSB - 5: A_FSB<18> 16: iobm/IOBERR 27: nWE_FSB - 6: A_FSB<19> 17: iobs/IOACTr 28: ram/RAMEN - 7: A_FSB<20> 18: iobs/Once 29: ram/RS_FSM_FFd1 - 8: A_FSB<21> 19: iobs/PS_FSM_FFd1 30: ram/RS_FSM_FFd2 - 9: A_FSB<22> 20: iobs/PS_FSM_FFd2 31: ram/RS_FSM_FFd3 - 10: A_FSB<23> 21: nADoutLE1 32: ram/RefRAS - 11: cnt/IPL2r 22: nAS_FSB 33: ram/RefUrgent + 1: A_FSB<19> 13: cnt/TimerTC 25: nLDS_FSB + 2: A_FSB<22> 14: cnt/nIPL2r 26: nUDS_FSB + 3: A_FSB<23> 15: cs/nOverlay 27: nWE_FSB + 4: IOBERR 16: fsb/ASrf 28: ram/BACTr + 5: cnt/Er<0> 17: fsb/Ready0r 29: ram/RAMEN + 6: cnt/Er<1> 18: iobs/IOACTr 30: ram/RAMReady + 7: cnt/INITS_FSM_FFd1 19: iobs/Once 31: ram/RS_FSM_FFd1 + 8: cnt/INITS_FSM_FFd2 20: iobs/PS_FSM_FFd2 32: ram/RS_FSM_FFd2 + 9: cnt/LTimerTC 21: nADoutLE1 33: ram/RS_FSM_FFd3 + 10: cnt/Timer<0> 22: nAS_FSB 34: ram/RefReq + 11: cnt/Timer<1> 23: nBERR_FSB 35: ram/RefUrg + 12: cnt/Timer<2> 24: nBR_IOB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/Once XXXXXXXXXX...XX..XXXXX....X............. 18 -RA<11> .....X.................................. 1 -iobs/Clear1 ..................XXX................... 3 -iobs/ALE0 ..................XX.................... 2 -nRAS .......XXX...X.......X.....X...X........ 7 -nRAMLWE .....................X..X.XX............ 4 -iobs/PS_FSM_FFd1 ................X.XX.................... 3 -nRAMUWE .....................X...XXX............ 4 -ram/RS_FSM_FFd1 .......XXX...XX......X.....XXXX.X....... 11 -ram/RS_FSM_FFd3 .......XXX...XX......X.....XXXX.X....... 11 -nBERR_FSB ..............XXXX.XXXX................. 8 -iobs/PS_FSM_FFd2 XXXXXXXXXX...XX.XXXXXX....X............. 19 -nBR_IOB ..........XXX..........X................ 4 -iobs/Load1 XXXXXXXXXX...XX..XXXXX....X............. 18 -ram/BACTr ..............X......X.................. 2 +ram/RASEL .XX...........XX.....X.....XX.XXXXX..... 12 +RA<11> X....................................... 1 +cnt/Timer<2> ....XX...XXXX........................... 6 +cnt/Timer<0> ....XX...X..X........................... 4 +nRAS ........................................ 0 +nRAMLWE .....................X..X.X.X........... 4 +cnt/INITS_FSM_FFd2 ....XXXXX...X........................... 6 +nRAMUWE .....................X...XX.X........... 4 +fsb/Ready0r .XX...........XXX....X.......X.......... 7 +cnt/Timer<1> ....XX...XX.X........................... 5 +ram/RAMReady .XX...........XX.....X.....XX.XXXXX..... 12 +nBERR_FSB ...X...........X.XXXXXX................. 8 +ram/RAMEN .XX...........XX.....X.....XX.XXXXX..... 12 +nBR_IOB ......XX.....X.........X................ 4 +ram/RS_FSM_FFd3 .XX...........XX.....X.....XX.XXXXX..... 12 +cnt/INITS_FSM_FFd1 ....XXXXX...XX.......................... 7 +cnt/LTimer<0> ....XX......X........................... 3 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** +FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); +ALE0M_D <= ((iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOREQr)); -assign C20MEN = 1'b1; +FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,FCLK,'0','0'); +ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); -assign C25MEN = 1'b1; +C20MEN <= '1'; +C25MEN <= '1'; @@ -751,855 +755,831 @@ assign C25MEN = 1'b1; - - - - - -assign RA[0] = ((A_FSB[10] && !ram/RASEL) - || (ram/RASEL && A_FSB[1])); - - -assign RA[1] = ((A_FSB[11] && !ram/RASEL) - || (ram/RASEL && A_FSB[2])); - - -assign RA[2] = ((A_FSB[12] && !ram/RASEL) - || (ram/RASEL && A_FSB[3])); - - -assign RA[3] = ((A_FSB[13] && !ram/RASEL) - || (ram/RASEL && A_FSB[4])); - - -assign RA[4] = ((ram/RASEL && A_FSB[5]) - || (A_FSB[14] && !ram/RASEL)); - - -assign RA[5] = ((A_FSB[15] && !ram/RASEL) - || (ram/RASEL && A_FSB[6])); - - -assign RA[6] = ((ram/RASEL && A_FSB[7]) - || (A_FSB[16] && !ram/RASEL)); - - -assign RA[7] = ((A_FSB[8] && ram/RASEL) - || (A_FSB[17] && !ram/RASEL)); - - -assign RA[8] = ((A_FSB[9] && !A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - ram/RASEL) - || (A_FSB[9] && !A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && ram/RASEL) - || (A_FSB[23] && A_FSB[18]) - || (A_FSB[18] && !ram/RASEL) - || (A_FSB[22] && !A_FSB[21] && A_FSB[18]) - || (A_FSB[22] && A_FSB[18] && cs/nOverlay1) - || (!A_FSB[22] && A_FSB[18] && !cs/nOverlay1)); - - -assign RA[9] = ((A_FSB[20] && ram/RASEL) - || (A_FSB[19] && !ram/RASEL)); - - -assign RA[10] = A_FSB[21]; - - -assign RA[11] = A_FSB[19]; - -FDCPE FDCPE_cnt/IPL2r (cnt/IPL2r,!nIPL2,!C8M,1'b0,1'b0); - -FTCPE FTCPE_cnt/LTimer0 (cnt/LTimer[0],cnt/LTimer_T[0],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[0] = (!cnt/LTimer[0] && cnt/LTimer[13] && cnt/TimerTC); - -FDCPE FDCPE_cnt/LTimer1 (cnt/LTimer[1],cnt/LTimer_D[1],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_D[1] = ((cnt/LTimer[0] && cnt/LTimer[1]) - || (!cnt/LTimer[0] && !cnt/LTimer[1]) - || (cnt/LTimer[13] && cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer2 (cnt/LTimer[2],cnt/LTimer_T[2],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[2] = ((cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1]) - || (cnt/LTimer[0] && cnt/LTimer[1] && !cnt/TimerTC) - || (cnt/LTimer[13] && cnt/LTimer[2] && cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer3 (cnt/LTimer[3],cnt/LTimer_T[3],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[3] = ((cnt/LTimer[13] && cnt/LTimer[3] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer4 (cnt/LTimer[4],cnt/LTimer_T[4],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[4] = ((cnt/LTimer[13] && cnt/LTimer[4] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer5 (cnt/LTimer[5],cnt/LTimer_T[5],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[5] = ((cnt/LTimer[13] && cnt/LTimer[5] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[4]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[4] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer6 (cnt/LTimer[6],cnt/LTimer_T[6],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[6] = ((cnt/LTimer[13] && cnt/LTimer[6] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer7 (cnt/LTimer[7],cnt/LTimer_T[7],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[7] = ((cnt/LTimer[13] && cnt/LTimer[7] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && - cnt/LTimer[6]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer8 (cnt/LTimer[8],cnt/LTimer_T[8],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[8] = ((cnt/LTimer[13] && cnt/LTimer[8] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && - cnt/LTimer[6] && cnt/LTimer[7]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - cnt/LTimer[7] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer9 (cnt/LTimer[9],cnt/LTimer_T[9],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[9] = ((cnt/LTimer[13] && cnt/LTimer[9] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && - cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[8]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - cnt/LTimer[7] && cnt/LTimer[8] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer10 (cnt/LTimer[10],cnt/LTimer_T[10],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[10] = ((cnt/LTimer[13] && cnt/LTimer[10] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && - cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[8]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[8] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer11 (cnt/LTimer[11],cnt/LTimer_T[11],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[11] = ((cnt/LTimer[13] && cnt/LTimer[11] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && - cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && - cnt/LTimer[8]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[8] && - !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer12 (cnt/LTimer[12],cnt/LTimer_T[12],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[12] = ((cnt/LTimer[13] && cnt/LTimer[12] && cnt/TimerTC) - || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && - cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && - cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && - cnt/LTimer[11] && cnt/LTimer[8]) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[11] && - cnt/LTimer[8] && !cnt/TimerTC)); - -FTCPE FTCPE_cnt/LTimer13 (cnt/LTimer[13],cnt/LTimer_T[13],!C8M,1'b0,1'b0,cnt/TimerTC); -assign cnt/LTimer_T[13] = ((cnt/LTimer[13] && cnt/TimerTC) - || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && - cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && - cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[11] && - cnt/LTimer[8] && cnt/LTimer[12])); - -FTCPE FTCPE_cnt/PORS_FSM_FFd1 (cnt/PORS_FSM_FFd1,cnt/PORS_FSM_FFd1_T,!C8M,1'b0,1'b0); -assign cnt/PORS_FSM_FFd1_T = (cnt/LTimer[13] && !cnt/PORS_FSM_FFd1 && - cnt/PORS_FSM_FFd2 && !cnt/IPL2r); - -FDCPE FDCPE_cnt/PORS_FSM_FFd2 (cnt/PORS_FSM_FFd2,cnt/PORS_FSM_FFd2_D,!C8M,1'b0,1'b0); -assign cnt/PORS_FSM_FFd2_D = ((cnt/LTimer[13] && !cnt/PORS_FSM_FFd1) - || (!cnt/LTimer[13] && cnt/PORS_FSM_FFd2)); - -FDCPE FDCPE_cnt/RefReq (cnt/RefReq,cnt/RefReq_D,E,1'b0,1'b0); -assign cnt/RefReq_D = ((cnt/Timer[1] && !cnt/Timer[3]) - || (cnt/Timer[2] && !cnt/Timer[3]) - || (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && - cnt/Timer[3])); - -FDCPE FDCPE_cnt/RefUrgent (cnt/RefUrgent,cnt/RefUrgent_D,E,1'b0,1'b0); -assign cnt/RefUrgent_D = ((cnt/Timer[1] && cnt/Timer[2] && !cnt/Timer[3]) - || (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && - cnt/Timer[3])); - -FDCPE FDCPE_cnt/Timer0 (cnt/Timer[0],cnt/Timer_D[0],E,1'b0,1'b0); -assign cnt/Timer_D[0] = (!cnt/TimerTC && !cnt/Timer[0]); - -FDCPE FDCPE_cnt/Timer1 (cnt/Timer[1],cnt/Timer_D[1],E,1'b0,1'b0); -assign cnt/Timer_D[1] = ((!cnt/TimerTC && cnt/Timer[1] && !cnt/Timer[0]) - || (!cnt/TimerTC && !cnt/Timer[1] && cnt/Timer[0])); - -FTCPE FTCPE_cnt/Timer2 (cnt/Timer[2],cnt/Timer_T[2],E,1'b0,1'b0); -assign cnt/Timer_T[2] = ((cnt/TimerTC && cnt/Timer[2]) - || (!cnt/TimerTC && cnt/Timer[1] && cnt/Timer[0])); - -FTCPE FTCPE_cnt/Timer3 (cnt/Timer[3],cnt/Timer_T[3],E,1'b0,1'b0); -assign cnt/Timer_T[3] = ((cnt/TimerTC && cnt/Timer[3]) - || (!cnt/TimerTC && cnt/Timer[1] && cnt/Timer[2] && - cnt/Timer[0])); - -FDCPE FDCPE_cnt/TimerTC (cnt/TimerTC,cnt/TimerTC_D,E,1'b0,1'b0); -assign cnt/TimerTC_D = (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && - cnt/Timer[3]); - -FDCPE FDCPE_cnt/nRESout (cnt/nRESout,cnt/nRESout_D,!C8M,1'b0,1'b0); -assign cnt/nRESout_D = ((cnt/LTimer[13] && cnt/PORS_FSM_FFd1 && - !cnt/PORS_FSM_FFd2) - || (cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2 && - cnt/nRESout)); - -FTCPE FTCPE_cs/nOverlay0 (cs/nOverlay0,cs/nOverlay0_T,FCLK,!nRES.PIN,1'b0); -assign cs/nOverlay0_T = ((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && - !cs/nOverlay0 && !nAS_FSB) - || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && - !cs/nOverlay0 && fsb/ASrf)); - -FDCPE FDCPE_cs/nOverlay1 (cs/nOverlay1,cs/nOverlay0,FCLK,1'b0,1'b0,cs/nOverlay1_CE); -assign cs/nOverlay1_CE = (nAS_FSB && !fsb/ASrf); - -FDCPE FDCPE_fsb/ASrf (fsb/ASrf,!nAS_FSB,!FCLK,1'b0,1'b0); - -FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,FCLK,1'b0,1'b0); -assign fsb/Ready0r_D = ((nAS_FSB && !fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !fsb/Ready0r && !ram/RAMReady) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady)); - -FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,FCLK,1'b0,1'b0); -assign fsb/Ready1r_D = ((A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) - || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) - || (nAS_FSB && !fsb/ASrf) - || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady) - || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && - !iobs/IOReady) - || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && - !iobs/IOReady)); - -FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,FCLK,1'b0,1'b0); -assign fsb/VPA_D = ((EXP15_.EXP) - || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1) - || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1) - || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1) - || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1) - || (A_FSB[9] && A_FSB[8] && A_FSB[15] && A_FSB[14] && - A_FSB[13] && A_FSB[12] && A_FSB[11] && A_FSB[10] && A_FSB[23] && - A_FSB[22] && A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && - A_FSB[17] && A_FSB[16] && iobs/IOReady && !nAS_FSB) - || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) - || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && !nAS_FSB) - || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && fsb/ASrf) - || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && !nAS_FSB) - || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && fsb/ASrf) - || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA && - !iobs/IOReady && !nAS_FSB)); - -FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,C16M,1'b0,1'b0); -assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) - || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && - !iobm/IOREQr)); - -FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/DoutOE (iobm/DoutOE,iobm/DoutOE_D,C16M,1'b0,1'b0); -assign iobm/DoutOE_D = ((iobs/IORW0 && iobm/IOS_FSM_FFd3) - || (iobs/IORW0 && iobm/IOS_FSM_FFd2)); - -FTCPE FTCPE_iobm/ES0 (iobm/ES[0],iobm/ES_T[0],C16M,1'b0,1'b0); -assign iobm/ES_T[0] = ((iobm/ES[0] && !iobm/Er && iobm/Er2) - || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && - !iobm/ES[3] && !iobm/ES[4] && iobm/Er) - || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && - !iobm/ES[3] && !iobm/ES[4] && !iobm/Er2)); - -FDCPE FDCPE_iobm/ES1 (iobm/ES[1],iobm/ES_D[1],C16M,1'b0,1'b0); -assign iobm/ES_D[1] = ((iobm/ES[0] && iobm/ES[1]) - || (!iobm/ES[0] && !iobm/ES[1]) - || (!iobm/Er && iobm/Er2)); - -FDCPE FDCPE_iobm/ES2 (iobm/ES[2],iobm/ES_D[2],C16M,1'b0,1'b0); -assign iobm/ES_D[2] = ((!iobm/ES[0] && !iobm/ES[2]) - || (!iobm/ES[1] && !iobm/ES[2]) - || (!iobm/Er && iobm/Er2) - || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2]) - || (!iobm/ES[2] && !iobm/ES[3] && iobm/ES[4])); - -FTCPE FTCPE_iobm/ES3 (iobm/ES[3],iobm/ES_T[3],C16M,1'b0,1'b0); -assign iobm/ES_T[3] = ((iobm/ES[3] && !iobm/Er && iobm/Er2) - || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && iobm/Er) - || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && !iobm/Er2)); - -FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],C16M,1'b0,1'b0); -assign iobm/ES_T[4] = ((iobm/ES[4] && !iobm/Er && iobm/Er2) - || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && - iobm/ES[3] && iobm/Er) - || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && - iobm/ES[3] && !iobm/Er2) - || (iobm/ES[0] && iobm/ES[1] && !iobm/ES[2] && - !iobm/ES[3] && iobm/ES[4])); - -FDCPE FDCPE_iobm/ETACK (iobm/ETACK,iobm/ETACK_D,C16M,1'b0,1'b0); -assign iobm/ETACK_D = (!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && - !iobm/ES[3] && iobm/ES[4]); - -FDCPE FDCPE_iobm/Er (iobm/Er,E,!C8M,1'b0,1'b0); - -FDCPE FDCPE_iobm/Er2 (iobm/Er2,iobm/Er,C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,C16M,1'b0,1'b0); -assign iobm/IOACT_D = ((C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/RESrf && iobm/RESrr) - || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) - || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && - !iobm/IOREQr) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0'); +IOACT_D <= ((C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/RESrf AND iobm/RESrr) + OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOREQr) + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND iobm/ETACK) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/BERRrf && iobm/BERRrr) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/DTACKrf && iobm/DTACKrr)); + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/DTACKrf AND iobm/DTACKrr) + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/BERRrf AND iobm/BERRrr)); -FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0); -assign iobm/IOBERR_T = ((C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf && - iobm/BERRrr) - || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/DTACKrf && +FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,C16M,'0','0'); +IOBERR_T <= ((C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/RESrf AND + iobm/RESrr) + OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) - || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf && - iobm/RESrr) - || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && - iobm/RESrr) - || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && - !iobm/IOS_FSM_FFd2 && iobm/IOBERR) - || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/ETACK) - || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/ETACK) - || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/BERRrf && + OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/BERRrf AND iobm/BERRrr) - || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/DTACKrf && - iobm/DTACKrr)); + OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/RESrf AND + iobm/RESrr) + OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND + NOT iobm/IOS_FSM_FFd2 AND IOBERR) + OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/ETACK) + OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/ETACK) + OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/DTACKrf AND + iobm/DTACKrr) + OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/BERRrf AND + iobm/BERRrr)); -FDCPE FDCPE_iobm/IOREQr (iobm/IOREQr,iobs/IOREQ,!C16M,1'b0,1'b0); +FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,FCLK,'0','0',IOL0_CE); +IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) + OR (iobs/IOL1 AND NOT nADoutLE1)); +IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); -FDCPE FDCPE_iobm/IOS_FSM_FFd1 (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C16M,1'b0,1'b0); -assign iobm/IOS_FSM_FFd1_D = ((iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1) - || (!iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2)); +FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,FCLK,'0','0'); +IOREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd1) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr) + OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf)); -FTCPE FTCPE_iobm/IOS_FSM_FFd2 (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,C16M,1'b0,1'b0); -assign iobm/IOS_FSM_FFd2_T = ((iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && - !iobm/IOS_FSM_FFd2) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/IOS_FSM_FFd2 && iobm/ETACK) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/IOS_FSM_FFd2 && iobm/BERRrf && iobm/BERRrr) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/IOS_FSM_FFd2 && iobm/DTACKrf && iobm/DTACKrr) - || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && - iobm/IOS_FSM_FFd2 && iobm/RESrf && iobm/RESrr)); - -FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,1'b0,1'b0); -assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2) - || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && - !iobm/IOS_FSM_FFd2) - || (!C8M && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && - iobm/IOREQr && !nAoutOE)); - -FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES.PIN,!C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/RESrr (iobm/RESrr,!nRES.PIN,C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!C16M,1'b0,1'b0); - -FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,C16M,1'b0,1'b0); - -FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,FCLK,1'b0,1'b0); -assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); - -FDCPE FDCPE_iobs/Clear1 (iobs/Clear1,iobs/Clear1_D,FCLK,1'b0,1'b0); -assign iobs/Clear1_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1); - -FDCPE FDCPE_iobs/IOACTr (iobs/IOACTr,iobm/IOACT,FCLK,1'b0,1'b0); - -FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,FCLK,1'b0,1'b0,iobs/IOL0_CE); -assign iobs/IOL0_D = ((!nLDS_FSB && nADoutLE1) - || (iobs/IOL1 && !nADoutLE1)); -assign iobs/IOL0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); - -FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); - -FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,FCLK,1'b0,1'b0); -assign iobs/IOREQ_D = ((EXP16_.EXP) - || (A_FSB[23] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd1) - || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd1 && - fsb/ASrf) - || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd1) - || (A_FSB[22] && A_FSB[21] && !iobs/Once && - !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd1) - || (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (iobs/PS_FSM_FFd2 && !iobs/IOACTr) - || (!iobs/PS_FSM_FFd1 && !nADoutLE1)); - -FDCPE FDCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_D,FCLK,1'b0,1'b0); -assign iobs/IORW0_D = ((!iobs/IORW1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - !nADoutLE1) - || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] && !iobs/IORW0 && +FDCPE_IORW0: FDCPE port map (IORW0,IORW0_D,FCLK,'0','0'); +IORW0_D <= ((EXP11_.EXP) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - || (A_FSB[23] && !nWE_FSB && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[23] && !nWE_FSB && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) - || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once && - !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (nROMWE_OBUF.EXP) - || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) - || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once && - !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) - || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && - cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + OR (NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT IORW0 AND nADoutLE1) - || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && - cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - nADoutLE1) - || (!iobs/IORW0 && iobs/PS_FSM_FFd2) - || (!iobs/IORW0 && iobs/PS_FSM_FFd1) - || (iobs/Once && !iobs/IORW0 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !iobs/IORW0 && nADoutLE1) - || (!iobs/IORW0 && nAS_FSB && !fsb/ASrf && nADoutLE1)); + OR (A_FSB(23) AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (NOT IORW0 AND iobs/PS_FSM_FFd2) + OR (NOT IORW0 AND iobs/PS_FSM_FFd1) + OR (iobs/Once AND NOT IORW0 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT IORW0 AND nADoutLE1) + OR (NOT IORW0 AND nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)); -FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,FCLK,1'b0,1'b0); -assign iobs/IORW1_T = ((iobs/Once) - || (!nADoutLE1) - || (fsb/Ready1r.EXP) - || (nAS_FSB && !fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19]) - || (!A_FSB[23] && !A_FSB[22] && nWE_FSB) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16]) - || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20]) - || (nWE_FSB && iobs/IORW1) - || (!nWE_FSB && !iobs/IORW1) - || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); - -FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,FCLK,1'b0,1'b0); -assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf) - || (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && iobm/IOBERR && nADoutLE1) - || (iobs/Once && !iobs/IOReady && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) - || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1)); - -FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,FCLK,1'b0,1'b0,iobs/IOU0_CE); -assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1) - || (iobs/IOU1 && !nADoutLE1)); -assign iobs/IOU0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); - -FDCPE FDCPE_iobs/IOU1 (iobs/IOU1,!nUDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); - -FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,FCLK,1'b0,1'b0); -assign iobs/Load1_D = ((iobs/Once) - || (!nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16]) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20]) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18]) - || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20]) - || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && !A_FSB[22]) - || (nAS_FSB && !fsb/ASrf) - || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (!A_FSB[23] && !A_FSB[22] && nWE_FSB)); - -FTCPE FTCPE_iobs/Once (iobs/Once,iobs/Once_T,FCLK,1'b0,1'b0); -assign iobs/Once_T = ((A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && - cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && - A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && - !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1) - || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && - A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && - !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1) - || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && - A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && - !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1) - || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && - A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && - !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1) - || (A_FSB[22] && A_FSB[21] && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[22] && A_FSB[20] && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && - cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && - cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && - cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (iobs/Once && nAS_FSB && !fsb/ASrf) - || (A_FSB[23] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); - -FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,1'b0,1'b0); -assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2) - || (iobs/PS_FSM_FFd1 && iobs/IOACTr)); - -FTCPE FTCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,1'b0,1'b0); -assign iobs/PS_FSM_FFd2_T = ((iobs/nBERR_FSB.EXP) - || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (A_FSB[22] && A_FSB[21] && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) - || (A_FSB[22] && A_FSB[20] && !iobs/Once && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) - || (iobs/PS_FSM_FFd1 && iobs/IOACTr) - || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1) - || (A_FSB[23] && !iobs/Once && !nAS_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); +FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,FCLK,'0','0',IOU0_CE); +IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) + OR (iobs/IOU1 AND NOT nADoutLE1)); +IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); -assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0); +RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(1))); -FDCPE FDCPE_nADoutLE1 (nADoutLE1,nADoutLE1_D,FCLK,1'b0,1'b0); -assign nADoutLE1_D = ((iobs/Load1) - || (!iobs/Clear1 && !nADoutLE1)); -FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!C16M,1'b0,1'b0); -assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2) - || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)); -assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ; -assign nAS_IOB_OE = !nAoutOE; +RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(2))); -FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,!C8M,1'b0,1'b0); -assign nAoutOE_D = (!nBR_IOB && cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2); -FTCPE FTCPE_nBERR_FSB (nBERR_FSB,nBERR_FSB_T,FCLK,1'b0,1'b0); -assign nBERR_FSB_T = ((iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) - || (iobs/Once && !nAS_FSB && nBERR_FSB && - !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) - || (iobs/Once && nBERR_FSB && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1) - || (nAS_FSB && !nBERR_FSB && !fsb/ASrf)); +RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(3))); -FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0); -assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2) - || (!nBR_IOB && !cnt/PORS_FSM_FFd1 && cnt/PORS_FSM_FFd2 && - cnt/IPL2r)); -FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!FCLK,1'b0,1'b0); +RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(4))); -FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,FCLK,1'b0,1'b0); -assign nDTACK_FSB_D = ((iobs/IOREQ.EXP) - || (nAS_FSB && !fsb/ASrf) - || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady && + +RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(5))); + + +RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(6))); + + +RA(6) <= ((ram/RASEL AND A_FSB(7)) + OR (A_FSB(16) AND NOT ram/RASEL)); + + +RA(7) <= ((A_FSB(8) AND ram/RASEL) + OR (A_FSB(17) AND NOT ram/RASEL)); + + +RA(8) <= ((A_FSB(23) AND A_FSB(18)) + OR (A_FSB(22) AND A_FSB(18)) + OR (A_FSB(18) AND NOT cs/nOverlay) + OR (A_FSB(18) AND NOT ram/RASEL) + OR (A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + ram/RASEL)); + + +RA(9) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); + + +RA(10) <= A_FSB(21); + + +RA(11) <= A_FSB(19); + +FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); +RefReq_D <= (NOT RefUrg AND NOT cnt/Timer(1) AND NOT cnt/Timer(2)); +RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_RefUrg: FTCPE port map (RefUrg,RefUrg_T,FCLK,'0','0',RefUrg_CE); +RefUrg_T <= ((RefUrg AND cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + NOT cnt/TimerTC) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + cnt/Er(0)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + NOT cnt/Er(1))); +RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); + +FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); + +FTCPE_cnt/INITS_FSM_FFd1: FTCPE port map (cnt/INITS_FSM_FFd1,cnt/INITS_FSM_FFd1_T,FCLK,'0','0'); +cnt/INITS_FSM_FFd1_T <= (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/INITS_FSM_FFd1 AND + cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1)); + +FTCPE_cnt/INITS_FSM_FFd2: FTCPE port map (cnt/INITS_FSM_FFd2,cnt/INITS_FSM_FFd2_T,FCLK,'0','0'); +cnt/INITS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/LTimerTC AND cnt/INITS_FSM_FFd1 AND + cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/INITS_FSM_FFd1 AND + NOT cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + +FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/LTimer_CE(0)); +cnt/LTimer_CE(0) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer1: FTCPE port map (cnt/LTimer(1),cnt/LTimer(0),FCLK,'0','0',cnt/LTimer_CE(1)); +cnt/LTimer_CE(1) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0',cnt/LTimer_CE(2)); +cnt/LTimer_T(2) <= (cnt/LTimer(0) AND cnt/LTimer(1)); +cnt/LTimer_CE(2) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0',cnt/LTimer_CE(3)); +cnt/LTimer_T(3) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2)); +cnt/LTimer_CE(3) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0',cnt/LTimer_CE(4)); +cnt/LTimer_T(4) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3)); +cnt/LTimer_CE(4) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0',cnt/LTimer_CE(5)); +cnt/LTimer_T(5) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4)); +cnt/LTimer_CE(5) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0',cnt/LTimer_CE(6)); +cnt/LTimer_T(6) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5)); +cnt/LTimer_CE(6) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0',cnt/LTimer_CE(7)); +cnt/LTimer_T(7) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6)); +cnt/LTimer_CE(7) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0',cnt/LTimer_CE(8)); +cnt/LTimer_T(8) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7)); +cnt/LTimer_CE(8) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0',cnt/LTimer_CE(9)); +cnt/LTimer_T(9) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8)); +cnt/LTimer_CE(9) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0',cnt/LTimer_CE(10)); +cnt/LTimer_T(10) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +cnt/LTimer_CE(10) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0',cnt/LTimer_CE(11)); +cnt/LTimer_T(11) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +cnt/LTimer_CE(11) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer12: FTCPE port map (cnt/LTimer(12),cnt/LTimer_T(12),FCLK,'0','0',cnt/LTimer_CE(12)); +cnt/LTimer_T(12) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(11) AND + cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND + cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND + cnt/LTimer(9)); +cnt/LTimer_CE(12) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0',cnt/LTimerTC_CE); +cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(11) AND + cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND + cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND + cnt/LTimer(9) AND cnt/LTimer(12)); +cnt/LTimerTC_CE <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); +cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND + cnt/Er(1)); +cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1)); +cnt/Timer_D(1) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(1)) + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/Timer(0) AND cnt/Timer(1))); +cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2)); +cnt/Timer_D(2) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) + OR (NOT cnt/Timer(0) AND NOT cnt/Timer(2)) + OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); +cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); +cnt/TimerTC_D <= (RefUrg AND cnt/Timer(0) AND NOT cnt/Timer(1) AND + NOT cnt/Timer(2)); +cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); + +FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,NOT nRES.PIN,'0'); +cs/nOverlay_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay AND fsb/ASrf)); + +FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); + +FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,FCLK,'0','0'); +fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT fsb/Ready0r AND NOT ram/RAMReady)); + +FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,FCLK,'0','0'); +fsb/Ready1r_D <= ((A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND + NOT iobs/IOReady) + OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nADoutLE1)); + +FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,FCLK,'0','0'); +fsb/VPA_D <= ((EXP12_.EXP) + OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT nADoutLE1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT nADoutLE1) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND fsb/ASrf AND + NOT nADoutLE1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND fsb/ASrf AND + NOT nADoutLE1) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND NOT nAS_FSB) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND iobs/IOReady AND NOT nAS_FSB) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND iobs/IOReady AND fsb/ASrf) + OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND NOT nAS_FSB) + OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND NOT nAS_FSB)); + +FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C16M,'0','0'); + +FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,C16M,'0','0'); + +FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT C16M,'0','0'); + +FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,C16M,'0','0'); + +FDCPE_iobm/DoutOE: FDCPE port map (iobm/DoutOE,iobm/DoutOE_D,C16M,'0','0'); +iobm/DoutOE_D <= ((NOT IORW0 AND iobm/IOS_FSM_FFd3) + OR (NOT IORW0 AND iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr AND NOT nAoutOE)); + +FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),C16M,'0','0'); +iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); + +FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),C16M,'0','0'); +iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) + OR (NOT iobm/Er AND iobm/Er2)); + +FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),C16M,'0','0'); +iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) + OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) + OR (NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) + OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); + +FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),C16M,'0','0'); +iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); + +FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),C16M,'0','0'); +iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND NOT iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4))); + +FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,C16M,'0','0'); +iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4)); + +FDCPE_iobm/Er: FDCPE port map (iobm/Er,E,NOT C8M,'0','0'); + +FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,C16M,'0','0'); + +FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT C16M,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C16M,'0','0'); +iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)); + +FTCPE_iobm/IOS_FSM_FFd2: FTCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,C16M,'0','0'); +iobm/IOS_FSM_FFd2_T <= ((iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND + NOT iobm/IOS_FSM_FFd2) + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/IOS_FSM_FFd2 AND iobm/ETACK) + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/IOS_FSM_FFd2 AND iobm/DTACKrf AND iobm/DTACKrr) + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/IOS_FSM_FFd2 AND iobm/BERRrf AND iobm/BERRrr) + OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND + iobm/IOS_FSM_FFd2 AND iobm/RESrf AND iobm/RESrr)); + +FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,'0','0'); +iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) + OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT C8M AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND + iobm/IOREQr AND NOT nAoutOE)); + +FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES.PIN,NOT C16M,'0','0'); + +FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES.PIN,C16M,'0','0'); + +FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT C16M,'0','0'); + +FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,C16M,'0','0'); + +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,FCLK,'0','0'); +iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); + +FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0'); + +FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); + +FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); +iobs/IORW1_T <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (nDTACK_FSB_OBUF.EXP) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) + OR (nWE_FSB AND iobs/IORW1) + OR (NOT nWE_FSB AND NOT iobs/IORW1) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); + +FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,FCLK,'0','0'); +iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); + +FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); + +FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); +iobs/Load1_D <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22)) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay)); + +FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,FCLK,'0','0'); +iobs/Once_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND + A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND + A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND + A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND + A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) + OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); + +FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,'0','0'); +iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); + +FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,'0','0'); +iobs/PS_FSM_FFd2_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND + NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); + + +nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); + +FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,FCLK,'0','0'); +nADoutLE1_D <= ((iobs/Load1) + OR (NOT iobs/Clear1 AND NOT nADoutLE1)); + +FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT C16M,'0','0'); +nAS_IOB <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2) + OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2)); +nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z'; +nAS_IOB_OE <= NOT nAoutOE; + +FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0'); +nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND + cnt/INITS_FSM_FFd2) + OR (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2 AND + NOT nAoutOE)); + +FTCPE_nBERR_FSB: FTCPE port map (nBERR_FSB,nBERR_FSB_T,FCLK,'0','0'); +nBERR_FSB_T <= ((NOT nBERR_FSB AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND NOT nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND nBERR_FSB AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) + OR (iobs/Once AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); + +FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); +nBR_IOB_T <= ((NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND + cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r) + OR (nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND + NOT cnt/INITS_FSM_FFd2)); + +FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0'); + +FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); +nDTACK_FSB_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && - !iobs/IOReady && nDTACK_FSB) - || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && - !iobs/IOReady && nDTACK_FSB) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) - || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1) - || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1)); + OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady AND nDTACK_FSB) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND fsb/Ready1r) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND iobs/IOReady) + OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND + NOT iobs/IOReady AND nDTACK_FSB) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) + OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1)); -FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!C16M,1'b0,1'b0); -assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2); +FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); +nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); -assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB) - || (A_FSB[22] && A_FSB[21] && nWE_FSB && !nAS_FSB) - || (A_FSB[22] && A_FSB[20] && nWE_FSB && !nAS_FSB)); +nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB)); -assign nDoutOE = !((iobm/DoutOE && !nAoutOE)); +nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE)); -FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0); -assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd2) - || (iobs/IOL0 && iobm/IOS_FSM_FFd1 && - iobm/IOS_FSM_FFd2) - || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 && - !iobm/IOS_FSM_FFd1)); -assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ; -assign nLDS_IOB_OE = !nAoutOE; +FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); +nLDS_IOB <= ((IOL0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) + OR (IOL0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) + OR (IORW0 AND IOL0 AND iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd1)); +nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z'; +nLDS_IOB_OE <= NOT nAoutOE; -assign nOE = !((nWE_FSB && !nAS_FSB)); +nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); -assign nRAMLWE = !((!nWE_FSB && !nLDS_FSB && !nAS_FSB && ram/RAMEN)); +nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND NOT nAS_FSB AND ram/RAMEN)); -assign nRAMUWE = !((!nWE_FSB && !nUDS_FSB && !nAS_FSB && ram/RAMEN)); +nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); -assign nRAS = !(((ram/RefRAS) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && - ram/RAMEN) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !nAS_FSB && ram/RAMEN))); +nRAS <= '1'; -assign nRES_I = 1'b0; -assign nRES = nRES_OE ? nRES_I : 1'bZ; -assign nRES_OE = !cnt/nRESout; +nRES_I <= '0'; +nRES <= nRES_I when nRES_OE = '1' else 'Z'; +nRES_OE <= NOT nRESout; + +FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); +nRESout_D <= (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2); -assign nROMCS = !(((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20]) - || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] && - !cs/nOverlay1))); +nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); -assign nROMWE = !((!nWE_FSB && !nAS_FSB)); +nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); -FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!C16M,1'b0,1'b0); -assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd2) - || (iobs/IOU0 && iobm/IOS_FSM_FFd1 && - iobm/IOS_FSM_FFd2) - || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 && - !iobm/IOS_FSM_FFd1)); -assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ; -assign nUDS_IOB_OE = !nAoutOE; +FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT C16M,'0','0'); +nUDS_IOB <= ((IOU0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) + OR (IOU0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) + OR (IORW0 AND IOU0 AND iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd1)); +nUDS_IOB <= nUDS_IOB_I when nUDS_IOB_OE = '1' else 'Z'; +nUDS_IOB_OE <= NOT nAoutOE; -FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0); -assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && - !iobm/ES[3] && !iobm/ES[4]) - || (nVMA_IOB && iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && - !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf && - iobm/VPArr)); -assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ; -assign nVMA_IOB_OE = !nAoutOE; +FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C16M,'0','0'); +nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4)) + OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); +nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z'; +nVMA_IOB_OE <= NOT nAoutOE; -assign nVPA_FSB = !((fsb/VPA && !nAS_FSB)); +nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); -FDCPE FDCPE_ram/BACTr (ram/BACTr,ram/BACTr_D,FCLK,1'b0,1'b0); -assign ram/BACTr_D = (nAS_FSB && !fsb/ASrf); +FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); +ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); -FDCPE FDCPE_ram/RAMEN (ram/RAMEN,ram/RAMEN_D,FCLK,1'b0,1'b0); -assign ram/RAMEN_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && - !ram/RS_FSM_FFd1 && ram/RAMEN) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && ram/RAMEN && fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && ram/RAMEN) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !ram/RS_FSM_FFd1 && ram/RAMEN && fsb/ASrf) - || (ram/RS_FSM_FFd2 && ram/RAMEN) - || (ram/RS_FSM_FFd3 && ram/RAMEN) - || (!ram/RS_FSM_FFd1 && !ram/RefUrgent && ram/RAMEN && +FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); +ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN) + OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND ram/RAMEN) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RAMEN) + OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND ram/BACTr) - || (!ram/RS_FSM_FFd1 && !ram/RefUrgent && ram/RAMEN && - !ram/RefReq) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !fsb/ASrf)); + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND + NOT ram/RefReq) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND ram/RAMEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf)); -FDCPE FDCPE_ram/RAMReady (ram/RAMReady,ram/RAMReady_D,FCLK,1'b0,1'b0); -assign ram/RAMReady_D = ((RA_6_OBUF.EXP) - || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !ram/RefReq) - || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) - || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) - || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) - || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) - || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3) - || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && ram/BACTr) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !fsb/ASrf)); +FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0'); +ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) + OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND + NOT ram/RAMEN)); -FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,FCLK,1'b0,1'b0); -assign ram/RASEL_D = ((A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) - || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) - || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !ram/RAMEN) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !ram/RAMEN && fsb/ASrf) - || (ram/RAMReady.EXP) - || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && ram/BACTr) - || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !ram/RefReq) - || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) - || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) - || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && - !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) - || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) - || (ram/RS_FSM_FFd2 && ram/RS_FSM_FFd3) - || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) - || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !fsb/ASrf)); +FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); +ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND NOT ram/RefReq) + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND NOT ram/RefReq) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND fsb/ASrf) + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND ram/BACTr) + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND ram/BACTr) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND ram/BACTr) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RefUrg AND NOT ram/RefReq) + OR (NOT nAS_FSB AND ram/RS_FSM_FFd1) + OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2) + OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd1 AND NOT ram/RefUrg) + OR (ram/RS_FSM_FFd1 AND fsb/ASrf)); -FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0); -assign ram/RS_FSM_FFd1_D = ((ram/RS_FSM_FFd1 && ram/RefUrgent && - !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN && +FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,'0','0'); +ram/RS_FSM_FFd1_D <= ((ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2)); + +FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,'0','0'); +ram/RS_FSM_FFd2_D <= ((ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RefUrg AND fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - ram/RefUrgent && !ram/RAMEN) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - ram/RefUrgent && !ram/RAMEN && fsb/ASrf) - || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) - || (!nAS_FSB && ram/RS_FSM_FFd1 && ram/RefUrgent && - !ram/RS_FSM_FFd3)); + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg AND + fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND + fsb/ASrf) + OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND + ram/RefUrg)); -FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0); -assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - !cs/nOverlay1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) - || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) - || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && ram/BACTr) - || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !ram/RefReq)); - -FTCPE FTCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,1'b0,1'b0); -assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1.EXP) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && +FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0'); +ram/RS_FSM_FFd3_T <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) + OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND + fsb/ASrf) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - || (A_FSB[23] && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3) - || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && - !fsb/ASrf) - || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && - !ram/RS_FSM_FFd3 && !ram/RAMEN) - || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) - || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) - || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd1 && - !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3)); + OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg) + OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)); -FDCPE FDCPE_ram/RefDone (ram/RefDone,ram/RefDone_D,FCLK,1'b0,1'b0); -assign ram/RefDone_D = ((ram/RefDone && ram/RefReqSync) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && - ram/RefReqSync)); +FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); +ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync) + OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd3 AND ram/RefReqSync) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND + ram/RS_FSM_FFd3 AND ram/RefReqSync)); -FDCPE FDCPE_ram/RefRAS (ram/RefRAS,ram/RefRAS_D,FCLK,1'b0,1'b0); -assign ram/RefRAS_D = (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2); +FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); +ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync); -FDCPE FDCPE_ram/RefReq (ram/RefReq,ram/RefReq_D,FCLK,1'b0,1'b0); -assign ram/RefReq_D = (!ram/RefDone && ram/RefReqSync); +FDCPE_ram/RefReqSync: FDCPE port map (ram/RefReqSync,RefReq,FCLK,'0','0'); -FDCPE FDCPE_ram/RefReqSync (ram/RefReqSync,cnt/RefReq,FCLK,1'b0,1'b0); +FDCPE_ram/RefUrg: FDCPE port map (ram/RefUrg,ram/RefUrg_D,FCLK,'0','0'); +ram/RefUrg_D <= (NOT ram/RefDone AND ram/RegUrgSync); -FDCPE FDCPE_ram/RefUrgent (ram/RefUrgent,ram/RefUrgent_D,FCLK,1'b0,1'b0); -assign ram/RefUrgent_D = (!ram/RefDone && ram/RegUrgentSync); - -FDCPE FDCPE_ram/RegUrgentSync (ram/RegUrgentSync,cnt/RefUrgent,FCLK,1'b0,1'b0); +FDCPE_ram/RegUrgSync: FDCPE port map (ram/RegUrgSync,RefUrg,FCLK,'0','0'); Register Legend: FDCPE (Q,D,C,CLR,PRE,CE); @@ -1730,7 +1710,7 @@ Global Clock Optimization : ON Global Set/Reset Optimization : ON Global Ouput Enable Optimization : ON Input Limit : 54 -Pterm Limit : 50 +Pterm Limit : 25
diff --git a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm index 9136855..79685ca 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm @@ -5,13 +5,19 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -46,6 +72,84 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -177,8 +281,8 @@ - - + + @@ -202,7 +306,46 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -215,21 +358,47 @@ - - - - - + + + + + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + - + @@ -242,7 +411,7 @@ - + @@ -255,7 +424,7 @@ - + @@ -267,37 +436,24 @@ - - - - - - - - - - - - - - + - + - + - + - + @@ -307,20 +463,20 @@ - + - + - + - + @@ -333,7 +489,7 @@ - + @@ -346,7 +502,7 @@ - + @@ -359,7 +515,7 @@ - + @@ -372,7 +528,7 @@ - + @@ -385,7 +541,7 @@ - + @@ -397,50 +553,11 @@ - - - - - - - - - - - - - - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + @@ -451,9 +568,9 @@ - - - + + + @@ -463,36 +580,23 @@ - - - + + + - - - + + + - - - - - - - - - - - - - - - + + @@ -503,7 +607,20 @@ - + + + + + + + + + + + + + + @@ -514,49 +631,23 @@ - - - - - - - - - - - - - - + - - + + - + - - - - - - - - - - - - - - + - + @@ -567,14 +658,14 @@ - - - - + + + + - - + + @@ -583,7 +674,7 @@ - + @@ -593,88 +684,75 @@ - + - + - - - - - - - - - - - - - - - - + + + - - + + - - - + + + - - + + - - - + + + - - + + - - - + + + - - + + - - - + + + - + - + @@ -687,10 +765,10 @@ - + - + @@ -700,12 +778,12 @@ - + - - - + + + @@ -713,7 +791,7 @@ - + @@ -726,12 +804,12 @@ - + - - - + + + @@ -739,12 +817,12 @@ - + - - - + + + @@ -765,72 +843,46 @@ - + - - - + + + - - + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - + - - - + + + - - + + @@ -843,7 +895,7 @@ - + @@ -856,12 +908,12 @@ - + - - - + + + @@ -869,12 +921,12 @@ - + - - - + + + @@ -882,10 +934,10 @@ - + - + @@ -895,12 +947,12 @@ - + - - - + + + @@ -908,20 +960,7 @@ - - - - - - - - - - - - - - + @@ -933,8 +972,8 @@ - - + + @@ -947,25 +986,12 @@ - + - - - - - - - - - - - - - - - + + @@ -973,36 +999,10 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -1012,10 +1012,10 @@ - + - + @@ -1024,26 +1024,13 @@ - - + + - - - - - - - - - - - - - - - + + @@ -1051,10 +1038,10 @@ - + - + @@ -1063,8 +1050,8 @@ - - + + @@ -1076,12 +1063,12 @@ - - + + - - + + @@ -1089,8 +1076,8 @@ - - + + @@ -1102,12 +1089,12 @@ - - + + - - + + @@ -1152,8 +1139,8 @@ - - + + @@ -1204,7 +1191,7 @@ - + @@ -1308,8 +1295,8 @@ - - + + @@ -1333,6 +1320,19 @@ + + + + + + + + + + + + + @@ -1401,84 +1401,32 @@ - - + + - - + + - - - + + + - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -1489,11 +1437,11 @@ - - - - - + + + + + @@ -1502,16 +1450,55 @@ - - + + - + - + + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -1519,32 +1506,6 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -1554,10 +1515,36 @@ - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/cpld/XC95144XL/WarpSE_html/fit/maplogic_01.htm b/cpld/XC95144XL/WarpSE_html/fit/maplogic_01.htm index c0e0856..de2d94d 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/maplogic_01.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/maplogic_01.htm @@ -20,9 +20,9 @@ - - + + @@ -33,9 +33,9 @@ - + + - @@ -46,9 +46,9 @@ - - + + @@ -59,9 +59,9 @@ - + + - @@ -72,9 +72,9 @@ - + + - @@ -85,7 +85,7 @@ - + @@ -98,7 +98,7 @@ - + @@ -111,9 +111,9 @@ - - - + + + @@ -124,9 +124,9 @@ - - - + + + @@ -137,9 +137,9 @@ - - - + + + @@ -150,9 +150,9 @@ - - - + + + @@ -163,9 +163,9 @@ - - - + + + @@ -176,9 +176,9 @@ - + + - @@ -189,9 +189,9 @@ - - - + + + @@ -202,9 +202,9 @@ - - - + + + @@ -215,9 +215,9 @@ - + + - @@ -228,9 +228,9 @@ - + + - @@ -241,8 +241,8 @@ - - + + @@ -258,58 +258,6 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -319,7 +267,7 @@ - + @@ -332,7 +280,7 @@ - + @@ -345,7 +293,7 @@ - + @@ -358,7 +306,7 @@ - + @@ -371,9 +319,9 @@ - - - + + + @@ -384,9 +332,9 @@ - - - + + + @@ -397,21 +345,21 @@ - - - + + + - + - - - + + + - + @@ -423,45 +371,58 @@ - - - - - - - - - - - - - - + - + - + + - - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -475,9 +436,35 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -488,9 +475,9 @@ - - + + @@ -501,9 +488,9 @@ - - + + @@ -540,9 +527,9 @@ - + + - @@ -566,7 +553,7 @@ - + @@ -579,9 +566,9 @@ - + - + @@ -605,9 +592,9 @@ - + - + @@ -618,9 +605,9 @@ - + - + @@ -631,9 +618,9 @@ - + - + @@ -644,9 +631,9 @@ - - - + + + @@ -657,8 +644,8 @@ - - + + @@ -670,9 +657,9 @@ - - - + + + @@ -683,9 +670,9 @@ - - - + + + @@ -696,19 +683,6 @@ - - - - - - - - - - - - - @@ -722,9 +696,9 @@ - - - + + + @@ -735,19 +709,6 @@ - - - - - - - - - - - - - @@ -774,9 +735,9 @@ - - - + + + @@ -787,9 +748,9 @@ - - + + @@ -813,9 +774,9 @@ - - - + + + @@ -852,19 +813,6 @@ - - - - - - - - - - - - - @@ -891,9 +839,22 @@ - - - + + + + + + + + + + + + + + + + @@ -930,9 +891,9 @@ - - + + @@ -943,9 +904,9 @@ - - - + + + @@ -956,9 +917,9 @@ - + - + @@ -969,9 +930,9 @@ - + - + @@ -982,9 +943,9 @@ - + - + @@ -995,8 +956,8 @@ - - + + @@ -1021,9 +982,9 @@ - - - + + + @@ -1060,9 +1021,9 @@ - + - + @@ -1099,9 +1060,9 @@ - - - + + + @@ -1125,9 +1086,9 @@ - - - + + + @@ -1138,9 +1099,9 @@ - - - + + + @@ -1165,7 +1126,7 @@ - + @@ -1178,7 +1139,7 @@ - + @@ -1217,7 +1178,7 @@ - + @@ -1230,8 +1191,8 @@ - - + + @@ -1256,7 +1217,7 @@ - + @@ -1295,7 +1256,7 @@ - + @@ -1321,7 +1282,7 @@ - + @@ -1334,7 +1295,7 @@ - + @@ -1347,7 +1308,7 @@ - + @@ -1360,7 +1321,7 @@ - + @@ -1372,9 +1333,9 @@ - - - + + + @@ -1398,9 +1359,9 @@ - - - + + + @@ -1411,9 +1372,9 @@ - - + + @@ -1425,8 +1386,8 @@ - - + + @@ -1450,9 +1411,9 @@ - + - + @@ -1476,9 +1437,9 @@ - - - + + + @@ -1489,9 +1450,22 @@ - + + + + + + + + + + + + + + - + @@ -1515,9 +1489,9 @@ - + + - @@ -1541,9 +1515,9 @@ - - - + + + @@ -1554,9 +1528,9 @@ - + - + @@ -1566,6 +1540,19 @@ + + + + + + + + + + + + +
********** Mapped Logic **********
+FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); +
     ALE0M_D <= ((iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOREQr));
-assign C20MEN = 1'b1; +FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,FCLK,'0','0'); +
     ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
-assign C25MEN = 1'b1; +C20MEN <= '1';
+C25MEN <= '1';
@@ -39,855 +45,831 @@ assign C25MEN = 1'b1;
-
-
-
-
-
-assign RA[0] = ((A_FSB[10] && !ram/RASEL) -
      || (ram/RASEL && A_FSB[1])); -
-
-assign RA[1] = ((A_FSB[11] && !ram/RASEL) -
      || (ram/RASEL && A_FSB[2])); -
-
-assign RA[2] = ((A_FSB[12] && !ram/RASEL) -
      || (ram/RASEL && A_FSB[3])); -
-
-assign RA[3] = ((A_FSB[13] && !ram/RASEL) -
      || (ram/RASEL && A_FSB[4])); -
-
-assign RA[4] = ((ram/RASEL && A_FSB[5]) -
      || (A_FSB[14] && !ram/RASEL)); -
-
-assign RA[5] = ((A_FSB[15] && !ram/RASEL) -
      || (ram/RASEL && A_FSB[6])); -
-
-assign RA[6] = ((ram/RASEL && A_FSB[7]) -
      || (A_FSB[16] && !ram/RASEL)); -
-
-assign RA[7] = ((A_FSB[8] && ram/RASEL) -
      || (A_FSB[17] && !ram/RASEL)); -
-
-assign RA[8] = ((A_FSB[9] && !A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && -
      ram/RASEL) -
      || (A_FSB[9] && !A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && ram/RASEL) -
      || (A_FSB[23] && A_FSB[18]) -
      || (A_FSB[18] && !ram/RASEL) -
      || (A_FSB[22] && !A_FSB[21] && A_FSB[18]) -
      || (A_FSB[22] && A_FSB[18] && cs/nOverlay1) -
      || (!A_FSB[22] && A_FSB[18] && !cs/nOverlay1)); -
-
-assign RA[9] = ((A_FSB[20] && ram/RASEL) -
      || (A_FSB[19] && !ram/RASEL)); -
-
-assign RA[10] = A_FSB[21]; -
-
-assign RA[11] = A_FSB[19]; -
-FDCPE FDCPE_cnt/IPL2r (cnt/IPL2r,!nIPL2,!C8M,1'b0,1'b0); -
-FTCPE FTCPE_cnt/LTimer0 (cnt/LTimer[0],cnt/LTimer_T[0],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[0] = (!cnt/LTimer[0] && cnt/LTimer[13] && cnt/TimerTC); -
-FDCPE FDCPE_cnt/LTimer1 (cnt/LTimer[1],cnt/LTimer_D[1],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_D[1] = ((cnt/LTimer[0] && cnt/LTimer[1]) -
      || (!cnt/LTimer[0] && !cnt/LTimer[1]) -
      || (cnt/LTimer[13] && cnt/TimerTC)); -
-FTCPE FTCPE_cnt/LTimer2 (cnt/LTimer[2],cnt/LTimer_T[2],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[2] = ((cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1]) -
      || (cnt/LTimer[0] && cnt/LTimer[1] && !cnt/TimerTC) -
      || (cnt/LTimer[13] && cnt/LTimer[2] && cnt/TimerTC)); -
-FTCPE FTCPE_cnt/LTimer3 (cnt/LTimer[3],cnt/LTimer_T[3],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[3] = ((cnt/LTimer[13] && cnt/LTimer[3] && cnt/TimerTC) -
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && -
      cnt/LTimer[2]) -
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && -
      !cnt/TimerTC)); -
-FTCPE FTCPE_cnt/LTimer4 (cnt/LTimer[4],cnt/LTimer_T[4],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[4] = ((cnt/LTimer[13] && cnt/LTimer[4] && cnt/TimerTC) -
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && -
      cnt/LTimer[2] && cnt/LTimer[3]) -
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && -
      cnt/LTimer[3] && !cnt/TimerTC)); -
-FTCPE FTCPE_cnt/LTimer5 (cnt/LTimer[5],cnt/LTimer_T[5],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[5] = ((cnt/LTimer[13] && cnt/LTimer[5] && cnt/TimerTC) -
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && -
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[4]) -
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && -
      cnt/LTimer[3] && cnt/LTimer[4] && !cnt/TimerTC)); -
-FTCPE FTCPE_cnt/LTimer6 (cnt/LTimer[6],cnt/LTimer_T[6],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[6] = ((cnt/LTimer[13] && cnt/LTimer[6] && cnt/TimerTC) -
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && -
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4]) -
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && -
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && !cnt/TimerTC)); -
-FTCPE FTCPE_cnt/LTimer7 (cnt/LTimer[7],cnt/LTimer_T[7],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[7] = ((cnt/LTimer[13] && cnt/LTimer[7] && cnt/TimerTC) -
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && -
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && -
      cnt/LTimer[6]) -
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && -
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && -
      !cnt/TimerTC)); -
-FTCPE FTCPE_cnt/LTimer8 (cnt/LTimer[8],cnt/LTimer_T[8],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[8] = ((cnt/LTimer[13] && cnt/LTimer[8] && cnt/TimerTC) -
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && -
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && -
      cnt/LTimer[6] && cnt/LTimer[7]) -
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && -
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && -
      cnt/LTimer[7] && !cnt/TimerTC)); -
-FTCPE FTCPE_cnt/LTimer9 (cnt/LTimer[9],cnt/LTimer_T[9],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[9] = ((cnt/LTimer[13] && cnt/LTimer[9] && cnt/TimerTC) -
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && -
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && -
      cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[8]) -
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && -
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && -
      cnt/LTimer[7] && cnt/LTimer[8] && !cnt/TimerTC)); -
-FTCPE FTCPE_cnt/LTimer10 (cnt/LTimer[10],cnt/LTimer_T[10],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[10] = ((cnt/LTimer[13] && cnt/LTimer[10] && cnt/TimerTC) -
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && -
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && -
      cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[8]) -
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && -
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && -
      cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[8] && !cnt/TimerTC)); -
-FTCPE FTCPE_cnt/LTimer11 (cnt/LTimer[11],cnt/LTimer_T[11],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[11] = ((cnt/LTimer[13] && cnt/LTimer[11] && cnt/TimerTC) -
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && -
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && -
      cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && -
      cnt/LTimer[8]) -
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && -
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && -
      cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[8] && -
      !cnt/TimerTC)); -
-FTCPE FTCPE_cnt/LTimer12 (cnt/LTimer[12],cnt/LTimer_T[12],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[12] = ((cnt/LTimer[13] && cnt/LTimer[12] && cnt/TimerTC) -
      || (cnt/LTimer[0] && !cnt/LTimer[13] && cnt/LTimer[1] && -
      cnt/LTimer[2] && cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && -
      cnt/LTimer[6] && cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && -
      cnt/LTimer[11] && cnt/LTimer[8]) -
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && -
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && -
      cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[11] && -
      cnt/LTimer[8] && !cnt/TimerTC)); -
-FTCPE FTCPE_cnt/LTimer13 (cnt/LTimer[13],cnt/LTimer_T[13],!C8M,1'b0,1'b0,cnt/TimerTC); -
     assign cnt/LTimer_T[13] = ((cnt/LTimer[13] && cnt/TimerTC) -
      || (cnt/LTimer[0] && cnt/LTimer[1] && cnt/LTimer[2] && -
      cnt/LTimer[3] && cnt/LTimer[5] && cnt/LTimer[4] && cnt/LTimer[6] && -
      cnt/LTimer[7] && cnt/LTimer[9] && cnt/LTimer[10] && cnt/LTimer[11] && -
      cnt/LTimer[8] && cnt/LTimer[12])); -
-FTCPE FTCPE_cnt/PORS_FSM_FFd1 (cnt/PORS_FSM_FFd1,cnt/PORS_FSM_FFd1_T,!C8M,1'b0,1'b0); -
     assign cnt/PORS_FSM_FFd1_T = (cnt/LTimer[13] && !cnt/PORS_FSM_FFd1 && -
      cnt/PORS_FSM_FFd2 && !cnt/IPL2r); -
-FDCPE FDCPE_cnt/PORS_FSM_FFd2 (cnt/PORS_FSM_FFd2,cnt/PORS_FSM_FFd2_D,!C8M,1'b0,1'b0); -
     assign cnt/PORS_FSM_FFd2_D = ((cnt/LTimer[13] && !cnt/PORS_FSM_FFd1) -
      || (!cnt/LTimer[13] && cnt/PORS_FSM_FFd2)); -
-FDCPE FDCPE_cnt/RefReq (cnt/RefReq,cnt/RefReq_D,E,1'b0,1'b0); -
     assign cnt/RefReq_D = ((cnt/Timer[1] && !cnt/Timer[3]) -
      || (cnt/Timer[2] && !cnt/Timer[3]) -
      || (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && -
      cnt/Timer[3])); -
-FDCPE FDCPE_cnt/RefUrgent (cnt/RefUrgent,cnt/RefUrgent_D,E,1'b0,1'b0); -
     assign cnt/RefUrgent_D = ((cnt/Timer[1] && cnt/Timer[2] && !cnt/Timer[3]) -
      || (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && -
      cnt/Timer[3])); -
-FDCPE FDCPE_cnt/Timer0 (cnt/Timer[0],cnt/Timer_D[0],E,1'b0,1'b0); -
     assign cnt/Timer_D[0] = (!cnt/TimerTC && !cnt/Timer[0]); -
-FDCPE FDCPE_cnt/Timer1 (cnt/Timer[1],cnt/Timer_D[1],E,1'b0,1'b0); -
     assign cnt/Timer_D[1] = ((!cnt/TimerTC && cnt/Timer[1] && !cnt/Timer[0]) -
      || (!cnt/TimerTC && !cnt/Timer[1] && cnt/Timer[0])); -
-FTCPE FTCPE_cnt/Timer2 (cnt/Timer[2],cnt/Timer_T[2],E,1'b0,1'b0); -
     assign cnt/Timer_T[2] = ((cnt/TimerTC && cnt/Timer[2]) -
      || (!cnt/TimerTC && cnt/Timer[1] && cnt/Timer[0])); -
-FTCPE FTCPE_cnt/Timer3 (cnt/Timer[3],cnt/Timer_T[3],E,1'b0,1'b0); -
     assign cnt/Timer_T[3] = ((cnt/TimerTC && cnt/Timer[3]) -
      || (!cnt/TimerTC && cnt/Timer[1] && cnt/Timer[2] && -
      cnt/Timer[0])); -
-FDCPE FDCPE_cnt/TimerTC (cnt/TimerTC,cnt/TimerTC_D,E,1'b0,1'b0); -
     assign cnt/TimerTC_D = (!cnt/Timer[1] && !cnt/Timer[2] && !cnt/Timer[0] && -
      cnt/Timer[3]); -
-FDCPE FDCPE_cnt/nRESout (cnt/nRESout,cnt/nRESout_D,!C8M,1'b0,1'b0); -
     assign cnt/nRESout_D = ((cnt/LTimer[13] && cnt/PORS_FSM_FFd1 && -
      !cnt/PORS_FSM_FFd2) -
      || (cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2 && -
      cnt/nRESout)); -
-FTCPE FTCPE_cs/nOverlay0 (cs/nOverlay0,cs/nOverlay0_T,FCLK,!nRES.PIN,1'b0); -
     assign cs/nOverlay0_T = ((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && -
      !cs/nOverlay0 && !nAS_FSB) -
      || (!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20] && -
      !cs/nOverlay0 && fsb/ASrf)); -
-FDCPE FDCPE_cs/nOverlay1 (cs/nOverlay1,cs/nOverlay0,FCLK,1'b0,1'b0,cs/nOverlay1_CE); -
     assign cs/nOverlay1_CE = (nAS_FSB && !fsb/ASrf); -
-FDCPE FDCPE_fsb/ASrf (fsb/ASrf,!nAS_FSB,!FCLK,1'b0,1'b0); -
-FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,FCLK,1'b0,1'b0); -
     assign fsb/Ready0r_D = ((nAS_FSB && !fsb/ASrf) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && -
      !fsb/Ready0r && !ram/RAMReady) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady)); -
-FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,FCLK,1'b0,1'b0); -
     assign fsb/Ready1r_D = ((A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && -
      !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) -
      || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && -
      !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) -
      || (nAS_FSB && !fsb/ASrf) -
      || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady) -
      || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && -
      !iobs/IOReady) -
      || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && -
      !iobs/IOReady)); -
-FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,FCLK,1'b0,1'b0); -
     assign fsb/VPA_D = ((EXP15_.EXP) -
      || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && -
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1) -
      || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && -
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1) -
      || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && -
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1) -
      || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && -
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1) -
      || (A_FSB[9] && A_FSB[8] && A_FSB[15] && A_FSB[14] && -
      A_FSB[13] && A_FSB[12] && A_FSB[11] && A_FSB[10] && A_FSB[23] && -
      A_FSB[22] && A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && -
      A_FSB[17] && A_FSB[16] && iobs/IOReady && !nAS_FSB) -
      || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA && -
      !iobs/IOReady && fsb/ASrf) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && -
      !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && -
      !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) -
      || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && -
      !iobs/IOReady && !nAS_FSB) -
      || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && -
      !iobs/IOReady && fsb/ASrf) -
      || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA && -
      !iobs/IOReady && !nAS_FSB) -
      || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA && -
      !iobs/IOReady && fsb/ASrf) -
      || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA && -
      !iobs/IOReady && !nAS_FSB)); -
-FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,C16M,1'b0,1'b0); -
     assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) -
      || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && -
      !iobm/IOREQr)); -
-FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!C16M,1'b0,1'b0); -
-FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,C16M,1'b0,1'b0); -
-FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!C16M,1'b0,1'b0); -
-FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,C16M,1'b0,1'b0); -
-FDCPE FDCPE_iobm/DoutOE (iobm/DoutOE,iobm/DoutOE_D,C16M,1'b0,1'b0); -
     assign iobm/DoutOE_D = ((iobs/IORW0 && iobm/IOS_FSM_FFd3) -
      || (iobs/IORW0 && iobm/IOS_FSM_FFd2)); -
-FTCPE FTCPE_iobm/ES0 (iobm/ES[0],iobm/ES_T[0],C16M,1'b0,1'b0); -
     assign iobm/ES_T[0] = ((iobm/ES[0] && !iobm/Er && iobm/Er2) -
      || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && -
      !iobm/ES[3] && !iobm/ES[4] && iobm/Er) -
      || (!iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && -
      !iobm/ES[3] && !iobm/ES[4] && !iobm/Er2)); -
-FDCPE FDCPE_iobm/ES1 (iobm/ES[1],iobm/ES_D[1],C16M,1'b0,1'b0); -
     assign iobm/ES_D[1] = ((iobm/ES[0] && iobm/ES[1]) -
      || (!iobm/ES[0] && !iobm/ES[1]) -
      || (!iobm/Er && iobm/Er2)); -
-FDCPE FDCPE_iobm/ES2 (iobm/ES[2],iobm/ES_D[2],C16M,1'b0,1'b0); -
     assign iobm/ES_D[2] = ((!iobm/ES[0] && !iobm/ES[2]) -
      || (!iobm/ES[1] && !iobm/ES[2]) -
      || (!iobm/Er && iobm/Er2) -
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2]) -
      || (!iobm/ES[2] && !iobm/ES[3] && iobm/ES[4])); -
-FTCPE FTCPE_iobm/ES3 (iobm/ES[3],iobm/ES_T[3],C16M,1'b0,1'b0); -
     assign iobm/ES_T[3] = ((iobm/ES[3] && !iobm/Er && iobm/Er2) -
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && iobm/Er) -
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && !iobm/Er2)); -
-FTCPE FTCPE_iobm/ES4 (iobm/ES[4],iobm/ES_T[4],C16M,1'b0,1'b0); -
     assign iobm/ES_T[4] = ((iobm/ES[4] && !iobm/Er && iobm/Er2) -
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && -
      iobm/ES[3] && iobm/Er) -
      || (iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && -
      iobm/ES[3] && !iobm/Er2) -
      || (iobm/ES[0] && iobm/ES[1] && !iobm/ES[2] && -
      !iobm/ES[3] && iobm/ES[4])); -
-FDCPE FDCPE_iobm/ETACK (iobm/ETACK,iobm/ETACK_D,C16M,1'b0,1'b0); -
     assign iobm/ETACK_D = (!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && -
      !iobm/ES[3] && iobm/ES[4]); -
-FDCPE FDCPE_iobm/Er (iobm/Er,E,!C8M,1'b0,1'b0); -
-FDCPE FDCPE_iobm/Er2 (iobm/Er2,iobm/Er,C16M,1'b0,1'b0); -
-FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,C16M,1'b0,1'b0); -
     assign iobm/IOACT_D = ((C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && -
      iobm/RESrf && iobm/RESrr) -
      || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) -
      || (!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2 && -
      !iobm/IOREQr) -
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && +FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0'); +
     IOACT_D <= ((C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND +
      iobm/RESrf AND iobm/RESrr) +
      OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOREQr) +
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND
      iobm/ETACK) -
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && -
      iobm/BERRrf && iobm/BERRrr) -
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && -
      iobm/DTACKrf && iobm/DTACKrr)); +
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND +
      iobm/DTACKrf AND iobm/DTACKrr) +
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND +
      iobm/BERRrf AND iobm/BERRrr));
-FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0); -
     assign iobm/IOBERR_T = ((C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && -
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf && -
      iobm/BERRrr) -
      || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && -
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/DTACKrf && +FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,C16M,'0','0'); +
     IOBERR_T <= ((C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/RESrf AND +
      iobm/RESrr) +
      OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/DTACKrf AND
      iobm/DTACKrr) -
      || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && -
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf && -
      iobm/RESrr) -
      || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && -
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && -
      iobm/RESrr) -
      || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && -
      !iobm/IOS_FSM_FFd2 && iobm/IOBERR) -
      || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && -
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/ETACK) -
      || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && -
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/ETACK) -
      || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && -
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/BERRrf && +
      OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/BERRrf AND
      iobm/BERRrr) -
      || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && -
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/DTACKrf && -
      iobm/DTACKrr)); +
      OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/RESrf AND +
      iobm/RESrr) +
      OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND +
      NOT iobm/IOS_FSM_FFd2 AND IOBERR) +
      OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/ETACK) +
      OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/ETACK) +
      OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/DTACKrf AND +
      iobm/DTACKrr) +
      OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/BERRrf AND +
      iobm/BERRrr));
-FDCPE FDCPE_iobm/IOREQr (iobm/IOREQr,iobs/IOREQ,!C16M,1'b0,1'b0); +FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,FCLK,'0','0',IOL0_CE); +
     IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) +
      OR (iobs/IOL1 AND NOT nADoutLE1)); +
     IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
-FDCPE FDCPE_iobm/IOS_FSM_FFd1 (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C16M,1'b0,1'b0); -
     assign iobm/IOS_FSM_FFd1_D = ((iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1) -
      || (!iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd2)); +FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,FCLK,'0','0'); +
     IOREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr) +
      OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND +
      fsb/ASrf));
-FTCPE FTCPE_iobm/IOS_FSM_FFd2 (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,C16M,1'b0,1'b0); -
     assign iobm/IOS_FSM_FFd2_T = ((iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && -
      !iobm/IOS_FSM_FFd2) -
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && -
      iobm/IOS_FSM_FFd2 && iobm/ETACK) -
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && -
      iobm/IOS_FSM_FFd2 && iobm/BERRrf && iobm/BERRrr) -
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && -
      iobm/IOS_FSM_FFd2 && iobm/DTACKrf && iobm/DTACKrr) -
      || (C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && -
      iobm/IOS_FSM_FFd2 && iobm/RESrf && iobm/RESrr)); -
-FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,1'b0,1'b0); -
     assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2) -
      || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && -
      !iobm/IOS_FSM_FFd2) -
      || (!C8M && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && -
      iobm/IOREQr && !nAoutOE)); -
-FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES.PIN,!C16M,1'b0,1'b0); -
-FDCPE FDCPE_iobm/RESrr (iobm/RESrr,!nRES.PIN,C16M,1'b0,1'b0); -
-FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!C16M,1'b0,1'b0); -
-FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,C16M,1'b0,1'b0); -
-FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,FCLK,1'b0,1'b0); -
     assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); -
-FDCPE FDCPE_iobs/Clear1 (iobs/Clear1,iobs/Clear1_D,FCLK,1'b0,1'b0); -
     assign iobs/Clear1_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1); -
-FDCPE FDCPE_iobs/IOACTr (iobs/IOACTr,iobm/IOACT,FCLK,1'b0,1'b0); -
-FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,FCLK,1'b0,1'b0,iobs/IOL0_CE); -
     assign iobs/IOL0_D = ((!nLDS_FSB && nADoutLE1) -
      || (iobs/IOL1 && !nADoutLE1)); -
     assign iobs/IOL0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); -
-FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); -
-FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,FCLK,1'b0,1'b0); -
     assign iobs/IOREQ_D = ((EXP16_.EXP) -
      || (A_FSB[23] && !iobs/Once && !nAS_FSB && -
      !iobs/PS_FSM_FFd1) -
      || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd1 && -
      fsb/ASrf) -
      || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && -
      !iobs/PS_FSM_FFd1) -
      || (A_FSB[22] && A_FSB[21] && !iobs/Once && -
      !iobs/PS_FSM_FFd1 && fsb/ASrf) -
      || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && -
      !iobs/PS_FSM_FFd1) -
      || (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) -
      || (iobs/PS_FSM_FFd2 && !iobs/IOACTr) -
      || (!iobs/PS_FSM_FFd1 && !nADoutLE1)); -
-FDCPE FDCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_D,FCLK,1'b0,1'b0); -
     assign iobs/IORW0_D = ((!iobs/IORW1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && -
      !nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] && !iobs/IORW0 && +FDCPE_IORW0: FDCPE port map (IORW0,IORW0_D,FCLK,'0','0'); +
     IORW0_D <= ((EXP11_.EXP) +
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
      nADoutLE1) -
      || (A_FSB[23] && !nWE_FSB && !iobs/Once && !nAS_FSB && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (A_FSB[23] && !nWE_FSB && !iobs/Once && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) -
      || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once && -
      !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (nROMWE_OBUF.EXP) -
      || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) -
      || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once && -
      !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) -
      || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && -
      cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && +
      OR (NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      NOT nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT IORW0 AND
      nADoutLE1) -
      || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && -
      cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && -
      nADoutLE1) -
      || (!iobs/IORW0 && iobs/PS_FSM_FFd2) -
      || (!iobs/IORW0 && iobs/PS_FSM_FFd1) -
      || (iobs/Once && !iobs/IORW0 && nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[22] && !iobs/IORW0 && nADoutLE1) -
      || (!iobs/IORW0 && nAS_FSB && !fsb/ASrf && nADoutLE1)); +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (NOT IORW0 AND iobs/PS_FSM_FFd2) +
      OR (NOT IORW0 AND iobs/PS_FSM_FFd1) +
      OR (iobs/Once AND NOT IORW0 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT IORW0 AND nADoutLE1) +
      OR (NOT IORW0 AND nAS_FSB AND NOT fsb/ASrf AND nADoutLE1));
-FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,FCLK,1'b0,1'b0); -
     assign iobs/IORW1_T = ((iobs/Once) -
      || (!nADoutLE1) -
      || (fsb/Ready1r.EXP) -
      || (nAS_FSB && !fsb/ASrf) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19]) -
      || (!A_FSB[23] && !A_FSB[22] && nWE_FSB) -
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20]) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18]) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17]) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16]) -
      || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20]) -
      || (nWE_FSB && iobs/IORW1) -
      || (!nWE_FSB && !iobs/IORW1) -
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); -
-FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,FCLK,1'b0,1'b0); -
     assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf) -
      || (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && -
      !iobs/IOACTr && iobm/IOBERR && nADoutLE1) -
      || (iobs/Once && !iobs/IOReady && !nAS_FSB && -
      !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) -
      || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && -
      !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1)); -
-FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,FCLK,1'b0,1'b0,iobs/IOU0_CE); -
     assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1) -
      || (iobs/IOU1 && !nADoutLE1)); -
     assign iobs/IOU0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); -
-FDCPE FDCPE_iobs/IOU1 (iobs/IOU1,!nUDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); -
-FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,FCLK,1'b0,1'b0); -
     assign iobs/Load1_D = ((iobs/Once) -
      || (!nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19]) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17]) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16]) -
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20]) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18]) -
      || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20]) -
      || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && !A_FSB[22]) -
      || (nAS_FSB && !fsb/ASrf) -
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) -
      || (!A_FSB[23] && !A_FSB[22] && nWE_FSB)); -
-FTCPE FTCPE_iobs/Once (iobs/Once,iobs/Once_T,FCLK,1'b0,1'b0); -
     assign iobs/Once_T = ((A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && -
      cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) -
      || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && -
      A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && -
      !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1) -
      || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && -
      A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && -
      !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1) -
      || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && -
      A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && -
      !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1) -
      || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && -
      A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && -
      !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1) -
      || (A_FSB[22] && A_FSB[21] && !iobs/Once && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) -
      || (A_FSB[22] && A_FSB[20] && !iobs/Once && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) -
      || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && -
      cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) -
      || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && -
      cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) -
      || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && -
      cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) -
      || (iobs/Once && nAS_FSB && !fsb/ASrf) -
      || (A_FSB[23] && !iobs/Once && !nAS_FSB && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) -
      || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 && -
      !iobs/PS_FSM_FFd1 && fsb/ASrf) -
      || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) -
      || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); -
-FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,1'b0,1'b0); -
     assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2) -
      || (iobs/PS_FSM_FFd1 && iobs/IOACTr)); -
-FTCPE FTCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,1'b0,1'b0); -
     assign iobs/PS_FSM_FFd2_T = ((iobs/nBERR_FSB.EXP) -
      || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 && -
      !iobs/PS_FSM_FFd1 && fsb/ASrf) -
      || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) -
      || (A_FSB[22] && A_FSB[21] && !iobs/Once && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) -
      || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) -
      || (A_FSB[22] && A_FSB[20] && !iobs/Once && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) -
      || (iobs/PS_FSM_FFd1 && iobs/IOACTr) -
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1) -
      || (A_FSB[23] && !iobs/Once && !nAS_FSB && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); +FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,FCLK,'0','0',IOU0_CE); +
     IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) +
      OR (iobs/IOU1 AND NOT nADoutLE1)); +
     IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
-assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0); +RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(1)));
-FDCPE FDCPE_nADoutLE1 (nADoutLE1,nADoutLE1_D,FCLK,1'b0,1'b0); -
     assign nADoutLE1_D = ((iobs/Load1) -
      || (!iobs/Clear1 && !nADoutLE1));
-FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!C16M,1'b0,1'b0); -
     assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2) -
      || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)); -
     assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ; -
     assign nAS_IOB_OE = !nAoutOE; +RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(2)));
-FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,!C8M,1'b0,1'b0); -
     assign nAoutOE_D = (!nBR_IOB && cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2);
-FTCPE FTCPE_nBERR_FSB (nBERR_FSB,nBERR_FSB_T,FCLK,1'b0,1'b0); -
     assign nBERR_FSB_T = ((iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 && -
      !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) -
      || (iobs/Once && !nAS_FSB && nBERR_FSB && -
      !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) -
      || (iobs/Once && nBERR_FSB && !iobs/PS_FSM_FFd2 && -
      !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1) -
      || (nAS_FSB && !nBERR_FSB && !fsb/ASrf)); +RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(3)));
-FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0); -
     assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2) -
      || (!nBR_IOB && !cnt/PORS_FSM_FFd1 && cnt/PORS_FSM_FFd2 && -
      cnt/IPL2r));
-FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!FCLK,1'b0,1'b0); +RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(4)));
-FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,FCLK,1'b0,1'b0); -
     assign nDTACK_FSB_D = ((iobs/IOREQ.EXP) -
      || (nAS_FSB && !fsb/ASrf) -
      || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady && +
+RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(5))); +
+
+RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(6))); +
+
+RA(6) <= ((ram/RASEL AND A_FSB(7)) +
      OR (A_FSB(16) AND NOT ram/RASEL)); +
+
+RA(7) <= ((A_FSB(8) AND ram/RASEL) +
      OR (A_FSB(17) AND NOT ram/RASEL)); +
+
+RA(8) <= ((A_FSB(23) AND A_FSB(18)) +
      OR (A_FSB(22) AND A_FSB(18)) +
      OR (A_FSB(18) AND NOT cs/nOverlay) +
      OR (A_FSB(18) AND NOT ram/RASEL) +
      OR (A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      ram/RASEL)); +
+
+RA(9) <= ((A_FSB(20) AND ram/RASEL) +
      OR (A_FSB(19) AND NOT ram/RASEL)); +
+
+RA(10) <= A_FSB(21); +
+
+RA(11) <= A_FSB(19); +
+FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); +
     RefReq_D <= (NOT RefUrg AND NOT cnt/Timer(1) AND NOT cnt/Timer(2)); +
     RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_RefUrg: FTCPE port map (RefUrg,RefUrg_T,FCLK,'0','0',RefUrg_CE); +
     RefUrg_T <= ((RefUrg AND cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND +
      NOT cnt/TimerTC) +
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND +
      cnt/Er(0)) +
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND +
      NOT cnt/Er(1))); +
     RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); +
+FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); +
+FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); +
+FTCPE_cnt/INITS_FSM_FFd1: FTCPE port map (cnt/INITS_FSM_FFd1,cnt/INITS_FSM_FFd1_T,FCLK,'0','0'); +
     cnt/INITS_FSM_FFd1_T <= (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/INITS_FSM_FFd1 AND +
      cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1)); +
+FTCPE_cnt/INITS_FSM_FFd2: FTCPE port map (cnt/INITS_FSM_FFd2,cnt/INITS_FSM_FFd2_T,FCLK,'0','0'); +
     cnt/INITS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/LTimerTC AND cnt/INITS_FSM_FFd1 AND +
      cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/INITS_FSM_FFd1 AND +
      NOT cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +
+FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/LTimer_CE(0)); +
     cnt/LTimer_CE(0) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/LTimer1: FTCPE port map (cnt/LTimer(1),cnt/LTimer(0),FCLK,'0','0',cnt/LTimer_CE(1)); +
     cnt/LTimer_CE(1) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0',cnt/LTimer_CE(2)); +
     cnt/LTimer_T(2) <= (cnt/LTimer(0) AND cnt/LTimer(1)); +
     cnt/LTimer_CE(2) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0',cnt/LTimer_CE(3)); +
     cnt/LTimer_T(3) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2)); +
     cnt/LTimer_CE(3) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0',cnt/LTimer_CE(4)); +
     cnt/LTimer_T(4) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3)); +
     cnt/LTimer_CE(4) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0',cnt/LTimer_CE(5)); +
     cnt/LTimer_T(5) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4)); +
     cnt/LTimer_CE(5) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0',cnt/LTimer_CE(6)); +
     cnt/LTimer_T(6) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5)); +
     cnt/LTimer_CE(6) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0',cnt/LTimer_CE(7)); +
     cnt/LTimer_T(7) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6)); +
     cnt/LTimer_CE(7) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0',cnt/LTimer_CE(8)); +
     cnt/LTimer_T(8) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/LTimer(7)); +
     cnt/LTimer_CE(8) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0',cnt/LTimer_CE(9)); +
     cnt/LTimer_T(9) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/LTimer(7) AND cnt/LTimer(8)); +
     cnt/LTimer_CE(9) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0',cnt/LTimer_CE(10)); +
     cnt/LTimer_T(10) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +
     cnt/LTimer_CE(10) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0',cnt/LTimer_CE(11)); +
     cnt/LTimer_T(11) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +
     cnt/LTimer_CE(11) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/LTimer12: FTCPE port map (cnt/LTimer(12),cnt/LTimer_T(12),FCLK,'0','0',cnt/LTimer_CE(12)); +
     cnt/LTimer_T(12) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(11) AND +
      cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND +
      cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND +
      cnt/LTimer(9)); +
     cnt/LTimer_CE(12) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0',cnt/LTimerTC_CE); +
     cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(11) AND +
      cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND +
      cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND +
      cnt/LTimer(9) AND cnt/LTimer(12)); +
     cnt/LTimerTC_CE <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
+FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); +
     cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND +
      cnt/Er(1)); +
     cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); +
+FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1)); +
     cnt/Timer_D(1) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(1)) +
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (cnt/Timer(0) AND cnt/Timer(1))); +
     cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); +
+FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2)); +
     cnt/Timer_D(2) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) +
      OR (NOT cnt/Timer(0) AND NOT cnt/Timer(2)) +
      OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) +
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); +
     cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); +
+FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); +
     cnt/TimerTC_D <= (RefUrg AND cnt/Timer(0) AND NOT cnt/Timer(1) AND +
      NOT cnt/Timer(2)); +
     cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); +
+FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); +
+FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,NOT nRES.PIN,'0'); +
     cs/nOverlay_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay AND NOT nAS_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay AND fsb/ASrf)); +
+FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); +
+FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,FCLK,'0','0'); +
     fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT fsb/Ready0r AND NOT ram/RAMReady)); +
+FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,FCLK,'0','0'); +
     fsb/Ready1r_D <= ((A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND +
      NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nADoutLE1) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) +
      OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady) +
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND +
      NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nADoutLE1)); +
+FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,FCLK,'0','0'); +
     fsb/VPA_D <= ((EXP12_.EXP) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND +
      NOT iobs/IOReady AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) +
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND +
      NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nAS_FSB AND +
      NOT nADoutLE1) +
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND +
      NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nAS_FSB AND +
      NOT nADoutLE1) +
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND +
      NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND fsb/ASrf AND +
      NOT nADoutLE1) +
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND +
      NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND fsb/ASrf AND +
      NOT nADoutLE1) +
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND +
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND NOT nAS_FSB) +
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND +
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND iobs/IOReady AND NOT nAS_FSB) +
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND +
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND iobs/IOReady AND fsb/ASrf) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND +
      NOT iobs/IOReady AND NOT nAS_FSB) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND +
      NOT iobs/IOReady AND fsb/ASrf) +
      OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND +
      NOT iobs/IOReady AND NOT nAS_FSB) +
      OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND +
      NOT iobs/IOReady AND fsb/ASrf) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND +
      NOT iobs/IOReady AND NOT nAS_FSB)); +
+FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C16M,'0','0'); +
+FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,C16M,'0','0'); +
+FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT C16M,'0','0'); +
+FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,C16M,'0','0'); +
+FDCPE_iobm/DoutOE: FDCPE port map (iobm/DoutOE,iobm/DoutOE_D,C16M,'0','0'); +
     iobm/DoutOE_D <= ((NOT IORW0 AND iobm/IOS_FSM_FFd3) +
      OR (NOT IORW0 AND iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr AND NOT nAoutOE)); +
+FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),C16M,'0','0'); +
     iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); +
+FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),C16M,'0','0'); +
     iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) +
      OR (NOT iobm/Er AND iobm/Er2)); +
+FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),C16M,'0','0'); +
     iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) +
      OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) +
      OR (NOT iobm/Er AND iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) +
      OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); +
+FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),C16M,'0','0'); +
     iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); +
+FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),C16M,'0','0'); +
     iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND +
      iobm/ES(3) AND iobm/Er) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND +
      iobm/ES(3) AND NOT iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND iobm/ES(4))); +
+FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,C16M,'0','0'); +
     iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND iobm/ES(4)); +
+FDCPE_iobm/Er: FDCPE port map (iobm/Er,E,NOT C8M,'0','0'); +
+FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,C16M,'0','0'); +
+FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT C16M,'0','0'); +
+FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C16M,'0','0'); +
     iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)); +
+FTCPE_iobm/IOS_FSM_FFd2: FTCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,C16M,'0','0'); +
     iobm/IOS_FSM_FFd2_T <= ((iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND +
      NOT iobm/IOS_FSM_FFd2) +
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND +
      iobm/IOS_FSM_FFd2 AND iobm/ETACK) +
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND +
      iobm/IOS_FSM_FFd2 AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND +
      iobm/IOS_FSM_FFd2 AND iobm/BERRrf AND iobm/BERRrr) +
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND +
      iobm/IOS_FSM_FFd2 AND iobm/RESrf AND iobm/RESrr)); +
+FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,'0','0'); +
     iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) +
      OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND +
      NOT iobm/IOS_FSM_FFd2) +
      OR (NOT C8M AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND +
      iobm/IOREQr AND NOT nAoutOE)); +
+FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES.PIN,NOT C16M,'0','0'); +
+FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES.PIN,C16M,'0','0'); +
+FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT C16M,'0','0'); +
+FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,C16M,'0','0'); +
+FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,FCLK,'0','0'); +
     iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); +
+FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0'); +
+FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); +
+FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); +
     iobs/IORW1_T <= ((iobs/Once) +
      OR (NOT nADoutLE1) +
      OR (nDTACK_FSB_OBUF.EXP) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) +
      OR (nWE_FSB AND iobs/IORW1) +
      OR (NOT nWE_FSB AND NOT iobs/IORW1) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); +
+FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,FCLK,'0','0'); +
     iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) +
      OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND IOBERR AND nADoutLE1) +
      OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) +
      OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); +
+FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); +
+FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); +
     iobs/Load1_D <= ((iobs/Once) +
      OR (NOT nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22)) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay)); +
+FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,FCLK,'0','0'); +
     iobs/Once_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND +
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) +
      OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND +
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND +
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND +
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) +
      OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); +
+FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,'0','0'); +
     iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) +
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); +
+FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,'0','0'); +
     iobs/PS_FSM_FFd2_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); +
+
+nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); +
+FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,FCLK,'0','0'); +
     nADoutLE1_D <= ((iobs/Load1) +
      OR (NOT iobs/Clear1 AND NOT nADoutLE1)); +
+FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT C16M,'0','0'); +
     nAS_IOB <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2) +
      OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2)); +
     nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z'; +
     nAS_IOB_OE <= NOT nAoutOE; +
+FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0'); +
     nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND +
      cnt/INITS_FSM_FFd2) +
      OR (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2 AND +
      NOT nAoutOE)); +
+FTCPE_nBERR_FSB: FTCPE port map (nBERR_FSB,nBERR_FSB_T,FCLK,'0','0'); +
     nBERR_FSB_T <= ((NOT nBERR_FSB AND nAS_FSB AND NOT fsb/ASrf) +
      OR (iobs/Once AND NOT nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) +
      OR (iobs/Once AND nBERR_FSB AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) +
      OR (iobs/Once AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); +
+FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); +
     nBR_IOB_T <= ((NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND +
      cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r) +
      OR (nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND +
      NOT cnt/INITS_FSM_FFd2)); +
+FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0'); +
+FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); +
     nDTACK_FSB_D <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND
      nDTACK_FSB) -
      || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && -
      !iobs/IOReady && nDTACK_FSB) -
      || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && -
      !iobs/IOReady && nDTACK_FSB) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && -
      !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) -
      || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && -
      !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1) -
      || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && -
      !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1)); +
      OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady AND nDTACK_FSB) +
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND +
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND fsb/Ready1r) +
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND +
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND iobs/IOReady) +
      OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady AND nDTACK_FSB) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) +
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND +
      NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) +
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND +
      NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1));
-FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!C16M,1'b0,1'b0); -
     assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2); +FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); +
     nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2);
-assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB) -
      || (A_FSB[22] && A_FSB[21] && nWE_FSB && !nAS_FSB) -
      || (A_FSB[22] && A_FSB[20] && nWE_FSB && !nAS_FSB)); +nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) +
      OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) +
      OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB));
-assign nDoutOE = !((iobm/DoutOE && !nAoutOE)); +nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE));
-FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0); -
     assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && -
      iobm/IOS_FSM_FFd2) -
      || (iobs/IOL0 && iobm/IOS_FSM_FFd1 && -
      iobm/IOS_FSM_FFd2) -
      || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 && -
      !iobm/IOS_FSM_FFd1)); -
     assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ; -
     assign nLDS_IOB_OE = !nAoutOE; +FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); +
     nLDS_IOB <= ((IOL0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) +
      OR (IOL0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) +
      OR (IORW0 AND IOL0 AND iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd1)); +
     nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z'; +
     nLDS_IOB_OE <= NOT nAoutOE;
-assign nOE = !((nWE_FSB && !nAS_FSB)); +nOE <= NOT ((nWE_FSB AND NOT nAS_FSB));
-assign nRAMLWE = !((!nWE_FSB && !nLDS_FSB && !nAS_FSB && ram/RAMEN)); +nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND NOT nAS_FSB AND ram/RAMEN));
-assign nRAMUWE = !((!nWE_FSB && !nUDS_FSB && !nAS_FSB && ram/RAMEN)); +nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN));
-assign nRAS = !(((ram/RefRAS) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && -
      ram/RAMEN) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !nAS_FSB && ram/RAMEN))); +nRAS <= '1';
-assign nRES_I = 1'b0; -
     assign nRES = nRES_OE ? nRES_I : 1'bZ; -
     assign nRES_OE = !cnt/nRESout; +nRES_I <= '0'; +
     nRES <= nRES_I when nRES_OE = '1' else 'Z'; +
     nRES_OE <= NOT nRESout; +
+FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); +
     nRESout_D <= (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2);
-assign nROMCS = !(((!A_FSB[23] && A_FSB[22] && !A_FSB[21] && !A_FSB[20]) -
      || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] && -
      !cs/nOverlay1))); +nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20))));
-assign nROMWE = !((!nWE_FSB && !nAS_FSB)); +nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB));
-FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!C16M,1'b0,1'b0); -
     assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 && -
      iobm/IOS_FSM_FFd2) -
      || (iobs/IOU0 && iobm/IOS_FSM_FFd1 && -
      iobm/IOS_FSM_FFd2) -
      || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 && -
      !iobm/IOS_FSM_FFd1)); -
     assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ; -
     assign nUDS_IOB_OE = !nAoutOE; +FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT C16M,'0','0'); +
     nUDS_IOB <= ((IOU0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) +
      OR (IOU0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) +
      OR (IORW0 AND IOU0 AND iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd1)); +
     nUDS_IOB <= nUDS_IOB_I when nUDS_IOB_OE = '1' else 'Z'; +
     nUDS_IOB_OE <= NOT nAoutOE;
-FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0); -
     assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && -
      !iobm/ES[3] && !iobm/ES[4]) -
      || (nVMA_IOB && iobm/ES[0] && iobm/ES[1] && iobm/ES[2] && -
      !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf && -
      iobm/VPArr)); -
     assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ; -
     assign nVMA_IOB_OE = !nAoutOE; +FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C16M,'0','0'); +
     nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4)) +
      OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); +
     nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z'; +
     nVMA_IOB_OE <= NOT nAoutOE;
-assign nVPA_FSB = !((fsb/VPA && !nAS_FSB)); +nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB));
-FDCPE FDCPE_ram/BACTr (ram/BACTr,ram/BACTr_D,FCLK,1'b0,1'b0); -
     assign ram/BACTr_D = (nAS_FSB && !fsb/ASrf); +FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); +
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf);
-FDCPE FDCPE_ram/RAMEN (ram/RAMEN,ram/RAMEN_D,FCLK,1'b0,1'b0); -
     assign ram/RAMEN_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && -
      !ram/RS_FSM_FFd1 && ram/RAMEN) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && -
      !ram/RS_FSM_FFd1 && ram/RAMEN && fsb/ASrf) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && ram/RAMEN) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !ram/RS_FSM_FFd1 && ram/RAMEN && fsb/ASrf) -
      || (ram/RS_FSM_FFd2 && ram/RAMEN) -
      || (ram/RS_FSM_FFd3 && ram/RAMEN) -
      || (!ram/RS_FSM_FFd1 && !ram/RefUrgent && ram/RAMEN && +FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); +
     ram/RAMEN_D <= ((ram/RS_FSM_FFd3 AND ram/RAMEN) +
      OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND ram/RAMEN) +
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RAMEN) +
      OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND
      ram/BACTr) -
      || (!ram/RS_FSM_FFd1 && !ram/RefUrgent && ram/RAMEN && -
      !ram/RefReq) -
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && !fsb/ASrf)); +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND +
      NOT ram/RefReq) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND ram/RAMEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf));
-FDCPE FDCPE_ram/RAMReady (ram/RAMReady,ram/RAMReady_D,FCLK,1'b0,1'b0); -
     assign ram/RAMReady_D = ((RA_6_OBUF.EXP) -
      || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && !ram/RefReq) -
      || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && -
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) -
      || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && -
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) -
      || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && -
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) -
      || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && -
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) -
      || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && -
      !ram/RefUrgent && !ram/RS_FSM_FFd3) -
      || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && ram/BACTr) -
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && !fsb/ASrf)); +FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0'); +
     ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) +
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) +
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) +
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) +
      OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg) +
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) +
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND +
      NOT ram/RAMEN));
-FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,FCLK,1'b0,1'b0); -
     assign ram/RASEL_D = ((A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && -
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) -
      || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && -
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) -
      || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && -
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && -
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !ram/RAMEN) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && -
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !ram/RAMEN && fsb/ASrf) -
      || (ram/RAMReady.EXP) -
      || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && ram/BACTr) -
      || (A_FSB[23] && !ram/RS_FSM_FFd2 && !ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && !ram/RefReq) -
      || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && -
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) -
      || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd2 && -
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) -
      || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd2 && -
      !ram/RefUrgent && !ram/RS_FSM_FFd3 && ram/BACTr) -
      || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) -
      || (ram/RS_FSM_FFd2 && ram/RS_FSM_FFd3) -
      || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) -
      || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) -
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && !fsb/ASrf)); +FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); +
     ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RefUrg AND NOT ram/RefReq) +
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RefUrg AND NOT ram/RefReq) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RefUrg AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND fsb/ASrf) +
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RefUrg AND ram/BACTr) +
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RefUrg AND ram/BACTr) +
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RefUrg AND ram/BACTr) +
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RefUrg AND NOT ram/RefReq) +
      OR (NOT nAS_FSB AND ram/RS_FSM_FFd1) +
      OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2) +
      OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) +
      OR (ram/RS_FSM_FFd1 AND NOT ram/RefUrg) +
      OR (ram/RS_FSM_FFd1 AND fsb/ASrf));
-FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0); -
     assign ram/RS_FSM_FFd1_D = ((ram/RS_FSM_FFd1 && ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && fsb/ASrf) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN && +FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,'0','0'); +
     ram/RS_FSM_FFd1_D <= ((ram/RS_FSM_FFd3) +
      OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2)); +
+FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,'0','0'); +
     ram/RS_FSM_FFd2_D <= ((ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND ram/RefUrg AND
      fsb/ASrf) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && -
      ram/RefUrgent && !ram/RAMEN) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && -
      ram/RefUrgent && !ram/RAMEN && fsb/ASrf) -
      || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) -
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) -
      || (!nAS_FSB && ram/RS_FSM_FFd1 && ram/RefUrgent && -
      !ram/RS_FSM_FFd3)); +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RefUrg AND +
      fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND +
      fsb/ASrf) +
      OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) +
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) +
      OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND +
      ram/RefUrg));
-FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0); -
     assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && !fsb/ASrf) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && -
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && -
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      !cs/nOverlay1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && fsb/ASrf) -
      || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) -
      || (!nAS_FSB && ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3) -
      || (ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd3 && fsb/ASrf) -
      || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && ram/BACTr) -
      || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && !ram/RefReq)); -
-FTCPE FTCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,1'b0,1'b0); -
     assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1.EXP) -
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && +FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0'); +
     ram/RS_FSM_FFd3_T <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) +
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) +
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) +
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) +
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND +
      fsb/ASrf) +
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND
      ram/RS_FSM_FFd3) -
      || (A_FSB[23] && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && -
      !ram/RS_FSM_FFd3) -
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && -
      !fsb/ASrf) -
      || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && !ram/RAMEN) -
      || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd1 && -
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) -
      || (A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd1 && -
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) -
      || (!A_FSB[22] && !cs/nOverlay1 && !ram/RS_FSM_FFd1 && -
      !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3)); +
      OR (NOT nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg) +
      OR (ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr));
-FDCPE FDCPE_ram/RefDone (ram/RefDone,ram/RefDone_D,FCLK,1'b0,1'b0); -
     assign ram/RefDone_D = ((ram/RefDone && ram/RefReqSync) -
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && -
      ram/RefReqSync)); +FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); +
     ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync) +
      OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd3 AND ram/RefReqSync) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd2 AND +
      ram/RS_FSM_FFd3 AND ram/RefReqSync));
-FDCPE FDCPE_ram/RefRAS (ram/RefRAS,ram/RefRAS_D,FCLK,1'b0,1'b0); -
     assign ram/RefRAS_D = (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2); +FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); +
     ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync);
-FDCPE FDCPE_ram/RefReq (ram/RefReq,ram/RefReq_D,FCLK,1'b0,1'b0); -
     assign ram/RefReq_D = (!ram/RefDone && ram/RefReqSync); +FDCPE_ram/RefReqSync: FDCPE port map (ram/RefReqSync,RefReq,FCLK,'0','0');
-FDCPE FDCPE_ram/RefReqSync (ram/RefReqSync,cnt/RefReq,FCLK,1'b0,1'b0); +FDCPE_ram/RefUrg: FDCPE port map (ram/RefUrg,ram/RefUrg_D,FCLK,'0','0'); +
     ram/RefUrg_D <= (NOT ram/RefDone AND ram/RegUrgSync);
-FDCPE FDCPE_ram/RefUrgent (ram/RefUrgent,ram/RefUrgent_D,FCLK,1'b0,1'b0); -
     assign ram/RefUrgent_D = (!ram/RefDone && ram/RegUrgentSync); -
-FDCPE FDCPE_ram/RegUrgentSync (ram/RegUrgentSync,cnt/RefUrgent,FCLK,1'b0,1'b0); +FDCPE_ram/RegUrgSync: FDCPE port map (ram/RegUrgSync,RefUrg,FCLK,'0','0');
Register Legend:
      FDCPE (Q,D,C,CLR,PRE,CE); diff --git a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm index 85f944b..bbd1f94 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm @@ -3,9 +3,9 @@ + @@ -52,8 +52,8 @@ + +
 

  diff --git a/cpld/XC95144XL/WarpSE_html/fit/maplogic_00.htm b/cpld/XC95144XL/WarpSE_html/fit/maplogic_00.htm index a3d79e5..6c75daf 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/maplogic_00.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/maplogic_00.htm @@ -20,6 +20,32 @@
Reg Init State
ALE0M24FB1MC17STD 22I/O/GCK1GCKRESET
ALE0S12FB1MC12STD 18I/OIRESET
C20MEN 0 0 
IOACT612FB6MC16STD  (b)(b)RESET
IOBERR913FB6MC18STD  (b)(b)RESET
IOL035FB4MC17STD 97I/OIRESET
IOREQ1319FB3MC16STD  (b)(b)RESET
IORW01720FB3MC1STD  (b)(b)RESET
IOU035FB4MC16STD  (b)(b)RESET
RA<0> 2 3
RA<8>7756 FB7 MC8 STD 
cnt/IPL2rRefReq25FB4MC15STD 96I/OIRESET
RefUrg57FB4MC18STD  (b)(b)RESET
cnt/Er<0>11FB1MC11STD 17I/OIRESET
cnt/Er<1> 1 1 FB4RESET
cnt/LTimer<0>23FB4MC14cnt/INITS_FSM_FFd117FB8MC17 STD  9573 I/OI(b)RESET
cnt/INITS_FSM_FFd226FB8MC7STD  (b)(b)RESET
cnt/LTimer<0>13FB8MC18STD  (b)(b) RESET
cnt/LTimer<10>42 13 FB7 MC18
cnt/LTimer<11>42 14 FB7 MC17
cnt/LTimer<12>42 15 FB7 MC16RESET
cnt/LTimer<13>315FB7MC1STD  (b)(b)RESET
cnt/LTimer<1>42 4 FB4MC17MC14 STD  9795 I/O I RESET
cnt/LTimer<2>42 5 FB4MC16MC13 STD    
cnt/LTimer<3>42 6 FB4MC15MC12 STD  9694 I/O I RESET
cnt/LTimer<4>42 7 FB7 MC15
cnt/LTimer<5>42 8 FB7 MC13
cnt/LTimer<6>42 9 FB7 MC10
cnt/LTimer<7>42 10 FB7 MC7
cnt/LTimer<8>42 11 FB7 MC4
cnt/LTimer<9>42 12 FB7 MC3RESET
cnt/PORS_FSM_FFd114FB4MC7STD  (b)(b)RESET
cnt/PORS_FSM_FFd2cnt/LTimerTC 23FB4MC13STD  (b)(b)RESET
cnt/RefReq45FB1MC18STD  (b)(b)RESET
cnt/RefUrgent35FB1MC1616FB7MC1 STD    
cnt/Timer<0> 23FB2MC184FB8MC4 STD    
cnt/Timer<1>3 4FB1MC155FB8MC10 STD  20I/OI (b)(b) RESET
cnt/Timer<2>3 5FB1MC14STD 19I/OIRESET
cnt/Timer<3>3 6FB1MC13FB8MC3 STD    
cnt/TimerTC 256FB4MC10STD  (b)(b)RESET
cnt/nIPL2r11 FB1 MC10 STDRESET
cnt/nRESout24FB4MC12STD 94I/OIRESET
cs/nOverlay0cs/nOverlay 3 8FB5MC8FB3MC14 STD  3932 I/O(b)RESET
cs/nOverlay123FB5MC4STD  (b)(b)I RESET
fsb/ASrf 1 1FB1FB4 MC7 STD  
fsb/Ready0r38FB5MC727FB8MC9 STD   (b)67I/O (b) RESET
6 17 FB3MC10MC13 STD    
fsb/VPA1816 26 FB3MC1MC6 STD   (b)(b)RESET
iobm/ALE024FB6MC4STD  (b)(b)25I/OI RESET
iobm/BERRrf 1 1FB4MC4FB1MC9 STD   (b)(b)16I/OI RESET
iobm/BERRrr 1 1FB4MC3FB1MC8 STD   (b)(b)15I/OI RESET
iobm/DTACKrf 1 1FB2MC17FB1MC7 STD  10I/OI (b)(b) RESET
iobm/DTACKrr 1 1FB2MC16FB1MC6 STD   (b)(b)14I/OI RESET
iobm/DoutOE2 36 FB6MC3MC7 STD    3 7 FB6MC8MC6 STD  7877 I/O I RESET3 4 FB6MC7MC5 STD   (b)(b)76I/OI RESET
5 7 FB6MC16MC13 STD    3 6 FB6MC6MC4 STD  77I/OI (b)(b) RESET
4 7 FB6MC10MC8 STD   (b)(b)78I/OI RESET
1 1 FB2MC14MC18 STD  8I/OI (b)(b) RESET
iobm/Er 1 1FB2MC15FB1MC5 STD  913 I/O I RESET
iobm/IOACT612FB6MC18STD  (b)(b)RESET
iobm/IOBERR913FB4MC18STD  (b)(b)RESET
iobm/IOREQr 1 1 FB2MC13MC17 STD   (b)(b)10I/OI RESET
iobm/IOS_FSM_FFd1 2 3FB4MC10FB1MC16 STD    5 11 FB6MC13MC10 STD    3 6 FB6MC5MC3 STD  76I/OI (b)(b) RESET
1 1 FB2MC12MC16 STD  7I/OI (b)(b) RESET
1 1 FB2MC11MC15 STD  69 I/O I RESET1 1 FB2MC10MC14 STD   (b)(b)8I/OI RESET
1 1 FB2MC9STD 4I/O/GTS2IRESET
iobs/ALE012FB8MC4MC13 STD    iobs/Clear1 1 3FB8MC3FB3MC18 STD    1 1 FB1MC6MC4 STD  14I/OIRESET
iobs/IOL035FB1MC12STD  18I/OI(b)(b) RESET
2 2 FB1MC9STD 16I/OIRESET
iobs/IOREQ1319FB3MC7STD  (b)(b)RESET
iobs/IORW01720FB3 MC15 STD  3320 I/O I RESET16 19 FB3MC12MC11 STD  3029 I/O I RESETiobs/IOReady 4 8FB1MC17FB5MC16 STD  22I/O/GCK1GCKRESET
iobs/IOU035FB1MC11STD  17I/OI(b)(b) RESET
2 2 FB1MC8MC14 STD  1519 I/O I RESETiobs/Load1 14 18FB8MC16FB5MC3 STD    iobs/Once 15 18FB8MC1FB5MC17 STD   (b)49I/O (b) RESET
iobs/PS_FSM_FFd1 2 3FB8MC7FB5MC10 STD    iobs/PS_FSM_FFd2 12 19FB8MC14FB5MC7 STD  71I/O (b) (b) RESET
nAoutOE1324 FB4 MC2 STD
nDTACK_FSB109 26 FB3 MC9
nRAS3700 FB8 MC5 STD 
nRESout12FB4MC4STD  (b)(b)RESET
nROMCS 2 5ram/BACTr 1 2FB8MC17FB4MC3 STD  73I/O (b) (b) RESET
ram/RAMEN 913FB5MC1012FB8MC14 STD   (b)71I/O (b) RESET
ram/RAMReady1213FB5MC17STD 49I/O(b)RESET
ram/RASEL1713FB5MC1STD  (b)(b)RESET
ram/RS_FSM_FFd1811FB8MC9STD 67I/O(b)RESET
ram/RS_FSM_FFd21012FB5MC13STD  (b)(b)RESET
ram/RS_FSM_FFd3 91112 FB8 MC11 STDRESET
ram/RefDone24FB5MC3ram/RASEL1412FB8MC1 STD    RESET
ram/RefRAS1ram/RS_FSM_FFd1 23 FB1MC5MC13 STD  13 (b)(b)RESET
ram/RS_FSM_FFd2810FB5MC8STD 39 I/OI(b)RESET
ram/RS_FSM_FFd31312FB8MC16STD  (b)(b)RESET
ram/RefDone35FB1MC18STD  (b)(b) RESET
1 1 FB1MC3STD 12I/OIRESET
ram/RefReq12FB1MC4STD  (b)(b)RESET
ram/RefUrgent12FB1 MC2 STD  RESET
ram/RegUrgentSync1ram/RefReq 12 FB1MC3STD 12I/OIRESET
ram/RefUrg12FB1MC1STD  (b)(b)RESET
ram/RegUrgSync11FB4 MC1 STD  Reg Init State
ram/RegUrgentSync1ram/RefUrg 12 FB1 MC1 STDRESET
ram/RefUrgentram/RefReqSync1 12 FB1 MC2 STDRESET
ram/RefReqSync1ram/RefReq 12 FB1 MC3 STDRESET
ram/RefReqiobs/IOACTr1 12 FB1 MC4 STDRESET
ram/RefRASiobm/Er1 12 FB1 MC5 STDRESET
iobs/IOACTriobm/DTACKrr 1 1 FB1RESET
fsb/ASrfiobm/DTACKrf 1 1 FB1RESET
iobs/IOU122iobm/BERRrr11 FB1 MC8 STDRESET
iobs/IOL122iobm/BERRrf11 FB1 MC9 STDRESET
cnt/TimerTC25cnt/nIPL2r11 FB1 MC10 STDRESET
iobs/IOU035cnt/Er<0>11 FB1 MC11 STDRESET
iobs/IOL035ALE0S12 FB1 MC12 STDRESET
cnt/Timer<3>ram/RS_FSM_FFd12 36 FB1 MC13 STDRESET
cnt/Timer<2>35iobs/IOU122 FB1 MC14 STDRESET
cnt/Timer<1>34iobs/IOL122 FB1 MC15 STDRESET
cnt/RefUrgentiobm/IOS_FSM_FFd12 35 FB1 MC16 STDRESET
iobs/IOReadyALE0M2 48 FB1 MC17 STDRESET
cnt/RefReq4ram/RefDone3 5 FB1 MC181 1 FB2MC9STD 4I/O/GTS2IRESET
iobm/VPArf11FB2MC10STD  (b)(b)RESET
iobm/RESrr11FB2MC11STD 6I/OIRESET
iobm/RESrf11FB2MC12STD 7I/OIRESET
iobm/IOREQr11FB2 MC13 STD  RESET
iobm/Er2iobm/VPArf 1 1 FB2RESET
iobm/Eriobm/RESrr 1 1 FB2RESET
iobm/DTACKrriobm/RESrf 1 1 FB2RESET
iobm/DTACKrfiobm/IOREQr 1 1 FB2RESET
cnt/Timer<0>23iobm/Er211 FB2 MC18 STDRESET
fsb/VPA1826IORW01720 FB3 MC1 STDRESET
iobs/IOREQ1319fsb/VPA1626 FB3MC7MC6 STD   (b)(b)25I/OI RESET
nDTACK_FSB109 26 FB3 MC9RESET
fsb/Ready1r617FB3MC10STD  (b)(b)RESET
iobs/IORW1 16 19 FB3MC12MC11 STD  3029 I/O I RESET
iobs/IORW0fsb/Ready1r6 1720 FB3MC15MC13 STD  33 (b)(b)RESET
cs/nOverlay38FB3MC14STD 32 I/O I RESET
IOREQ1319FB3MC16STD  (b)(b)RESET
nROMWE 1 2 
nAoutOEiobs/Clear1 1 3FB3MC18STD  (b)(b)RESET
ram/RegUrgSync11FB4MC1STD  (b)(b)RESET
nAoutOE24 FB4 MC2 STDSET
iobm/BERRrr1ram/BACTr 12 FB4 MC3 STDRESET
iobm/BERRrf1nRESout 12 FB4 MC4 STD 
cnt/PORS_FSM_FFd1fsb/ASrf1 14 FB4 MC7 STD 
cnt/IPL2rcnt/Er<1> 1 1 FB4RESET
iobm/IOS_FSM_FFd1cnt/TimerTC 236 FB4 MC10 STD 
cnt/nRESoutcnt/LTimer<3> 246 FB4 MC12 STDRESET
cnt/PORS_FSM_FFd2cnt/LTimer<2> 235 FB4 MC13 STDRESET
cnt/LTimer<0>cnt/LTimer<1> 234 FB4 MC14 STDRESET
cnt/LTimer<3>46RefReq25 FB4 MC15 STDRESET
cnt/LTimer<2>4IOU03 5 FB4 MC16RESET
cnt/LTimer<1>44IOL035 FB4 MC17 STDRESET
iobm/IOBERR913RefUrg57 FB4 MC18 STDRESET
ram/RASEL1713FB5MC1STD  (b)(b)RESET
nROMCS 2 5 
ram/RefDone24iobs/Load11418 FB5 MC3 STDRESET
cs/nOverlay123FB5MC4STD  (b)(b)RESET
nCAS 1 1 
fsb/Ready0r38iobs/PS_FSM_FFd21219 FB5 MC7 STDRESET
cs/nOverlay03ram/RS_FSM_FFd2 810 FB5 MC8 STD 
ram/RAMEN913iobs/PS_FSM_FFd123 FB5 MC10 STD 
ram/RS_FSM_FFd21012FB5MC13STD  (b)(b)RESET
RA<2> 2 3 
ram/RAMReady1213iobs/IOReady48FB5MC16STD  (b)(b)RESET
iobs/Once1518 FB5 MC17 STDRESET
iobm/DoutOE2iobm/IOS_FSM_FFd3 36 FB6 MC3 STDRESET
iobm/ALE024iobm/ES<3>36 FB6 MC4 STDRESET
iobm/IOS_FSM_FFd3iobm/ES<1> 364 FB6 MC5 STDRESET
iobm/ES<3>iobm/ES<0> 367 FB6 MC6 STDRESET
iobm/ES<1>iobm/DoutOE 346 FB6 MC7 STDRESET
iobm/ES<0>3iobm/ES<4>4 7 FB6 MC8RESET
iobm/ES<4>47iobm/IOS_FSM_FFd2511 FB6 MC10 STDRESET
iobm/IOS_FSM_FFd2iobm/ES<2> 5117 FB6 MC13 STD 
iobm/ES<2>57IOACT612 FB6 MC16 STDRESET
iobm/IOACT612IOBERR913 FB6 MC18 STDRESET
cnt/LTimer<13>315cnt/LTimerTC216 FB7 MC1 STD
cnt/LTimer<9>42 12 FB7 MC3
cnt/LTimer<8>42 11 FB7 MC4
cnt/LTimer<7>42 10 FB7 MC7
RA<8>7756 FB7 MC8 STD
cnt/LTimer<6>42 9 FB7 MC10
cnt/LTimer<5>42 8 FB7 MC13
cnt/LTimer<4>42 7 FB7 MC15
cnt/LTimer<12>42 15 FB7 MC16
cnt/LTimer<11>42 14 FB7 MC17
cnt/LTimer<10>42 13 FB7 MC18RESET
iobs/Once1518ram/RASEL1412 FB8 MC1 STD 
iobs/Clear113cnt/Timer<2>56 FB8 MC3 STDRESET
iobs/ALE01cnt/Timer<0> 24 FB8 MC4 STD
nRAS3700 FB8 MC5 STD 
iobs/PS_FSM_FFd1cnt/INITS_FSM_FFd2 236 FB8 MC7 STD 
ram/RS_FSM_FFd1811fsb/Ready0r27 FB8 MC9 STDRESET
ram/RS_FSM_FFd3cnt/Timer<1>45FB8MC10STD  (b)(b)RESET
ram/RAMReady 91112 FB8 MC11 STDRESET
iobs/PS_FSM_FFd2ram/RAMEN9 1219 FB8 MC14 STDRESET
iobs/Load11418ram/RS_FSM_FFd31312 FB8 MC16 STDRESET
ram/BACTrcnt/INITS_FSM_FFd1 127 FB8 MC17 STD(b) RESET
cnt/LTimer<0>13FB8MC18STD  (b)(b)RESET
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -72,7 +33,7 @@ - + @@ -85,7 +46,7 @@ - + @@ -98,9 +59,9 @@ - + + - @@ -111,9 +72,9 @@ - - + + @@ -124,9 +85,9 @@ - + + - @@ -137,7 +98,7 @@ - + @@ -150,9 +111,9 @@ - - - + + + @@ -163,9 +124,9 @@ - - - + + + @@ -176,9 +137,9 @@ - - - + + + @@ -189,9 +150,9 @@ - - - + + + @@ -202,9 +163,9 @@ - - - + + + @@ -215,9 +176,9 @@ - - - + + + @@ -228,9 +189,9 @@ - + + - @@ -241,8 +202,21 @@ + + + + + + + + + + + + + - + @@ -258,23 +232,23 @@ - + - + - - - + + + - + - + @@ -332,9 +306,9 @@ - - + + @@ -410,9 +384,9 @@ - - - + + + @@ -463,8 +437,8 @@ - - + + @@ -528,7 +502,7 @@ - + @@ -541,7 +515,7 @@ - + @@ -567,8 +541,8 @@ - - + + @@ -605,9 +579,9 @@ - - - + + + @@ -618,9 +592,9 @@ - + - + @@ -644,9 +618,9 @@ - + + - @@ -670,9 +644,9 @@ - + - + @@ -696,9 +670,9 @@ - + - + @@ -709,9 +683,9 @@ - + - + @@ -722,8 +696,8 @@ - - + + @@ -814,8 +788,8 @@ - - + + @@ -865,7 +839,7 @@ - + @@ -891,9 +865,9 @@ - + - + @@ -904,9 +878,9 @@ - + - + @@ -917,9 +891,9 @@ - - - + + + @@ -930,9 +904,9 @@ - - - + + + @@ -943,9 +917,9 @@ - - + + @@ -959,9 +933,9 @@ - + + - @@ -975,7 +949,7 @@ - + @@ -991,9 +965,9 @@ - - - + + + @@ -1007,9 +981,9 @@ - + + - @@ -1017,15 +991,15 @@ - + - + + - @@ -1039,8 +1013,8 @@ - - + + @@ -1055,23 +1029,7 @@ - - - - - - - - - - - - - - - - - + @@ -1087,7 +1045,7 @@ - + @@ -1103,9 +1061,9 @@ - - - + + + @@ -1119,9 +1077,9 @@ - - - + + + @@ -1135,27 +1093,11 @@ - - - - - - - - - - - - - - - - - + @@ -1167,10 +1109,58 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -1183,9 +1173,9 @@ - - + + @@ -1199,9 +1189,9 @@ - + + - @@ -1209,15 +1199,15 @@ - + - + - + @@ -1231,27 +1221,11 @@ - - - - - - - - - - - - - - - - - + - + @@ -1263,9 +1237,25 @@ - - - + + + + + + + + + + + + + + + + + + + @@ -1279,25 +1269,9 @@ - - - - - - - - - - - - - - - - - - - + + + @@ -1311,25 +1285,9 @@ - - - - - - - - - - - - - - - - - - - + + + @@ -1337,15 +1295,15 @@ - + - - - + + + @@ -1359,17 +1317,17 @@ - - - + + + - + - + @@ -1391,9 +1349,9 @@ - - + + @@ -1407,9 +1365,9 @@ - - - + + + @@ -1417,15 +1375,15 @@ - + - + - + @@ -1439,27 +1397,11 @@ - - - - - - - - - - - - - - - - - + @@ -1475,6 +1417,22 @@ + + + + + + + + + + + + + + + + @@ -1487,9 +1445,9 @@ - - - + + + @@ -1497,30 +1455,30 @@ - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - + @@ -1536,7 +1494,7 @@ - + @@ -1552,7 +1510,7 @@ - + @@ -1568,7 +1526,7 @@ - + @@ -1584,7 +1542,7 @@ - + @@ -1600,7 +1558,7 @@ - + @@ -1616,7 +1574,7 @@ - + @@ -1631,9 +1589,9 @@ - - - + + + @@ -1641,15 +1599,15 @@ - + - - - + + + @@ -1663,9 +1621,9 @@ - - + + @@ -1673,15 +1631,15 @@ - + - + - + @@ -1689,15 +1647,31 @@ + + + + + + + + + + + + + + + + - - - + + + @@ -1705,7 +1679,23 @@ - + + + + + + + + + + + + + + + + + diff --git a/cpld/XC95144XL/WarpSE_html/fit/options.htm b/cpld/XC95144XL/WarpSE_html/fit/options.htm index c3e00cc..3b7441a 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/options.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/options.htm @@ -96,7 +96,7 @@ - +
diff --git a/cpld/XC95144XL/WarpSE_html/fit/maplogic_02.htm b/cpld/XC95144XL/WarpSE_html/fit/maplogic_02.htm index 8d9ee73..a5cd9c8 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/maplogic_02.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/maplogic_02.htm @@ -20,46 +20,7 @@ Reg Init State
iobm/VPArr11FB2MC9STD 4I/O/GTS2IRESET
iobm/RESrr11FB2MC11STD 6I/OIRESET
iobm/RESrf11FB2MC12STD 7I/OIRESET
iobm/Er2iobm/VPArf 1 1 FB2RESET
iobm/Eriobm/RESrr 1 1 FB2RESET
iobm/DTACKrfiobm/IOREQr 1 1 FB2RESET
ram/RefUrgentram/RefReqSync1 12 FB1 MC2 STDRESET
ram/RefReqSync1ram/RefReq 12 FB1 MC3 STDRESET
ram/RefRASiobm/Er1 12 FB1 MC5 STDRESET
iobs/IOACTriobm/DTACKrr 1 1 FB1RESET
iobs/IOU122iobm/BERRrr11 FB1 MC8 STDRESET
iobs/IOL122iobm/BERRrf11 FB1 MC9 STDRESET
iobs/IOU035cnt/Er<0>11 FB1 MC11 STDRESET
iobs/IOL035ALE0S12 FB1 MC12 STDRESET
cnt/Timer<2>35iobs/IOU122 FB1 MC14 STDRESET
cnt/Timer<1>34iobs/IOL122 FB1 MC15 STDRESET
iobs/IOReadyALE0M2 48 FB1 MC17 STDRESET
fsb/VPA1626FB3MC6STD 25I/OIRESET
nDTACK_FSB109 26 FB3 MC916 19 FB3MC12MC11 STD  3029 I/O I RESET
iobs/IORW01720cs/nOverlay38 FB3MC15MC14 STD  3332 I/O I RESET 
cs/nOverlay03ram/RS_FSM_FFd2 810 FB5 MC8 STD 
ram/RAMReady1213iobs/Once1518 FB5 MC17 STD
RA<8>7756 FB7 MC8 STD
cnt/LTimer<4>42 7 FB7 MC15
cnt/LTimer<11>42 14 FB7 MC17
nRAS3700 FB8 MC5 STD 
ram/RS_FSM_FFd1811fsb/Ready0r27 FB8 MC9 STDRESET
ram/RS_FSM_FFd3ram/RAMReady 91112 FB8 MC11 STDRESET
iobs/PS_FSM_FFd2ram/RAMEN9 1219 FB8 MC14 STDRESET
ram/BACTrcnt/INITS_FSM_FFd1 127 FB8 MC17 STDRESET
iobm/IOS_FSM_FFd3iobm/ES<1> 364 FB6 MC5 STDRESET
iobm/ES<3>iobm/ES<0> 367 FB6 MC6 STDRESET
iobm/ES<0>3iobm/ES<4>4 7 FB6 MC8
nAoutOE1324 FB4 MC2 STD 
cnt/IPL2rcnt/Er<1> 1 1 FB4 
cnt/nRESoutcnt/LTimer<3> 246 FB4 MC12 STDRESET
cnt/LTimer<0>cnt/LTimer<1> 234 FB4 MC14 STDRESET
cnt/LTimer<3>46RefReq25 FB4 MC15 STDRESET
cnt/LTimer<1>44IOL035 FB4 MC17 STDRESET
ram/RegUrgentSync1ram/RefUrg 12 FB1 MC1 STDRESET
ram/RefReqiobs/IOACTr1 12 FB1 MC4 STDRESET
fsb/ASrfiobm/DTACKrf 1 1 FB1RESET
cnt/TimerTC25cnt/nIPL2r11 FB1 MC10 STDRESET
cnt/Timer<3>ram/RS_FSM_FFd12 36 FB1 MC13 STD  (b) (b)TD     RESET
cnt/RefUrgentiobm/IOS_FSM_FFd12 35 FB1 MC16 STDRESET
cnt/RefReq4ram/RefDone3 5 FB1 MC18RESET
iobm/VPArf11FB2MC10STD  (b)(b)D  RESET
iobm/IOREQriobm/VPArr 1 1 FB2RESET
iobm/DTACKrriobm/RESrf 1 1 FB2RESET
cnt/Timer<0>23iobm/Er211 FB2 MC18 STDRESET
fsb/VPA1826IORW01720 FB3 MC1 STDRESET
iobs/IOREQ1319FB3MC7STD  (b)(b)D  RESET
fsb/Ready1r 6 17 FB3MC10MC13 STD    RESET
iobm/BERRrrIOREQ1319FB3MC16STD  (b)(b)D  RESET
iobs/Clear113FB3MC18STD  (b)(b)D  RESET
ram/RegUrgSync 1 1 FB4MC1STD  (b)(b)D  RESET
ram/BACTr12FB4 MC3 STD  RESET
iobm/BERRrf1nRESout 12 FB4 MC4 STDRESET
cnt/PORS_FSM_FFd1fsb/ASrf1 14 FB4 MC7 STD  (b) (b)TD     RESET
iobm/IOS_FSM_FFd1cnt/TimerTC 236 FB4 MC10 STDRESET
cnt/PORS_FSM_FFd223FB4MC13STD  (b)(b)D  RESET
cnt/LTimer<2>42 5 FB4MC16MC13 STD    RESET
iobm/IOBERR913IOU035FB4MC16STD  (b)(b)D  RESET
RefUrg57 FB4 MC18 STDRESET
ram/RASEL1713FB5MC1STD  (b)(b)D  RESET
ram/RefDone24iobs/Load11418 FB5 MC3 STDRESET
cs/nOverlay123FB5MC4STD  (b)(b)D  RESET
fsb/Ready0r38iobs/PS_FSM_FFd21219 FB5 MC7 STD  (b) (b)DT     RESET
ram/RAMEN913iobs/PS_FSM_FFd123 FB5 MC10 STDRESET
ram/RS_FSM_FFd21012iobs/IOReady48 FB5MC13MC16 STD     (b) (b)DT     RESETRESET
iobm/DoutOE2iobm/IOS_FSM_FFd3 36 FB6 MC3 STDRESET
iobm/ALE024iobm/ES<3>36 FB6 MC4 STD  (b) (b)DT     RESET
iobm/ES<1>iobm/DoutOE 346 FB6 MC7 STDRESET
iobm/ES<4>47FB6MC10STD  (b)(b)T  RESET
iobm/IOS_FSM_FFd2 5 11 FB6MC13MC10 STD    5 7 FB6MC13STD  (b)(b)D  RESET
IOACT612FB6 MC16 STD  RESET
iobm/IOACT612IOBERR913 FB6 MC18 STD  (b) (b)D  RESET
cnt/LTimer<13>315FB7MC1STD  (b)(b) T     RESET
cnt/LTimerTC216FB7MC1STD  (b)(b)D  RESET
cnt/LTimer<9>42 12 FB7 MC3
cnt/LTimer<8>42 11 FB7 MC4
cnt/LTimer<7>42 10 FB7 MC7
cnt/LTimer<6>42 9 FB7 MC10
cnt/LTimer<5>42 8 FB7 MC13
cnt/LTimer<12>42 15 FB7 MC16
cnt/LTimer<10>42 13 FB7 MC18RESET
iobs/Once1518ram/RASEL1412 FB8 MC1 STD  (b) (b)TD     RESET
iobs/Clear113cnt/Timer<2>56 FB8 MC3 STDRESET
iobs/ALE01cnt/Timer<0> 24 FB8 MC4 STD  (b) (b)DT     RESET
iobs/PS_FSM_FFd1cnt/INITS_FSM_FFd2 236 FB8 MC7 STD  (b) (b)T  RESET
cnt/Timer<1>45FB8MC10STD  (b)(b) D     RESET
iobs/Load11418ram/RS_FSM_FFd31312 FB8 MC16 STD  (b) (b)DT  RESET
cnt/LTimer<0>13FB8MC18STD  (b)(b)T     RESET
 Pterm Limit (1-90) 50 25
diff --git a/cpld/XC95144XL/WarpSE_html/fit/summary.htm b/cpld/XC95144XL/WarpSE_html/fit/summary.htm index 88af5c6..ca457ca 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/summary.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/summary.htm @@ -30,7 +30,7 @@ - +
 Date   3-25-2023, 0:52AM  3-26-2023, 4:30AM

RESOURCES SUMMARY
@@ -42,11 +42,11 @@ - - - + + + - +
Function Block Inputs Used
119/144  (83%)428/720  (60%)94/144  (66%)118/144  (82%)384/720  (54%)93/144  (65%) 71/81  (88%)230/432  (54%)224/432  (52%)

PIN RESOURCES
@@ -133,7 +133,7 @@
- + @@ -141,7 +141,7 @@ - +
 Macrocells in high performance mode (MCHP) 119 118
 Macrocells in low power mode (MCLP)
 Total macrocells used (MC) 119 118
diff --git a/cpld/XC95144XL/WarpSE_html/fit/time.htm b/cpld/XC95144XL/WarpSE_html/fit/time.htm index ae0d1cd..f8cf5e6 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/time.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/time.htm @@ -1,923 +1,4 @@
-                           Performance Summary Report
-                           --------------------------
-
-Design:     WarpSE
-Device:     XC95144XL-10-TQ100
-Speed File: Version 3.0
-Program:    Timing Report Generator:  version P.20131013
-Date:       Mon Mar 28 09:28:06 2022
-
-Timing Constraint Summary:
-
-TS_CLK_IOB=PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS                            N/A
-TS_CLK_FSB=PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS                             Met
-TS_CLK2X_IOB=PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS                         Met
-
-Performance Summary:
-
-Pad to Pad (tPD)                          :         11.0ns (1 macrocell levels)
-Pad 'A_FSB<11>' to Pad 'RA<1>'                                    
-
-Clock net 'CLK_IOB' path delays:
-
-Setup to Clock at the Pad (tSU)           :          6.5ns (0 macrocell levels)
-Data signal 'E_IOB' to DFF D input Pin at 'iobm/Er.D'
-Clock pad 'CLK_IOB'                                                       (GCK)
-
-                          Minimum Clock Period: 9.0ns
-                     Maximum Internal Clock Speed: 111.1Mhz
-                         (Limited by Clock Pulse Width)
-
-Clock net 'CLK_FSB' path delays:
-
-Clock Pad to Output Pad (tCO)             :         14.5ns (2 macrocell levels)
-Clock Pad 'CLK_FSB' to Output Pad 'RA<1>'                                 (GCK)
-
-Clock to Setup (tCYC)                     :         20.1ns (2 macrocell levels)
-Clock to Q, net 'cs/nOverlay1.Q' to DFF Setup(D) at 'fsb/VPA.D'           (GCK)
-Target FF drives output net 'fsb/VPA'
-
-Setup to Clock at the Pad (tSU)           :         16.6ns (1 macrocell levels)
-Data signal 'A_FSB<23>' to DFF D input Pin at 'fsb/VPA.D'
-Clock pad 'CLK_FSB'                                                       (GCK)
-
-                          Minimum Clock Period: 20.1ns
-                     Maximum Internal Clock Speed: 49.7Mhz
-                            (Limited by Cycle Time)
-
-Clock net 'CLK2X_IOB' path delays:
-
-Clock Pad to Output Pad (tCO)             :         14.5ns (2 macrocell levels)
-Clock Pad 'CLK2X_IOB' to Output Pad 'nVMA_IOB'                            (GCK)
-
-Clock to Setup (tCYC)                     :         11.0ns (1 macrocell levels)
-Clock to Q, net 'iobm/IOS_FSM_FFd3.Q' to DFF Setup(D) at 'IOACT.D'        (GCK)
-Target FF drives output net 'IOACT'
-
-Setup to Clock at the Pad (tSU)           :          7.5ns (0 macrocell levels)
-Data signal 'CLK_IOB' to DFF D input Pin at 'IOACT.D'
-Clock pad 'CLK2X_IOB'                                                     (GCK)
-
-                          Minimum Clock Period: 11.0ns
-                     Maximum Internal Clock Speed: 90.9Mhz
-                            (Limited by Cycle Time)
-
---------------------------------------------------------------------------------
-                            Pad to Pad (tPD) (nsec)
-
-\ From        A     A     A     A     A     A     A     A     A     A     A
- \            _     _     _     _     _     _     _     _     _     _     _
-  \           F     F     F     F     F     F     F     F     F     F     F
-   \          S     S     S     S     S     S     S     S     S     S     S
-    \         B     B     B     B     B     B     B     B     B     B     B
-     \        <     <     <     <     <     <     <     <     <     <     <
-      \       1     1     1     1     1     1     1     1     1     1     1
-       \      0     1     2     3     4     5     6     7     8     9     >
-        \     >     >     >     >     >     >     >     >     >     >      
-  To     \------------------------------------------------------------------
-
-CLK20EN                                                                    
-CLK25EN                                                                    
-RA<0>      10.0                                                        10.0
-RA<10>                                                                     
-RA<11>                                                           10.0      
-RA<1>            11.0                                                      
-RA<2>                  11.0                                                
-RA<3>                        10.0                                          
-RA<4>                              11.0                                    
-RA<5>                                    11.0                              
-RA<6>                                          10.0                        
-RA<7>                                                10.0                  
-RA<8>                                                      10.0            
-RA<9>                                                            10.0      
-nBERR_FSB                                                                  
-nDinOE                                                                     
-nOE                                                                        
-nRAMLWE                                                                    
-nRAMUWE                                                                    
-nRAS                                                                       
-nROMCS                                                                     
-nROMWE                                                                     
-nVPA_FSB                                                                   
-
---------------------------------------------------------------------------------
-                            Pad to Pad (tPD) (nsec)
-
-\ From        A     A     A     A     A     A     A     A     A     A     A
- \            _     _     _     _     _     _     _     _     _     _     _
-  \           F     F     F     F     F     F     F     F     F     F     F
-   \          S     S     S     S     S     S     S     S     S     S     S
-    \         B     B     B     B     B     B     B     B     B     B     B
-     \        <     <     <     <     <     <     <     <     <     <     <
-      \       2     2     2     2     2     3     4     5     6     7     8
-       \      0     1     2     3     >     >     >     >     >     >     >
-        \     >     >     >     >                                          
-  To     \------------------------------------------------------------------
-
-CLK20EN                                                                    
-CLK25EN                                                                    
-RA<0>                                                                      
-RA<10>           10.0                                                      
-RA<11>                                                                     
-RA<1>                              11.0                                    
-RA<2>                                    11.0                              
-RA<3>                                          10.0                        
-RA<4>                                                11.0                  
-RA<5>                                                      11.0            
-RA<6>                                                            10.0      
-RA<7>                                                                  10.0
-RA<8>            11.0  11.0  11.0                                          
-RA<9>      10.0                                                            
-nBERR_FSB  11.0  11.0  11.0  11.0                                          
-nDinOE     10.0  10.0  10.0  10.0                                          
-nOE                                                                        
-nRAMLWE                                                                    
-nRAMUWE                                                                    
-nRAS             11.0  11.0  11.0                                          
-nROMCS     11.0  11.0  11.0  11.0                                          
-nROMWE                                                                     
-nVPA_FSB                                                                   
-
---------------------------------------------------------------------------------
-                            Pad to Pad (tPD) (nsec)
-
-\ From        A     S     S     n     n     n     n
- \            _     W     W     A     L     U     W
-  \           F     <     <     S     D     D     E
-   \          S     0     1     _     S     S     _
-    \         B     >     >     F     _     _     F
-     \        <                 S     F     F     S
-      \       9                 B     S     S     B
-       \      >                       B     B      
-        \                                          
-  To     \------------------------------------------
-
-CLK20EN          10.0                              
-CLK25EN          10.0                              
-RA<0>                                              
-RA<10>                                             
-RA<11>                                             
-RA<1>                                              
-RA<2>                                              
-RA<3>                                              
-RA<4>                                              
-RA<5>                                              
-RA<6>                                              
-RA<7>                                              
-RA<8>      11.0                                    
-RA<9>                                              
-nBERR_FSB                    10.0                  
-nDinOE                 10.0  10.0              10.0
-nOE                          10.0              10.0
-nRAMLWE                      10.0  10.0        10.0
-nRAMUWE                      11.0        11.0  11.0
-nRAS                         11.0                  
-nROMCS                 11.0                        
-nROMWE                       10.0              10.0
-nVPA_FSB                     10.0                  
-
---------------------------------------------------------------------------------
-                      Clock Pad to Output Pad (tCO) (nsec)
-
-\ From         C     C
- \             L     L
-  \            K     K
-   \           2     _
-    \          X     F
-     \         _     S
-      \        I     B
-       \       O      
-        \      B      
-         \            
-  To      \------------
-
-RA<0>             13.5
-RA<1>             14.5
-RA<2>             14.5
-RA<3>             13.5
-RA<4>             14.5
-RA<5>             14.5
-RA<6>             13.5
-RA<7>             13.5
-RA<8>             14.5
-RA<9>             13.5
-nADoutLE0   13.5  13.5
-nADoutLE1          5.8
-nAS_IOB     14.5      
-nAoutOE      5.8      
-nBERR_FSB         14.5
-nBR_IOB            5.8
-nCAS               5.8
-nDTACK_FSB         5.8
-nDinLE       5.8      
-nDoutOE      5.8      
-nLDS_IOB    14.5      
-nRAMLWE           13.5
-nRAMUWE           14.5
-nRAS              14.5
-nROMCS            14.5
-nUDS_IOB    14.5      
-nVMA_IOB    14.5      
-nVPA_FSB          13.5
-
---------------------------------------------------------------------------------
-                   Setup to Clock at Pad (tSU or tSUF) (nsec)
-
-\ From         C     C     C
- \             L     L     L
-  \            K     K     K
-   \           2     _     _
-    \          X     F     I
-     \         _     S     O
-      \        I     B     B
-       \       O            
-        \      B            
-         \                  
-  To      \------------------
-
-A_FSB<10>          7.9      
-A_FSB<11>          7.9      
-A_FSB<12>          7.9      
-A_FSB<13>          7.9      
-A_FSB<14>          7.9      
-A_FSB<15>          7.9      
-A_FSB<16>          7.9      
-A_FSB<17>          7.9      
-A_FSB<18>          7.9      
-A_FSB<19>          7.9      
-A_FSB<20>         15.6      
-A_FSB<21>         16.6      
-A_FSB<22>         16.6      
-A_FSB<23>         16.6      
-A_FSB<8>           7.9      
-A_FSB<9>           7.9      
-CLK_IOB      7.5            
-E_IOB                    6.5
-SW<1>              7.9      
-nAS_FSB           15.6      
-nBERR_IOB    7.5            
-nBG_IOB      6.5            
-nDTACK_IOB   6.5            
-nIPL2              6.5      
-nLDS_FSB           6.5      
-nRES         6.5   6.5      
-nUDS_FSB           6.5      
-nVPA_IOB     6.5            
-nWE_FSB            7.9      
-
---------------------------------------------------------------------------------
-                          Clock to Setup (tCYC) (nsec)
-                                (Clock: CLK_FSB)
-
-\ From                 B     I     I     I     R     R     R     R     R     T
- \                     E     O     P     P     E     E     E     E     e     i
-  \                    R     R     L     L     S     S     S     S     f     m
-   \                   R     W     2     2     D     r     r     r     A     e
-    \                  _     0     r     r     o     0     1     2     c     o
-     \                 I     .     0     1     n     .     .     .     k     u
-      \                O     Q     .     .     e     Q     Q     Q     .     t
-       \               B           Q     Q     .                       Q     A
-        \              S                       Q                             .
-         \             .                                                     Q
-          \            Q                                                      
-           \                                                                  
-            \                                                                 
-             \                                                                
-              \                                                               
-               \                                                              
-                \                                                             
-                 \                                                            
-  To              \------------------------------------------------------------
-
-ALE0S.D                                                                       
-BERR_IOBS.D         10.0                                                      
-IOL0.CE                                                                       
-IOL0.D                                                                        
-IOREQ.D                                                                       
-IORW0.D                   11.4                                                
-IOU0.CE                                                                       
-IOU0.D                                                                        
-IPL2r1.D                        10.0                                          
-RESDone.CE                                        10.0  10.0  10.0            
-RESr1.D                                           10.0                        
-RESr2.D                                                 10.0                  
-RefAck.D                                                                      
-TimeoutA.D                                                                10.0
-TimeoutB.D                                                                    
-cnt/RefCnt<1>.D                                                               
-cnt/RefCnt<2>.D                                                               
-cnt/RefCnt<3>.D                                                               
-cnt/RefCnt<4>.D                                                               
-cnt/RefCnt<5>.D                                                               
-cnt/RefCnt<6>.D                                                               
-cnt/RefCnt<7>.D                                                               
-cnt/RefDone.D                                                       10.0      
-cnt/TimeoutBPre.D                                                             
-cs/nOverlay0.D                                                                
-cs/nOverlay1.CE                                                               
-cs/nOverlay1.D                                                                
-fsb/BERR0r.D                                                                  
-fsb/BERR1r.D        10.0                                                      
-fsb/Ready0r.D                                                                 
-fsb/Ready1r.D                                                                 
-fsb/Ready2r.D                                                             11.0
-fsb/VPA.D           11.4                                                  11.4
-iobs/Clear1.D                                                                 
-iobs/IOL1.CE                                                                  
-iobs/IORW1.D                                                                  
-iobs/IOReady.D                                                                
-iobs/IOU1.CE                                                                  
-iobs/Load1.D                                                                  
-iobs/Once.D                                                                   
-iobs/PS_FSM_FFd1.D                                                            
-iobs/PS_FSM_FFd2.D                                                            
-nADoutLE1.D                                                                   
-nBR_IOB.CE                      10.0  10.0  10.0  10.0  10.0  10.0            
-nCAS.D                                                                        
-nDTACK_FSB.D        11.4                                                  11.4
-ram/BACTr.D                                                                   
-ram/Once.D                                                                    
-ram/RAMDIS1.D                                                                 
-ram/RAMDIS2.D                                                                 
-ram/RAMReady.D                                                                
-ram/RASEL.D                                                                   
-ram/RS_FSM_FFd1.D                                                             
-ram/RS_FSM_FFd2.D                                                             
-ram/RS_FSM_FFd3.D                                                             
-
---------------------------------------------------------------------------------
-                          Clock to Setup (tCYC) (nsec)
-                                (Clock: CLK_FSB)
-
-\ From                 T     c     c     c     c     c     c     c     c     c
- \                     i     n     n     n     n     n     n     n     n     n
-  \                    m     t     t     t     t     t     t     t     t     t
-   \                   e     /     /     /     /     /     /     /     /     /
-    \                  o     R     R     R     R     R     R     R     R     R
-     \                 u     e     e     e     e     e     e     e     e     e
-      \                t     f     f     f     f     f     f     f     f     f
-       \               B     C     C     C     C     C     C     C     C     D
-        \              .     n     n     n     n     n     n     n     n     o
-         \             Q     t     t     t     t     t     t     t     t     n
-          \                  <     <     <     <     <     <     <     <     e
-           \                 0     1     2     3     4     5     6     7     .
-            \                >     >     >     >     >     >     >     >     Q
-             \               .     .     .     .     .     .     .     .      
-              \              Q     Q     Q     Q     Q     Q     Q     Q      
-               \                                                              
-                \                                                             
-                 \                                                            
-  To              \------------------------------------------------------------
-
-ALE0S.D                                                                       
-BERR_IOBS.D                                                                   
-IOL0.CE                                                                       
-IOL0.D                                                                        
-IOREQ.D                                                                       
-IORW0.D                                                                       
-IOU0.CE                                                                       
-IOU0.D                                                                        
-IPL2r1.D                                                                      
-RESDone.CE                                                                    
-RESr1.D                                                                       
-RESr2.D                                                                       
-RefAck.D                                                                      
-TimeoutA.D                10.0  10.0  10.0  10.0  10.0  10.0  10.0            
-TimeoutB.D          10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0      
-cnt/RefCnt<1>.D           10.0                                                
-cnt/RefCnt<2>.D           10.0  10.0                                          
-cnt/RefCnt<3>.D           10.0  10.0  10.0                                    
-cnt/RefCnt<4>.D           10.0  10.0  10.0  10.0                              
-cnt/RefCnt<5>.D           10.0  10.0  10.0  10.0  10.0                        
-cnt/RefCnt<6>.D           10.0  10.0  10.0  10.0  10.0  10.0                  
-cnt/RefCnt<7>.D           10.0  10.0  10.0  10.0  10.0  10.0  10.0            
-cnt/RefDone.D             10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0
-cnt/TimeoutBPre.D         10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0      
-cs/nOverlay0.D                                                                
-cs/nOverlay1.CE                                                               
-cs/nOverlay1.D                                                                
-fsb/BERR0r.D        10.0                                                      
-fsb/BERR1r.D                                                                  
-fsb/Ready0r.D                                                                 
-fsb/Ready1r.D                                                                 
-fsb/Ready2r.D                                                                 
-fsb/VPA.D           19.1                                                      
-iobs/Clear1.D                                                                 
-iobs/IOL1.CE                                                                  
-iobs/IORW1.D                                                                  
-iobs/IOReady.D                                                                
-iobs/IOU1.CE                                                                  
-iobs/Load1.D                                                                  
-iobs/Once.D                                                                   
-iobs/PS_FSM_FFd1.D                                                            
-iobs/PS_FSM_FFd2.D                                                            
-nADoutLE1.D                                                                   
-nBR_IOB.CE                                                                    
-nCAS.D                                                                        
-nDTACK_FSB.D        19.1                                                      
-ram/BACTr.D                                                                   
-ram/Once.D                                                                    
-ram/RAMDIS1.D                                           11.4  11.4  11.4  11.4
-ram/RAMDIS2.D                                           11.0  11.0  11.0  11.0
-ram/RAMReady.D                                          11.4  11.4  11.4  11.4
-ram/RASEL.D                                             11.4  11.4  11.4  11.4
-ram/RS_FSM_FFd1.D                                                             
-ram/RS_FSM_FFd2.D                                       11.4  11.4  11.4  11.4
-ram/RS_FSM_FFd3.D                                       11.0  11.0  11.0  11.0
-
---------------------------------------------------------------------------------
-                          Clock to Setup (tCYC) (nsec)
-                                (Clock: CLK_FSB)
-
-\ From                 c     c     c     f     f     f     f     f     f     f
- \                     n     s     s     s     s     s     s     s     s     s
-  \                    t     /     /     b     b     b     b     b     b     b
-   \                   /     n     n     /     /     /     /     /     /     /
-    \                  T     O     O     A     B     B     R     R     R     V
-     \                 i     v     v     S     E     E     e     e     e     P
-      \                m     e     e     r     R     R     a     a     a     A
-       \               e     r     r     f     R     R     d     d     d     .
-        \              o     l     l     .     0     1     y     y     y     Q
-         \             u     a     a     Q     r     r     0     1     2      
-          \            t     y     y           .     .     r     r     r      
-           \           B     0     1           Q     Q     .     .     .      
-            \          P     .     .                       Q     Q     Q      
-             \         r     Q     Q                                          
-              \        e                                                      
-               \       .                                                      
-                \      Q                                                      
-                 \                                                            
-  To              \------------------------------------------------------------
-
-ALE0S.D                                                                       
-BERR_IOBS.D                           10.0                                    
-IOL0.CE                                                                       
-IOL0.D                                                                        
-IOREQ.D                         11.0  10.0                                    
-IORW0.D                         10.0  11.0                                    
-IOU0.CE                                                                       
-IOU0.D                                                                        
-IPL2r1.D                                                                      
-RESDone.CE                                                                    
-RESr1.D                                                                       
-RESr2.D                                                                       
-RefAck.D                                                                      
-TimeoutA.D                            10.0                                    
-TimeoutB.D          10.0              10.0                                    
-cnt/RefCnt<1>.D                                                               
-cnt/RefCnt<2>.D                                                               
-cnt/RefCnt<3>.D                                                               
-cnt/RefCnt<4>.D                                                               
-cnt/RefCnt<5>.D                                                               
-cnt/RefCnt<6>.D                                                               
-cnt/RefCnt<7>.D                                                               
-cnt/RefDone.D                                                                 
-cnt/TimeoutBPre.D   10.0              10.0                                    
-cs/nOverlay0.D            10.0        10.0                                    
-cs/nOverlay1.CE                       10.0                                    
-cs/nOverlay1.D            10.0                                                
-fsb/BERR0r.D                          10.0  10.0                              
-fsb/BERR1r.D                          10.0        10.0                        
-fsb/Ready0r.D                   10.0  10.0              10.0                  
-fsb/Ready1r.D                   11.0  10.0                    11.0            
-fsb/Ready2r.D                   11.0  11.0                          11.0      
-fsb/VPA.D                       20.1  19.1  11.4  11.4  20.1  11.4  11.4  11.4
-iobs/Clear1.D                                                                 
-iobs/IOL1.CE                                                                  
-iobs/IORW1.D                    11.4  11.0                                    
-iobs/IOReady.D                        10.0                                    
-iobs/IOU1.CE                                                                  
-iobs/Load1.D                    11.0  10.0                                    
-iobs/Once.D                     11.4  10.0                                    
-iobs/PS_FSM_FFd1.D                                                            
-iobs/PS_FSM_FFd2.D              11.0  10.0                                    
-nADoutLE1.D                                                                   
-nBR_IOB.CE                                                                    
-nCAS.D                                                                        
-nDTACK_FSB.D                    20.1  11.0  11.4  11.4  20.1  11.4  11.4      
-ram/BACTr.D                           10.0                                    
-ram/Once.D                      10.0  10.0                                    
-ram/RAMDIS1.D                   11.4  11.0                                    
-ram/RAMDIS2.D                   11.0  11.0                                    
-ram/RAMReady.D                  11.0  11.0                                    
-ram/RASEL.D                     11.4  11.4                                    
-ram/RS_FSM_FFd1.D               10.0  10.0                                    
-ram/RS_FSM_FFd2.D               11.4  11.4                                    
-ram/RS_FSM_FFd3.D               11.0  11.0                                    
-
---------------------------------------------------------------------------------
-                          Clock to Setup (tCYC) (nsec)
-                                (Clock: CLK_FSB)
-
-\ From                 i     i     i     i     i     i     i     i     i     i
- \                     o     o     o     o     o     o     o     o     o     o
-  \                    b     b     b     b     b     b     b     b     b     b
-   \                   s     s     s     s     s     s     s     s     s     s
-    \                  /     /     /     /     /     /     /     /     /     /
-     \                 C     I     I     I     I     I     L     O     P     P
-      \                l     O     O     O     O     O     o     n     S     S
-       \               e     A     L     R     R     U     a     c     _     _
-        \              a     C     1     W     e     1     d     e     F     F
-         \             r     T     .     1     a     .     1     .     S     S
-          \            1     r     Q     .     d     Q     .     Q     M     M
-           \           .     .           Q     y           Q           _     _
-            \          Q     Q                 .                       F     F
-             \                                 Q                       F     F
-              \                                                        d     d
-               \                                                       1     2
-                \                                                      .     .
-                 \                                                     Q     Q
-  To              \------------------------------------------------------------
-
-ALE0S.D                                                             10.0  10.0
-BERR_IOBS.D               10.0                                10.0        10.0
-IOL0.CE                                                             10.0  10.0
-IOL0.D                          10.0                                          
-IOREQ.D                   10.0                                10.0  10.0  11.0
-IORW0.D                               11.0                    11.4  11.4  11.4
-IOU0.CE                                                             10.0  10.0
-IOU0.D                                            10.0                        
-IPL2r1.D                                                                      
-RESDone.CE                                                                    
-RESr1.D                                                                       
-RESr2.D                                                                       
-RefAck.D                                                                      
-TimeoutA.D                                                                    
-TimeoutB.D                                                                    
-cnt/RefCnt<1>.D                                                               
-cnt/RefCnt<2>.D                                                               
-cnt/RefCnt<3>.D                                                               
-cnt/RefCnt<4>.D                                                               
-cnt/RefCnt<5>.D                                                               
-cnt/RefCnt<6>.D                                                               
-cnt/RefCnt<7>.D                                                               
-cnt/RefDone.D                                                                 
-cnt/TimeoutBPre.D                                                             
-cs/nOverlay0.D                                                                
-cs/nOverlay1.CE                                                               
-cs/nOverlay1.D                                                                
-fsb/BERR0r.D                                                                  
-fsb/BERR1r.D                                                                  
-fsb/Ready0r.D                                                                 
-fsb/Ready1r.D                               11.0                              
-fsb/Ready2r.D                                                                 
-fsb/VPA.D                                   11.4                              
-iobs/Clear1.D                                                       10.0  10.0
-iobs/IOL1.CE                                            10.0                  
-iobs/IORW1.D                          10.0                    10.0  11.0  11.0
-iobs/IOReady.D            10.0              10.0              10.0        10.0
-iobs/IOU1.CE                                            10.0                  
-iobs/Load1.D                                                  10.0  10.0  10.0
-iobs/Once.D                                                   11.4  11.0  10.0
-iobs/PS_FSM_FFd1.D        10.0                                      10.0  10.0
-iobs/PS_FSM_FFd2.D        10.0                                10.0  11.0  11.0
-nADoutLE1.D         10.0                                10.0                  
-nBR_IOB.CE                                                                    
-nCAS.D                                                                        
-nDTACK_FSB.D                                11.4                              
-ram/BACTr.D                                                                   
-ram/Once.D                                                                    
-ram/RAMDIS1.D                                                                 
-ram/RAMDIS2.D                                                                 
-ram/RAMReady.D                                                                
-ram/RASEL.D                                                                   
-ram/RS_FSM_FFd1.D                                                             
-ram/RS_FSM_FFd2.D                                                             
-ram/RS_FSM_FFd3.D                                                             
-
---------------------------------------------------------------------------------
-                          Clock to Setup (tCYC) (nsec)
-                                (Clock: CLK_FSB)
-
-\ From                 n     n     n     r     r     r     r     r     r     r
- \                     A     B     D     a     a     a     a     a     a     a
-  \                    D     R     T     m     m     m     m     m     m     m
-   \                   o     _     A     /     /     /     /     /     /     /
-    \                  u     I     C     B     O     R     R     R     R     R
-     \                 t     O     K     A     n     A     A     A     S     S
-      \                L     B     _     C     c     M     M     S     _     _
-       \               E     .     F     T     e     D     R     E     F     F
-        \              1     Q     S     r     .     I     e     L     S     S
-         \             .           B     .     Q     S     a     .     M     M
-          \            Q           .     Q           2     d     Q     _     _
-           \                       Q                 .     y           F     F
-            \                                        Q     .           F     F
-             \                                             Q           d     d
-              \                                                        1     2
-               \                                                       .     .
-                \                                                      Q     Q
-                 \                                                            
-  To              \------------------------------------------------------------
-
-ALE0S.D                                                                       
-BERR_IOBS.D         10.0                                                      
-IOL0.CE                                                                       
-IOL0.D              10.0                                                      
-IOREQ.D             11.0                                                      
-IORW0.D             11.4                                                      
-IOU0.CE                                                                       
-IOU0.D              10.0                                                      
-IPL2r1.D                                                                      
-RESDone.CE                                                                    
-RESr1.D                                                                       
-RESr2.D                                                                       
-RefAck.D                                                            10.0  10.0
-TimeoutA.D                                                                    
-TimeoutB.D                                                                    
-cnt/RefCnt<1>.D                                                               
-cnt/RefCnt<2>.D                                                               
-cnt/RefCnt<3>.D                                                               
-cnt/RefCnt<4>.D                                                               
-cnt/RefCnt<5>.D                                                               
-cnt/RefCnt<6>.D                                                               
-cnt/RefCnt<7>.D                                                               
-cnt/RefDone.D                                                                 
-cnt/TimeoutBPre.D                                                             
-cs/nOverlay0.D                                                                
-cs/nOverlay1.CE                                                               
-cs/nOverlay1.D                                                                
-fsb/BERR0r.D                                                                  
-fsb/BERR1r.D                                                                  
-fsb/Ready0r.D                                           10.0                  
-fsb/Ready1r.D       11.0                                                      
-fsb/Ready2r.D                                                                 
-fsb/VPA.D           11.0  11.4                          20.1                  
-iobs/Clear1.D       10.0                                                      
-iobs/IOL1.CE                                                                  
-iobs/IORW1.D        10.0                                                      
-iobs/IOReady.D      10.0                                                      
-iobs/IOU1.CE                                                                  
-iobs/Load1.D        10.0                                                      
-iobs/Once.D         11.0                                                      
-iobs/PS_FSM_FFd1.D                                                            
-iobs/PS_FSM_FFd2.D  11.0                                                      
-nADoutLE1.D         10.0                                                      
-nBR_IOB.CE                                                                    
-nCAS.D                                                        10.0            
-nDTACK_FSB.D        11.0  11.4  11.4                    20.1                  
-ram/BACTr.D                                                                   
-ram/Once.D                                  10.0                    10.0  10.0
-ram/RAMDIS1.D                         11.0  11.0                    11.4  11.0
-ram/RAMDIS2.D                               11.0  11.0              11.0  11.0
-ram/RAMReady.D                        11.0  11.0                    11.0  11.0
-ram/RASEL.D                           11.0  11.4                    11.4  11.4
-ram/RS_FSM_FFd1.D                           10.0                    10.0  10.0
-ram/RS_FSM_FFd2.D                     11.0                          11.4  11.4
-ram/RS_FSM_FFd3.D                           10.0                    11.0  11.0
-
---------------------------------------------------------------------------------
-                          Clock to Setup (tCYC) (nsec)
-                                (Clock: CLK_FSB)
-
-\ From                 r
- \                     a
-  \                    m
-   \                   /
-    \                  R
-     \                 S
-      \                _
-       \               F
-        \              S
-         \             M
-          \            _
-           \           F
-            \          F
-             \         d
-              \        3
-               \       .
-                \      Q
-                 \      
-  To              \------
-
-ALE0S.D                 
-BERR_IOBS.D             
-IOL0.CE                 
-IOL0.D                  
-IOREQ.D                 
-IORW0.D                 
-IOU0.CE                 
-IOU0.D                  
-IPL2r1.D                
-RESDone.CE              
-RESr1.D                 
-RESr2.D                 
-RefAck.D                
-TimeoutA.D              
-TimeoutB.D              
-cnt/RefCnt<1>.D         
-cnt/RefCnt<2>.D         
-cnt/RefCnt<3>.D         
-cnt/RefCnt<4>.D         
-cnt/RefCnt<5>.D         
-cnt/RefCnt<6>.D         
-cnt/RefCnt<7>.D         
-cnt/RefDone.D           
-cnt/TimeoutBPre.D       
-cs/nOverlay0.D          
-cs/nOverlay1.CE         
-cs/nOverlay1.D          
-fsb/BERR0r.D            
-fsb/BERR1r.D            
-fsb/Ready0r.D           
-fsb/Ready1r.D           
-fsb/Ready2r.D           
-fsb/VPA.D               
-iobs/Clear1.D           
-iobs/IOL1.CE            
-iobs/IORW1.D            
-iobs/IOReady.D          
-iobs/IOU1.CE            
-iobs/Load1.D            
-iobs/Once.D             
-iobs/PS_FSM_FFd1.D      
-iobs/PS_FSM_FFd2.D      
-nADoutLE1.D             
-nBR_IOB.CE              
-nCAS.D                  
-nDTACK_FSB.D            
-ram/BACTr.D             
-ram/Once.D          10.0
-ram/RAMDIS1.D       11.0
-ram/RAMDIS2.D       11.0
-ram/RAMReady.D      11.0
-ram/RASEL.D         11.0
-ram/RS_FSM_FFd1.D   10.0
-ram/RS_FSM_FFd2.D   11.4
-ram/RS_FSM_FFd3.D   11.0
-
---------------------------------------------------------------------------------
-                          Clock to Setup (tCYC) (nsec)
-                               (Clock: CLK2X_IOB)
-
-\ From                  I     I     i     i     i     i     i     i     i     i
- \                      O     O     o     o     o     o     o     o     o     o
-  \                     A     B     b     b     b     b     b     b     b     b
-   \                    C     E     m     m     m     m     m     m     m     m
-    \                   T     R     /     /     /     /     /     /     /     /
-     \                  .     R     B     B     B     B     D     D     E     E
-      \                 Q     .     E     E     G     G     T     T     S     S
-       \                      Q     R     R     r     r     A     A     <     <
-        \                           R     R     0     1     C     C     0     1
-         \                          r     r     .     .     K     K     >     >
-          \                         f     r     Q     Q     r     r     .     .
-           \                        .     .                 f     r     Q     Q
-            \                       Q     Q                 .     .            
-             \                                              Q     Q            
-              \                                                                
-               \                                                               
-                \                                                              
-                 \                                                             
-                  \                                                            
-  To               \------------------------------------------------------------
-
-ALE0M.D                                                                        
-IOACT.D                          10.0  10.0              11.0  11.0            
-IOBERR.D                   11.0  11.0  11.0              11.0  11.0            
-iobm/BGr1.D                                  10.0                              
-iobm/ES<0>.D                                                         10.0  10.0
-iobm/ES<1>.D                                                         10.0  10.0
-iobm/ES<2>.D                                                         10.0  10.0
-iobm/ES<3>.D                                                         10.0  10.0
-iobm/ES<4>.D                                                         10.0  10.0
-iobm/ETACK.D                                                         10.0  10.0
-iobm/IOS_FSM_FFd1.D                                                            
-iobm/IOS_FSM_FFd2.D              10.0  10.0              10.0  10.0            
-iobm/IOS_FSM_FFd3.D                                                            
-nAS_IOB.D                                                                      
-nAoutOE.D                                    10.0  10.0                        
-nDinLE.D                                                                       
-nDoutOE.D                                                                      
-nLDS_IOB.D                                                                     
-nUDS_IOB.D                                                                     
-nVMA_IOB.D           10.0                                            10.0  10.0
-
---------------------------------------------------------------------------------
-                          Clock to Setup (tCYC) (nsec)
-                               (Clock: CLK2X_IOB)
-
-\ From                  i     i     i     i     i     i     i     i     i     i
- \                      o     o     o     o     o     o     o     o     o     o
-  \                     b     b     b     b     b     b     b     b     b     b
-   \                    m     m     m     m     m     m     m     m     m     m
-    \                   /     /     /     /     /     /     /     /     /     /
-     \                  E     E     E     E     E     I     I     I     I     R
-      \                 S     S     S     T     r     O     O     O     O     E
-       \                <     <     <     A     2     R     S     S     S     S
-        \               2     3     4     C     .     E     _     _     _     r
-         \              >     >     >     K     Q     Q     F     F     F     f
-          \             .     .     .     .           r     S     S     S     .
-           \            Q     Q     Q     Q           .     M     M     M     Q
-            \                                         Q     _     _     _      
-             \                                              F     F     F      
-              \                                             F     F     F      
-               \                                            d     d     d      
-                \                                           1     2     3      
-                 \                                          .     .     .      
-                  \                                         Q     Q     Q      
-  To               \------------------------------------------------------------
-
-ALE0M.D                                            10.0  10.0  10.0  10.0      
-IOACT.D                                10.0        10.0  11.0  10.0  11.0  11.0
-IOBERR.D                               10.0              11.0  11.0  11.0  11.0
-iobm/BGr1.D                                                                    
-iobm/ES<0>.D         10.0  10.0  10.0        10.0                              
-iobm/ES<1>.D                                 10.0                              
-iobm/ES<2>.D         10.0  10.0  10.0        10.0                              
-iobm/ES<3>.D         10.0  10.0              10.0                              
-iobm/ES<4>.D         10.0  10.0  10.0        10.0                              
-iobm/ETACK.D         10.0  10.0  10.0                                          
-iobm/IOS_FSM_FFd1.D                                      10.0  10.0  10.0      
-iobm/IOS_FSM_FFd2.D                    10.0              10.0  10.0  10.0  10.0
-iobm/IOS_FSM_FFd3.D                                10.0  10.0  10.0  10.0      
-nAS_IOB.D                                                10.0  10.0  10.0      
-nAoutOE.D                                                                      
-nDinLE.D                                                 10.0  10.0            
-nDoutOE.D                                                      10.0  10.0      
-nLDS_IOB.D                                               10.0  10.0  10.0      
-nUDS_IOB.D                                               10.0  10.0  10.0      
-nVMA_IOB.D           10.0  10.0  10.0                                          
-
---------------------------------------------------------------------------------
-                          Clock to Setup (tCYC) (nsec)
-                               (Clock: CLK2X_IOB)
-
-\ From                  i     i     i     n     n     n
- \                      o     o     o     A     A     V
-  \                     b     b     b     S     o     M
-   \                    m     m     m     _     u     A
-    \                   /     /     /     I     t     _
-     \                  R     V     V     O     O     I
-      \                 E     P     P     B     E     O
-       \                S     A     A     .     .     B
-        \               r     r     r     Q     Q     .
-         \              r     f     r                 Q
-          \             .     .     .                  
-           \            Q     Q     Q                  
-            \                                          
-             \                                         
-              \                                        
-               \                                       
-                \                                      
-                 \                                     
-                  \                                    
-  To               \------------------------------------
-
-ALE0M.D                                      10.0      
-IOACT.D              11.0                    10.0      
-IOBERR.D             11.0                              
-iobm/BGr1.D                                            
-iobm/ES<0>.D                                           
-iobm/ES<1>.D                                           
-iobm/ES<2>.D                                           
-iobm/ES<3>.D                                           
-iobm/ES<4>.D                                           
-iobm/ETACK.D                                       10.0
-iobm/IOS_FSM_FFd1.D                                    
-iobm/IOS_FSM_FFd2.D  10.0                              
-iobm/IOS_FSM_FFd3.D                          10.0      
-nAS_IOB.D                                              
-nAoutOE.D                              10.0  10.0      
-nDinLE.D                                               
-nDoutOE.D                                              
-nLDS_IOB.D                                             
-nUDS_IOB.D                                             
-nVMA_IOB.D                 10.0  10.0              10.0
-
-Path Type Definition: 
-
-Pad to Pad (tPD) -                        Reports pad to pad paths that start 
-                                          at input pads and end at output pads. 
-                                          Paths are not traced through 
-                                          registers. 
-
-Clock Pad to Output Pad (tCO) -           Reports paths that start at input 
-                                          pads trace through clock inputs of 
-                                          registers and end at output pads. 
-                                          Paths are not traced through PRE/CLR 
-                                          inputs of registers. 
-
-Setup to Clock at Pad (tSU or tSUF) -     Reports external setup time of data 
-                                          to clock at pad. Data path starts at 
-                                          an input pad and ends at register 
-                                          (Fast Input Register for tSUF) D/T 
-                                          input. Clock path starts at input pad 
-                                          and ends at the register clock input. 
-                                          Paths are not traced through 
-                                          registers. Pin-to-pin setup 
-                                          requirement is not reported or 
-                                          guaranteed for product-term clocks 
-                                          derived from macrocell feedback 
-                                          signals. 
-
-Clock to Setup (tCYC) -                   Register to register cycle time. 
-                                          Include source register tCO and 
-                                          destination register tSU. Note that 
-                                          when the computed Maximum Clock Speed 
-                                          is limited by tCYC it is computed 
-                                          assuming that all registers are 
-                                          rising-edge sensitive. 
-
 
diff --git a/cpld/XC95144XL/WarpSE_html/tim/timing_report.htm b/cpld/XC95144XL/WarpSE_html/tim/timing_report.htm index bcf71ad..b19a2f4 100644 --- a/cpld/XC95144XL/WarpSE_html/tim/timing_report.htm +++ b/cpld/XC95144XL/WarpSE_html/tim/timing_report.htm @@ -27,7 +27,7 @@ - @@ -38,20 +38,31 @@
XC95144XL, -10 (3.0)
Date Created Sat Mar 25 00:05:47 2023 + Date Created Sun Mar 26 03:18:38 2023

Summary

+ + + + + + + + + + +
Notes and Warnings
Note: This design contains no timing constraints.
Note: A default set of constraints using a delay of 0.000ns will be used for analysis.

- + - + - + @@ -81,4670 +92,100 @@ - - - - + + + + - - - - + + + + - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Performance Summary
Min. Clock Period14.000 ns.11.400 ns.
Max. Clock Frequency (fSYSTEM)71.429 MHz. 87.719 MHz.
Limited by Clock Pulse Width for ELimited by Cycle Time for FCLK
Clock to Setup (tCYC)Paths Failing
TS_CLK_IOB142.810.0136TS10000.00.00 0
TS_CLK_FSB40.011.4164TS10010.00.00 0
TS_CLK2X_IOB66.611.0100TS10020.00.0 00
AUTO_TS_F2F0.011.4476476
AUTO_TS_P2P0.014.57070
AUTO_TS_P2F0.09.7179179
AUTO_TS_F2P0.012.73333


- -

Constraint: TS_CLK_IOB

+
+

Constraint: TS1000

- + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Description: PERIOD:CLK_IOB:142.857nS:HIGH:71.428nSDescription: PERIOD:PERIOD_C8M:0.000 nS
Path Requirement (ns) Delay (ns) Slack (ns)
cnt/IPL2r.Q to cnt/PORS_FSM_FFd1.D142.80010.000132.800
cnt/IPL2r.Q to nBR_IOB.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<0>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<10>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<1>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<2>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<3>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<4>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<5>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<6>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<7>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<8>.D142.80010.000132.800
cnt/LTimer<0>.Q to cnt/LTimer<9>.D142.80010.000132.800
cnt/LTimer<10>.Q to cnt/LTimer<10>.D142.80010.000132.800
cnt/LTimer<10>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<10>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<10>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<11>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<11>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<11>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<12>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<12>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<0>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<10>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<1>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<2>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<3>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<4>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<5>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<6>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<7>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<8>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/LTimer<9>.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/PORS_FSM_FFd1.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/PORS_FSM_FFd2.D142.80010.000132.800
cnt/LTimer<13>.Q to cnt/nRESout.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<10>.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<1>.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<2>.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<3>.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<4>.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<5>.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<6>.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<7>.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<8>.D142.80010.000132.800
cnt/LTimer<1>.Q to cnt/LTimer<9>.D142.80010.000132.800
cnt/LTimer<2>.Q to cnt/LTimer<10>.D142.80010.000132.800
cnt/LTimer<2>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<2>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<2>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<2>.Q to cnt/LTimer<2>.D142.80010.000132.800
cnt/LTimer<2>.Q to cnt/LTimer<3>.D142.80010.000132.800
cnt/LTimer<2>.Q to cnt/LTimer<4>.D142.80010.000132.800
cnt/LTimer<2>.Q to cnt/LTimer<5>.D142.80010.000132.800
cnt/LTimer<2>.Q to cnt/LTimer<6>.D142.80010.000132.800
cnt/LTimer<2>.Q to cnt/LTimer<7>.D142.80010.000132.800
cnt/LTimer<2>.Q to cnt/LTimer<8>.D142.80010.000132.800
cnt/LTimer<2>.Q to cnt/LTimer<9>.D142.80010.000132.800
cnt/LTimer<3>.Q to cnt/LTimer<10>.D142.80010.000132.800
cnt/LTimer<3>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<3>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<3>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<3>.Q to cnt/LTimer<3>.D142.80010.000132.800
cnt/LTimer<3>.Q to cnt/LTimer<4>.D142.80010.000132.800
cnt/LTimer<3>.Q to cnt/LTimer<5>.D142.80010.000132.800
cnt/LTimer<3>.Q to cnt/LTimer<6>.D142.80010.000132.800
cnt/LTimer<3>.Q to cnt/LTimer<7>.D142.80010.000132.800
cnt/LTimer<3>.Q to cnt/LTimer<8>.D142.80010.000132.800
cnt/LTimer<3>.Q to cnt/LTimer<9>.D142.80010.000132.800
cnt/LTimer<4>.Q to cnt/LTimer<10>.D142.80010.000132.800
cnt/LTimer<4>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<4>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<4>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<4>.Q to cnt/LTimer<4>.D142.80010.000132.800
cnt/LTimer<4>.Q to cnt/LTimer<5>.D142.80010.000132.800
cnt/LTimer<4>.Q to cnt/LTimer<6>.D142.80010.000132.800
cnt/LTimer<4>.Q to cnt/LTimer<7>.D142.80010.000132.800
cnt/LTimer<4>.Q to cnt/LTimer<8>.D142.80010.000132.800
cnt/LTimer<4>.Q to cnt/LTimer<9>.D142.80010.000132.800
cnt/LTimer<5>.Q to cnt/LTimer<10>.D142.80010.000132.800
cnt/LTimer<5>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<5>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<5>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<5>.Q to cnt/LTimer<5>.D142.80010.000132.800
cnt/LTimer<5>.Q to cnt/LTimer<6>.D142.80010.000132.800
cnt/LTimer<5>.Q to cnt/LTimer<7>.D142.80010.000132.800
cnt/LTimer<5>.Q to cnt/LTimer<8>.D142.80010.000132.800
cnt/LTimer<5>.Q to cnt/LTimer<9>.D142.80010.000132.800
cnt/LTimer<6>.Q to cnt/LTimer<10>.D142.80010.000132.800
cnt/LTimer<6>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<6>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<6>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<6>.Q to cnt/LTimer<6>.D142.80010.000132.800
cnt/LTimer<6>.Q to cnt/LTimer<7>.D142.80010.000132.800
cnt/LTimer<6>.Q to cnt/LTimer<8>.D142.80010.000132.800
cnt/LTimer<6>.Q to cnt/LTimer<9>.D142.80010.000132.800
cnt/LTimer<7>.Q to cnt/LTimer<10>.D142.80010.000132.800
cnt/LTimer<7>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<7>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<7>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<7>.Q to cnt/LTimer<7>.D142.80010.000132.800
cnt/LTimer<7>.Q to cnt/LTimer<8>.D142.80010.000132.800
cnt/LTimer<7>.Q to cnt/LTimer<9>.D142.80010.000132.800
cnt/LTimer<8>.Q to cnt/LTimer<10>.D142.80010.000132.800
cnt/LTimer<8>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<8>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<8>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<8>.Q to cnt/LTimer<8>.D142.80010.000132.800
cnt/LTimer<8>.Q to cnt/LTimer<9>.D142.80010.000132.800
cnt/LTimer<9>.Q to cnt/LTimer<10>.D142.80010.000132.800
cnt/LTimer<9>.Q to cnt/LTimer<11>.D142.80010.000132.800
cnt/LTimer<9>.Q to cnt/LTimer<12>.D142.80010.000132.800
cnt/LTimer<9>.Q to cnt/LTimer<13>.D142.80010.000132.800
cnt/LTimer<9>.Q to cnt/LTimer<9>.D142.80010.000132.800
cnt/PORS_FSM_FFd1.Q to cnt/PORS_FSM_FFd1.D142.80010.000132.800
cnt/PORS_FSM_FFd1.Q to cnt/PORS_FSM_FFd2.D142.80010.000132.800
cnt/PORS_FSM_FFd1.Q to cnt/nRESout.D142.80010.000132.800
cnt/PORS_FSM_FFd1.Q to nAoutOE.D142.80010.000132.800
cnt/PORS_FSM_FFd1.Q to nBR_IOB.D142.80010.000132.800
cnt/PORS_FSM_FFd2.Q to cnt/PORS_FSM_FFd1.D142.80010.000132.800
cnt/PORS_FSM_FFd2.Q to cnt/PORS_FSM_FFd2.D142.80010.000132.800
cnt/PORS_FSM_FFd2.Q to cnt/nRESout.D142.80010.000132.800
cnt/PORS_FSM_FFd2.Q to nAoutOE.D142.80010.000132.800
cnt/PORS_FSM_FFd2.Q to nBR_IOB.D142.80010.000132.800
cnt/nRESout.Q to cnt/nRESout.D142.80010.000132.800
nBR_IOB.Q to nAoutOE.D142.80010.000132.800
nBR_IOB.Q to nBR_IOB.D142.80010.000132.800


- -

Constraint: TS_CLK_FSB

+
+

Constraint: TS1001

- + + + + + + + +
Description: PERIOD:CLK_FSB:40.000nS:HIGH:20.000nSDescription: PERIOD:PERIOD_FCLK:0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
+
+
+
+

Constraint: TS1002

+ + + + + + + + +
Description: PERIOD:PERIOD_C16M:0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
+
+
+
+

Constraint: AUTO_TS_F2F

+ + @@ -4752,19 +193,19 @@ function TS_CLK_IOB_nBR_IOB_Q_to_nBR_IOB_D() { - - - - - + + + + + - - - - - + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Description: MAXDELAY:FROM:FFS(*):TO:FFS(*):0.000 nS
Path Requirement (ns)Slack (ns)
cs/nOverlay1.Q to fsb/VPA.D40.00011.40028.600cs/nOverlay1.Q to IOREQ.D0.00011.400-11.400
cs/nOverlay1.Q to iobs/IORW0.D40.00011.40028.600cs/nOverlay1.Q to IORW0.D0.00011.400-11.400
cs/nOverlay1.Q to iobs/Once.D40.00011.40028.600
cs/nOverlay1.Q to ram/RAMReady.D40.00011.40028.600
cs/nOverlay1.Q to ram/RASEL.D40.00011.40028.600
fsb/ASrf.Q to fsb/VPA.D20.00011.4008.600
fsb/ASrf.Q to iobs/IORW0.D20.00011.4008.600
fsb/ASrf.Q to nDTACK_FSB.D20.00011.4008.600
fsb/ASrf.Q to ram/RASEL.D20.00011.4008.600
fsb/Ready1r.Q to fsb/VPA.D40.00011.40028.600
fsb/Ready1r.Q to nDTACK_FSB.D40.00011.40028.600
fsb/VPA.Q to fsb/VPA.D40.00011.40028.600
iobs/IORW0.Q to iobs/IORW0.D40.00011.40028.600
iobs/IOReady.Q to fsb/VPA.D40.00011.40028.600
iobs/IOReady.Q to nDTACK_FSB.D40.00011.40028.600
iobs/Once.Q to iobs/IORW0.D40.00011.40028.600
iobs/Once.Q to iobs/Once.D40.00011.40028.600
iobs/PS_FSM_FFd1.Q to iobs/IORW0.D40.00011.40028.600
iobs/PS_FSM_FFd2.Q to iobs/IOREQ.D40.00011.40028.600
iobs/PS_FSM_FFd2.Q to iobs/IORW0.D40.00011.40028.600
nADoutLE1.Q to fsb/VPA.D40.00011.40028.600
nADoutLE1.Q to iobs/IOREQ.D40.00011.40028.600
nADoutLE1.Q to iobs/IORW0.D40.00011.40028.600
nDTACK_FSB.Q to nDTACK_FSB.D40.00011.40028.600
ram/RAMEN.Q to ram/RAMReady.D40.00011.40028.600
ram/RAMEN.Q to ram/RASEL.D40.00011.40028.600
ram/RS_FSM_FFd2.Q to ram/RAMReady.D40.00011.40028.600
ram/RS_FSM_FFd2.Q to ram/RASEL.D40.00011.40028.600
ram/RS_FSM_FFd3.Q to ram/RAMReady.D40.00011.40028.600
ram/RS_FSM_FFd3.Q to ram/RASEL.D40.00011.40028.600
ram/RefReq.Q to ram/RAMReady.D40.00011.40028.600
ram/RefUrgent.Q to ram/RAMReady.D40.00011.40028.600
cs/nOverlay1.Q to fsb/Ready1r.D40.00011.00029.000
cs/nOverlay1.Q to iobs/IOREQ.D40.00011.00029.000
cs/nOverlay1.Q to iobs/IORW1.D40.00011.00029.000
cs/nOverlay1.Q to iobs/Load1.D40.00011.00029.000
cs/nOverlay1.Q to iobs/PS_FSM_FFd2.D40.00011.00029.000cs/nOverlay1.Q to iobs/PS_FSM_FFd2.D0.00011.400-11.400
cs/nOverlay1.Q to nDTACK_FSB.D40.00011.00029.000
cs/nOverlay1.Q to ram/RAMEN.D40.00011.00029.000
cs/nOverlay1.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
cs/nOverlay1.Q to ram/RS_FSM_FFd2.D40.00011.00029.000
fsb/ASrf.Q to fsb/Ready0r.D20.00011.0009.000
fsb/ASrf.Q to iobs/IORW1.D20.00011.0009.000
fsb/ASrf.Q to iobs/IOReady.D20.00011.0009.000
fsb/ASrf.Q to ram/RAMEN.D20.00011.0009.000
fsb/ASrf.Q to ram/RS_FSM_FFd1.D20.00011.0009.000
fsb/ASrf.Q to ram/RS_FSM_FFd2.D20.00011.0009.000
fsb/ASrf.Q to ram/RS_FSM_FFd3.D20.00011.0009.000
fsb/Ready0r.Q to fsb/VPA.D40.00011.00029.000
fsb/Ready0r.Q to nDTACK_FSB.D40.00011.00029.000
fsb/Ready1r.Q to fsb/Ready1r.D40.00011.00029.000
iobs/IOACTr.Q to iobs/IOReady.D40.00011.00029.000
iobs/IORW1.Q to iobs/IORW1.D40.00011.00029.000
iobs/IOReady.Q to fsb/Ready1r.D40.00011.00029.000
iobs/IOReady.Q to iobs/IOReady.D40.00011.00029.000
iobs/Once.Q to iobs/IOReady.D40.00011.00029.000
iobs/PS_FSM_FFd1.Q to iobs/IORW1.D40.00011.00029.000
iobs/PS_FSM_FFd1.Q to iobs/Once.D40.00011.00029.000
iobs/PS_FSM_FFd1.Q to iobs/PS_FSM_FFd2.D40.00011.00029.000
iobs/PS_FSM_FFd2.Q to iobs/IORW1.D40.00011.00029.000
iobs/PS_FSM_FFd2.Q to iobs/IOReady.D40.00011.00029.000
iobs/PS_FSM_FFd2.Q to iobs/Once.D40.00011.00029.000
iobs/PS_FSM_FFd2.Q to iobs/PS_FSM_FFd2.D40.00011.00029.000
nADoutLE1.Q to fsb/Ready1r.D40.00011.00029.000
nADoutLE1.Q to iobs/IOReady.D40.00011.00029.000
nADoutLE1.Q to iobs/Once.D40.00011.00029.000
nADoutLE1.Q to iobs/PS_FSM_FFd2.D40.00011.00029.000
ram/BACTr.Q to ram/RAMReady.D40.00011.00029.000
ram/BACTr.Q to ram/RASEL.D40.00011.00029.000
ram/RAMEN.Q to ram/RAMEN.D40.00011.00029.000
ram/RAMEN.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
ram/RAMReady.Q to fsb/VPA.D40.00011.00029.000
ram/RAMReady.Q to nDTACK_FSB.D40.00011.00029.000
ram/RS_FSM_FFd1.Q to ram/RAMEN.D40.00011.00029.000
ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd3.D40.00011.00029.000
ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd2.D40.00011.00029.000
ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd3.D40.00011.00029.000
ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd2.D40.00011.00029.000
ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd3.D40.00011.00029.000
ram/RefReq.Q to ram/RASEL.D40.00011.00029.000
ram/RefUrgent.Q to ram/RASEL.D40.00011.00029.000
ram/RefUrgent.Q to ram/RS_FSM_FFd1.D40.00011.00029.000
ram/RefUrgent.Q to ram/RS_FSM_FFd2.D40.00011.00029.000
ram/RefUrgent.Q to ram/RS_FSM_FFd3.D40.00011.00029.000
cs/nOverlay0.Q to cs/nOverlay0.D40.00010.00030.000
cs/nOverlay0.Q to cs/nOverlay1.D40.00010.00030.000
cs/nOverlay1.Q to fsb/Ready0r.D40.00010.00030.000
cs/nOverlay1.Q to ram/RS_FSM_FFd3.D40.00010.00030.000
fsb/ASrf.Q to cs/nOverlay0.D20.00010.00010.000
fsb/ASrf.Q to cs/nOverlay1.CE40.00010.00030.000
fsb/ASrf.Q to fsb/Ready1r.D20.00010.00010.000
fsb/ASrf.Q to iobs/IOREQ.D20.00010.00010.000
fsb/ASrf.Q to iobs/Load1.D20.00010.00010.000
fsb/ASrf.Q to iobs/Once.D20.00010.00010.000
fsb/ASrf.Q to iobs/PS_FSM_FFd2.D20.00010.00010.000
fsb/ASrf.Q to nBERR_FSB.D20.00010.00010.000
fsb/ASrf.Q to ram/BACTr.D20.00010.00010.000
fsb/ASrf.Q to ram/RAMReady.D20.00010.00010.000
fsb/Ready0r.Q to fsb/Ready0r.D40.00010.00030.000
iobs/Clear1.Q to nADoutLE1.D40.00010.00030.000
iobs/IOACTr.Q to iobs/IOREQ.D40.00010.00030.000
iobs/IOACTr.Q to iobs/PS_FSM_FFd1.D40.00010.00030.000
iobs/IOACTr.Q to iobs/PS_FSM_FFd2.D40.00010.00030.000
iobs/IOACTr.Q to nBERR_FSB.D40.00010.00030.000
iobs/IOL1.Q to iobs/IOL0.D40.00010.00030.000
iobs/IORW1.Q to iobs/IORW0.D40.00010.00030.000
iobs/IOU1.Q to iobs/IOU0.D40.00010.00030.000
iobs/Load1.Q to iobs/IOL1.CE40.00010.00030.000
iobs/Load1.Q to iobs/IOU1.CE40.00010.00030.000
iobs/Load1.Q to nADoutLE1.D40.00010.00030.000
iobs/Once.Q to iobs/IOREQ.D40.00010.00030.000
iobs/Once.Q to iobs/IORW1.D40.00010.00030.000
iobs/Once.Q to iobs/Load1.D40.00010.00030.000
iobs/Once.Q to iobs/PS_FSM_FFd2.D40.00010.00030.000
iobs/Once.Q to nBERR_FSB.D40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/ALE0.D40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/Clear1.D40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/IOL0.CE40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/IOREQ.D40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/IOU0.CE40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/Load1.D40.00010.00030.000
iobs/PS_FSM_FFd1.Q to iobs/PS_FSM_FFd1.D40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/ALE0.D40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/Clear1.D40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/IOL0.CE40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/IOU0.CE40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/Load1.D40.00010.00030.000
iobs/PS_FSM_FFd2.Q to iobs/PS_FSM_FFd1.D40.00010.00030.000
iobs/PS_FSM_FFd2.Q to nBERR_FSB.D40.00010.00030.000
nADoutLE1.Q to iobs/Clear1.D40.00010.00030.000
nADoutLE1.Q to iobs/IOL0.D40.00010.00030.000
nADoutLE1.Q to iobs/IORW1.D40.00010.00030.000
nADoutLE1.Q to iobs/IOU0.D40.00010.00030.000
nADoutLE1.Q to iobs/Load1.D40.00010.00030.000
nADoutLE1.Q to nADoutLE1.D40.00010.00030.000
nADoutLE1.Q to nBERR_FSB.D40.00010.00030.000
nADoutLE1.Q to nDTACK_FSB.D40.00010.00030.000
nBERR_FSB.Q to nBERR_FSB.D40.00010.00030.000
ram/BACTr.Q to ram/RAMEN.D40.00010.00030.000
ram/BACTr.Q to ram/RS_FSM_FFd2.D40.00010.00030.000
ram/RAMEN.Q to ram/RS_FSM_FFd3.D40.00010.00030.000
ram/RAMReady.Q to fsb/Ready0r.D40.00010.00030.000
ram/RASEL.Q to nCAS.D20.00010.00010.000
ram/RS_FSM_FFd1.Q to ram/RAMReady.D40.00010.00030.000
ram/RS_FSM_FFd1.Q to ram/RASEL.D40.00010.00030.000
ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd2.D40.00010.00030.000
ram/RS_FSM_FFd1.Q to ram/RefDone.D40.00010.00030.000
ram/RS_FSM_FFd1.Q to ram/RefRAS.D40.00010.00030.000
ram/RS_FSM_FFd2.Q to ram/RAMEN.D40.00010.00030.000
ram/RS_FSM_FFd2.Q to ram/RefDone.D40.00010.00030.000
ram/RS_FSM_FFd2.Q to ram/RefRAS.D40.00010.00030.000
ram/RS_FSM_FFd3.Q to ram/RAMEN.D40.00010.00030.000
ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd1.D40.00010.00030.000
ram/RefDone.Q to ram/RefDone.D40.00010.00030.000
ram/RefDone.Q to ram/RefReq.D40.00010.00030.000
ram/RefDone.Q to ram/RefUrgent.D40.00010.00030.000
ram/RefReq.Q to ram/RAMEN.D40.00010.00030.000
ram/RefReq.Q to ram/RS_FSM_FFd2.D40.00010.00030.000
ram/RefReqSync.Q to ram/RefDone.D40.00010.00030.000
ram/RefReqSync.Q to ram/RefReq.D40.00010.00030.000
ram/RefUrgent.Q to ram/RAMEN.D40.00010.00030.000
ram/RegUrgentSync.Q to ram/RefUrgent.D40.00010.00030.000


- -

Constraint: TS_CLK2X_IOB

+
+

Constraint: AUTO_TS_P2P

- + @@ -10341,19 +308,19 @@ function TS_CLK_FSB_ram_RegUrgentSync_Q_to_ram_RefUrgent_D() { - - - - - + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + - - - - - - - - - - - - - - - - - - - - - + + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + +
Description: PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nSDescription: MAXDELAY:FROM:PADS(*):TO:PADS(*):0.000 nS
Path Requirement (ns)Slack (ns)
iobm/BERRrf.Q to iobm/IOBERR.D33.30011.00022.300FCLK to RA<1>0.00014.500-14.500
iobm/BERRrr.Q to iobm/IOBERR.D66.60011.00055.600
iobm/DTACKrf.Q to iobm/IOBERR.D33.30011.00022.300
iobm/DTACKrr.Q to iobm/IOBERR.D66.60011.00055.600
iobm/IOBERR.Q to iobm/IOBERR.D66.60011.00055.600
iobm/IOS_FSM_FFd1.Q to iobm/IOACT.D66.60011.00055.600
iobm/IOS_FSM_FFd1.Q to iobm/IOBERR.D66.60011.00055.600
iobm/IOS_FSM_FFd2.Q to iobm/IOBERR.D66.60011.00055.600
iobm/IOS_FSM_FFd3.Q to iobm/IOACT.D66.60011.00055.600
iobm/IOS_FSM_FFd3.Q to iobm/IOBERR.D66.60011.00055.600
iobm/RESrf.Q to iobm/IOACT.D33.30011.00022.300
iobm/RESrf.Q to iobm/IOBERR.D33.30011.00022.300
iobm/RESrr.Q to iobm/IOACT.D66.60011.00055.600
iobm/RESrr.Q to iobm/IOBERR.D66.60011.00055.600
iobm/BERRrf.Q to iobm/IOACT.D33.30010.00023.300
iobm/BERRrf.Q to iobm/IOS_FSM_FFd2.D33.30010.00023.300
iobm/BERRrr.Q to iobm/IOACT.D66.60010.00056.600
iobm/BERRrr.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/BG.Q to iobm/IOS_FSM_FFd3.D66.60010.00056.600
iobm/DTACKrf.Q to iobm/IOACT.D33.30010.00023.300
iobm/DTACKrf.Q to iobm/IOS_FSM_FFd2.D33.30010.00023.300
iobm/DTACKrr.Q to iobm/IOACT.D66.60010.00056.600
iobm/DTACKrr.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/ES<0>.Q to iobm/ES<0>.D66.60010.00056.600
iobm/ES<0>.Q to iobm/ES<1>.D66.60010.00056.600
iobm/ES<0>.Q to iobm/ES<2>.D66.60010.00056.600
iobm/ES<0>.Q to iobm/ES<3>.D66.60010.00056.600
iobm/ES<0>.Q to iobm/ES<4>.D66.60010.00056.600
iobm/ES<0>.Q to iobm/ETACK.D66.60010.00056.600
iobm/ES<0>.Q to nVMA_IOB.D66.60010.00056.600
iobm/ES<1>.Q to iobm/ES<0>.D66.60010.00056.600
iobm/ES<1>.Q to iobm/ES<1>.D66.60010.00056.600
iobm/ES<1>.Q to iobm/ES<2>.D66.60010.00056.600
iobm/ES<1>.Q to iobm/ES<3>.D66.60010.00056.600
iobm/ES<1>.Q to iobm/ES<4>.D66.60010.00056.600
iobm/ES<1>.Q to iobm/ETACK.D66.60010.00056.600
iobm/ES<1>.Q to nVMA_IOB.D66.60010.00056.600
iobm/ES<2>.Q to iobm/ES<0>.D66.60010.00056.600
iobm/ES<2>.Q to iobm/ES<2>.D66.60010.00056.600
iobm/ES<2>.Q to iobm/ES<3>.D66.60010.00056.600
iobm/ES<2>.Q to iobm/ES<4>.D66.60010.00056.600
iobm/ES<2>.Q to iobm/ETACK.D66.60010.00056.600
iobm/ES<2>.Q to nVMA_IOB.D66.60010.00056.600
iobm/ES<3>.Q to iobm/ES<0>.D66.60010.00056.600
iobm/ES<3>.Q to iobm/ES<2>.D66.60010.00056.600
iobm/ES<3>.Q to iobm/ES<3>.D66.60010.00056.600
iobm/ES<3>.Q to iobm/ES<4>.D66.60010.00056.600
iobm/ES<3>.Q to iobm/ETACK.D66.60010.00056.600
iobm/ES<3>.Q to nVMA_IOB.D66.60010.00056.600
iobm/ES<4>.Q to iobm/ES<0>.D66.60010.00056.600
iobm/ES<4>.Q to iobm/ES<2>.D66.60010.00056.600
iobm/ES<4>.Q to iobm/ES<4>.D66.60010.00056.600
iobm/ES<4>.Q to iobm/ETACK.D66.60010.00056.600
iobm/ES<4>.Q to nVMA_IOB.D66.60010.00056.600
iobm/ETACK.Q to iobm/IOACT.D66.60010.00056.600
iobm/ETACK.Q to iobm/IOBERR.D66.60010.00056.600
iobm/ETACK.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/Er2.Q to iobm/ES<0>.D66.60010.00056.600
iobm/Er2.Q to iobm/ES<1>.D66.60010.00056.600
iobm/Er2.Q to iobm/ES<2>.D66.60010.00056.600
iobm/Er2.Q to iobm/ES<3>.D66.60010.00056.600
iobm/Er2.Q to iobm/ES<4>.D66.60010.00056.600
iobm/IOACT.Q to nVMA_IOB.D66.60010.00056.600
iobm/IOREQr.Q to iobm/ALE0.D33.30010.00023.300
iobm/IOREQr.Q to iobm/IOACT.D33.30010.00023.300
iobm/IOREQr.Q to iobm/IOS_FSM_FFd3.D33.30010.00023.300
iobm/IOS_FSM_FFd1.Q to iobm/ALE0.D66.60010.00056.600
iobm/IOS_FSM_FFd1.Q to iobm/IOS_FSM_FFd1.D66.60010.00056.600
iobm/IOS_FSM_FFd1.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/IOS_FSM_FFd1.Q to iobm/IOS_FSM_FFd3.D66.60010.00056.600
iobm/IOS_FSM_FFd1.Q to nAS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd1.Q to nDinLE.D33.30010.00023.300
iobm/IOS_FSM_FFd1.Q to nLDS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd1.Q to nUDS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd2.Q to iobm/ALE0.D66.60010.00056.600
iobm/IOS_FSM_FFd2.Q to iobm/DoutOE.D66.60010.00056.600
iobm/IOS_FSM_FFd2.Q to iobm/IOACT.D66.60010.00056.600
iobm/IOS_FSM_FFd2.Q to iobm/IOS_FSM_FFd1.D66.60010.00056.600
iobm/IOS_FSM_FFd2.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/IOS_FSM_FFd2.Q to iobm/IOS_FSM_FFd3.D66.60010.00056.600
iobm/IOS_FSM_FFd2.Q to nAS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd2.Q to nDinLE.D33.30010.00023.300
iobm/IOS_FSM_FFd2.Q to nLDS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd2.Q to nUDS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd3.Q to iobm/ALE0.D66.60010.00056.600
iobm/IOS_FSM_FFd3.Q to iobm/DoutOE.D66.60010.00056.600
iobm/IOS_FSM_FFd3.Q to iobm/IOS_FSM_FFd1.D66.60010.00056.600
iobm/IOS_FSM_FFd3.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/IOS_FSM_FFd3.Q to iobm/IOS_FSM_FFd3.D66.60010.00056.600
iobm/IOS_FSM_FFd3.Q to nAS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd3.Q to nLDS_IOB.D33.30010.00023.300
iobm/IOS_FSM_FFd3.Q to nUDS_IOB.D33.30010.00023.300
iobm/RESrf.Q to iobm/IOS_FSM_FFd2.D33.30010.00023.300
iobm/RESrr.Q to iobm/IOS_FSM_FFd2.D66.60010.00056.600
iobm/VPArf.Q to nVMA_IOB.D33.30010.00023.300FCLK to RA<2>0.00014.500-14.500
iobm/VPArr.Q to nVMA_IOB.D66.60010.00056.600
iobm/nASr.Q to iobm/BG.CE66.60010.00056.600
nAS_IOB.Q to iobm/nASr.D33.30010.00023.300FCLK to RA<4>0.00014.500-14.500
nVMA_IOB.Q to iobm/ETACK.D66.60010.00056.600
nVMA_IOB.Q to nVMA_IOB.D66.60010.00056.600
A_FSB<10> to nDTACK_FSB.D0.0009.700-9.700
A_FSB<11> to fsb/VPA.D0.0009.700-9.700
+
+
+ +

Constraint: AUTO_TS_F2P

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Description: MAXDELAY:FROM:FFS(*):TO:PADS(*):0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
cs/nOverlay1.Q to RA<8>0.00012.700-12.700
cs/nOverlay1.Q to nROMCS0.00012.700-12.700
nAoutOE.Q to nAS_IOB0.00012.700-12.700
+
+
+
Number of constraints not met: 4

Data Sheet Report

@@ -13756,20 +715,15 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { Reason - E - 71.429 - Limited by Clock Pulse Width for E + C8M + 111.111 + Limited by Clock Pulse Width for C8M FCLK 87.719 Limited by Cycle Time for FCLK - - C8M - 100.000 - Limited by Cycle Time for C8M - C16M 90.909 @@ -13779,6 +733,19 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() {

Setup/Hold Times for Clocks

+ + + + + + + + + + + + +
Setup/Hold Times for Clock C8M
Source PadSetup to clk (edge) Hold to clk (edge)
E6.5000.000

@@ -13866,11 +833,21 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + + + + + + @@ -13887,24 +864,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() {
Setup/Hold Times for Clock FCLK
7.900 0.000
E6.5000.000
nAS_FSB 7.900 0.000
nIPL26.5000.000
nLDS_FSB 6.5000.000

- - - - - - - - - - - - - - - - - -
Setup/Hold Times for Clock C8M
Source PadSetup to clk (edge) Hold to clk (edge)
E6.5000.000
nIPL26.5000.000

@@ -13922,11 +881,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -13952,6 +906,14 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + + + + @@ -13960,38 +922,46 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - + + + + + + + + + + + + + + + + + - - - - - - - - + + + + @@ -14005,11 +975,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - + @@ -14020,10 +986,18 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + + + + @@ -14033,45 +1007,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() {
Setup/Hold Times for Clock C16M
7.500 0.000
nBG_IOB6.5000.000
nDTACK_IOB 6.500Destination Pad Clock (edge) to Pad
RA<1>14.500
RA<2>14.500
RA<4> 14.500RA<5> 14.500
RA<6>14.500
RA<8> 14.500
nRAMLWEnAS_IOB14.500
nLDS_IOB14.500
nRES 14.500
nROMCS 14.500
nUDS_IOB14.500
nVMA_IOB14.500
RA<0> 13.500
RA<1>13.500
RA<2>13.500
RA<3> 13.500
RA<6>13.500
RA<7> 13.50013.500
nRAMUWE13.500
nRASnDoutOE 13.500
nADoutLE1 5.800
nAoutOE5.800
nBERR_FSB 5.800
nBR_IOB5.800
nCAS 5.8005.800

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Clock C8M to Pad
Destination PadClock (edge) to Pad
nAS_IOB14.500
nLDS_IOB14.500
nRES14.500
nUDS_IOB14.500
nVMA_IOB14.500
nDoutOE13.500
nAoutOE5.800
nBR_IOB5.800

@@ -14110,144 +1045,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() {

Clock to Setup Times for Clocks

-
Clock C16M to Pad
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Clock to Setup for clock E
SourceDestinationDelay
cnt/Timer<0>.Qcnt/RefReq.D10.000
cnt/Timer<0>.Qcnt/RefUrgent.D10.000
cnt/Timer<0>.Qcnt/Timer<0>.D10.000
cnt/Timer<0>.Qcnt/Timer<1>.D10.000
cnt/Timer<0>.Qcnt/Timer<2>.D10.000
cnt/Timer<0>.Qcnt/Timer<3>.D10.000
cnt/Timer<0>.Qcnt/TimerTC.D10.000
cnt/Timer<1>.Qcnt/RefReq.D10.000
cnt/Timer<1>.Qcnt/RefUrgent.D10.000
cnt/Timer<1>.Qcnt/Timer<1>.D10.000
cnt/Timer<1>.Qcnt/Timer<2>.D10.000
cnt/Timer<1>.Qcnt/Timer<3>.D10.000
cnt/Timer<1>.Qcnt/TimerTC.D10.000
cnt/Timer<2>.Qcnt/RefReq.D10.000
cnt/Timer<2>.Qcnt/RefUrgent.D10.000
cnt/Timer<2>.Qcnt/Timer<2>.D10.000
cnt/Timer<2>.Qcnt/Timer<3>.D10.000
cnt/Timer<2>.Qcnt/TimerTC.D10.000
cnt/Timer<3>.Qcnt/RefReq.D10.000
cnt/Timer<3>.Qcnt/RefUrgent.D10.000
cnt/Timer<3>.Qcnt/Timer<3>.D10.000
cnt/Timer<3>.Qcnt/TimerTC.D10.000
cnt/TimerTC.Qcnt/Timer<0>.D10.000
cnt/TimerTC.Qcnt/Timer<1>.D10.000
cnt/TimerTC.Qcnt/Timer<2>.D10.000
cnt/TimerTC.Qcnt/Timer<3>.D10.000

@@ -14257,17 +1054,17 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + - + - + @@ -14280,6 +1077,21 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + + + + + + + + + + + @@ -14287,12 +1099,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - + @@ -14300,6 +1107,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + @@ -14310,16 +1122,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - @@ -14332,51 +1134,51 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + - + + + + + + - + + + + + + + + + + + - + - + - + - - - - - - - - - - - - - - - - + @@ -14385,6 +1187,21 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + + + + + + + + + + + @@ -14395,6 +1212,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + @@ -14405,16 +1227,26 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + - + + + + + + @@ -14422,7 +1254,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + @@ -14437,7 +1269,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + @@ -14445,26 +1277,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - - - - - - - - - - - @@ -14472,7 +1284,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + + + + + + @@ -14482,17 +1299,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - - + @@ -14511,13 +1318,13 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - + + - + @@ -14525,19 +1332,9 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - - + @@ -14545,31 +1342,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - - - - - - - - - - - @@ -14577,7 +1354,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + @@ -14586,13 +1363,13 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - + + - + @@ -14601,13 +1378,13 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - + + - + @@ -14627,17 +1404,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - + - + @@ -14646,8 +1418,8 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - + + @@ -14655,36 +1427,1021 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - + + + + + + + + + + + - - - - - - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -14702,7 +2459,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + + + + + + @@ -14715,6 +2477,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + @@ -14722,7 +2489,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + @@ -14730,16 +2497,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - @@ -14752,7 +2509,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + @@ -14767,7 +2524,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + + + + + + @@ -14787,17 +2549,22 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + - + + + + + + - + @@ -14817,12 +2584,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + - + @@ -14832,109 +2599,134 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -14950,24 +2742,34 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + - - + + - - + + - + + + + + + @@ -14980,11 +2782,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -14992,7 +2789,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + @@ -15000,14 +2797,9 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - + @@ -15015,21 +2807,16 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - + + + + + @@ -15042,7 +2829,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + @@ -15050,11 +2837,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -15066,701 +2848,8 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - -
Clock to Setup for clock FCLK
cs/nOverlay1.Qfsb/VPA.DIOREQ.D 11.400
cs/nOverlay1.Qiobs/IORW0.DIORW0.D 11.400
cs/nOverlay1.Qiobs/Once.Diobs/PS_FSM_FFd2.D 11.400
ram/RASEL.D 11.400
cs/nOverlay1.Qram/RS_FSM_FFd3.D11.400
fsb/ASrf.QIOREQ.D11.400
fsb/ASrf.QIORW0.D11.400
fsb/ASrf.Q fsb/VPA.D
fsb/ASrf.Qiobs/IORW0.D11.400
fsb/ASrf.QnDTACK_FSB.Diobs/PS_FSM_FFd2.D 11.400
ram/RASEL.D 11.400
fsb/ASrf.Qram/RS_FSM_FFd3.D11.400
fsb/Ready1r.Q fsb/VPA.DnDTACK_FSB.D 11.400
fsb/VPA.Qfsb/VPA.D11.400
iobs/IORW0.Qiobs/IORW0.D11.400
iobs/IOReady.Q fsb/VPA.D
iobs/Once.Qiobs/IORW0.DIOREQ.D 11.400
iobs/Once.Qiobs/Once.DIORW0.D11.400
iobs/Once.Qiobs/PS_FSM_FFd2.D 11.400
iobs/PS_FSM_FFd1.Qiobs/IORW0.DIOREQ.D11.400
iobs/PS_FSM_FFd1.QIORW0.D11.400
iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.D 11.400
iobs/PS_FSM_FFd2.Qiobs/IOREQ.DIORW0.D 11.400
iobs/PS_FSM_FFd2.Qiobs/IORW0.Diobs/PS_FSM_FFd2.D 11.400
nADoutLE1.Qfsb/VPA.DIORW0.D 11.400
nADoutLE1.Qiobs/IOREQ.D11.400
nADoutLE1.Qiobs/IORW0.D11.400
nDTACK_FSB.QnDTACK_FSB.D11.400
ram/RAMEN.Qram/BACTr.Q ram/RAMReady.D 11.400
ram/RASEL.D 11.400
ram/RAMEN.Qram/RS_FSM_FFd3.D11.400
ram/RS_FSM_FFd1.Qram/RAMReady.D11.400
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd3.D11.400
ram/RS_FSM_FFd2.Q ram/RAMReady.Dram/RASEL.D 11.400
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd3.D11.400
ram/RS_FSM_FFd3.Q ram/RAMReady.Dram/RASEL.D 11.400
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd3.D11.400
ram/RefReq.Q ram/RAMReady.D 11.400
ram/RefUrgent.Qram/RefUrg.Q ram/RAMReady.D 11.400
IORW0.QIORW0.D11.000
cs/nOverlay1.Q fsb/Ready1r.D
cs/nOverlay1.Qiobs/IOREQ.Dfsb/VPA.D 11.000
cs/nOverlay1.Qiobs/PS_FSM_FFd2.Diobs/Once.D 11.000
nDTACK_FSB.D 11.000
cs/nOverlay1.Qram/RAMEN.D11.000
cs/nOverlay1.Qram/RS_FSM_FFd1.D11.000
cs/nOverlay1.Qram/RS_FSM_FFd2.D11.000
fsb/ASrf.Qfsb/Ready0r.D11.000
fsb/ASrf.Q iobs/IORW1.D
fsb/ASrf.Qiobs/IOReady.Diobs/Once.D11.000
fsb/ASrf.QnDTACK_FSB.D 11.000
fsb/ASrf.Qram/RS_FSM_FFd1.D11.000
fsb/ASrf.Qram/RS_FSM_FFd2.D11.000
fsb/ASrf.Qram/RS_FSM_FFd3.Dram/RAMReady.D 11.000
11.000
iobs/IOACTr.Qiobs/IOReady.Dfsb/VPA.Qfsb/VPA.D 11.000
iobs/IORW1.Qiobs/IORW1.DIORW0.D 11.000
fsb/Ready1r.D 11.000
iobs/IOReady.Qiobs/IOReady.D11.000
iobs/Once.Qiobs/IOReady.D11.000
iobs/PS_FSM_FFd1.Qiobs/IORW1.Diobs/Once.D 11.000
iobs/Once.D 11.000
iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.D11.000
iobs/PS_FSM_FFd2.Qiobs/IORW1.D11.000
iobs/PS_FSM_FFd2.Qiobs/IOReady.D11.000
iobs/PS_FSM_FFd2.Q iobs/Once.D 11.000
iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd2.D11.000
nADoutLE1.Q fsb/Ready1r.D
nADoutLE1.Qiobs/IOReady.Dfsb/VPA.D 11.000
11.000
nADoutLE1.Qiobs/PS_FSM_FFd2.DnDTACK_FSB.QnDTACK_FSB.D 11.000
ram/BACTr.Qram/RAMReady.Dram/RAMEN.D 11.000
11.000
ram/RAMEN.Qram/RAMEN.Dram/BACTr.Qram/RS_FSM_FFd3.D 11.000
ram/RAMEN.Qram/RS_FSM_FFd1.Dram/RAMEN.D 11.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd1.D11.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd3.Dram/RS_FSM_FFd2.D 11.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd1.Dram/RAMEN.D 11.000
11.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd3.Dram/RS_FSM_FFd3.Qram/RAMEN.D 11.000
ram/RS_FSM_FFd2.D 11.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd3.D11.000
ram/RefReq.Q ram/RASEL.D 11.000
ram/RefUrgent.Qram/RefReq.Qram/RS_FSM_FFd3.D11.000
ram/RefUrg.Qram/RAMEN.D11.000
ram/RefUrg.Q ram/RASEL.D 11.000
ram/RefUrgent.Qram/RS_FSM_FFd1.D11.000
ram/RefUrgent.Qram/RefUrg.Q ram/RS_FSM_FFd2.D 11.000
ram/RefUrgent.Qram/RefUrg.Q ram/RS_FSM_FFd3.D 11.000
RefReq.Qram/RefReqSync.D10.000
RefUrg.QRefReq.D10.000
RefUrg.QRefUrg.D10.000
RefUrg.Qcnt/TimerTC.D10.000
RefUrg.Qram/RegUrgSync.D10.000
cnt/Er<0>.QRefReq.CE10.000
cnt/Er<0>.QRefUrg.CE10.000
cnt/Er<0>.QRefUrg.D10.000
cnt/Er<0>.Qcnt/Er<1>.D10.000
cnt/Er<0>.Qcnt/INITS_FSM_FFd1.D10.000
cnt/Er<0>.Qcnt/INITS_FSM_FFd2.D10.000
cnt/Er<0>.Qcnt/LTimer<0>.CE10.000
cnt/Er<0>.Qcnt/LTimer<10>.CE10.000
cnt/Er<0>.Qcnt/LTimer<11>.CE10.000
cnt/Er<0>.Qcnt/LTimer<12>.CE10.000
cnt/Er<0>.Qcnt/LTimer<1>.CE10.000
cnt/Er<0>.Qcnt/LTimer<2>.CE10.000
cnt/Er<0>.Qcnt/LTimer<3>.CE10.000
cnt/Er<0>.Qcnt/LTimer<4>.CE10.000
cnt/Er<0>.Qcnt/LTimer<5>.CE10.000
cnt/Er<0>.Qcnt/LTimer<6>.CE10.000
cnt/Er<0>.Qcnt/LTimer<7>.CE10.000
cnt/Er<0>.Qcnt/LTimer<8>.CE10.000
cnt/Er<0>.Qcnt/LTimer<9>.CE10.000
cnt/Er<0>.Qcnt/LTimerTC.CE10.000
cnt/Er<0>.Qcnt/Timer<0>.CE10.000
cnt/Er<0>.Qcnt/Timer<0>.D10.000
cnt/Er<0>.Qcnt/Timer<1>.CE10.000
cnt/Er<0>.Qcnt/Timer<1>.D10.000
cnt/Er<0>.Qcnt/Timer<2>.CE10.000
cnt/Er<0>.Qcnt/Timer<2>.D10.000
cnt/Er<0>.Qcnt/TimerTC.CE10.000
cnt/Er<1>.QRefReq.CE10.000
cnt/Er<1>.QRefUrg.CE10.000
cnt/Er<1>.QRefUrg.D10.000
cnt/Er<1>.Qcnt/INITS_FSM_FFd1.D10.000
cnt/Er<1>.Qcnt/INITS_FSM_FFd2.D10.000
cnt/Er<1>.Qcnt/LTimer<0>.CE10.000
cnt/Er<1>.Qcnt/LTimer<10>.CE10.000
cnt/Er<1>.Qcnt/LTimer<11>.CE10.000
cnt/Er<1>.Qcnt/LTimer<12>.CE10.000
cnt/Er<1>.Qcnt/LTimer<1>.CE10.000
cnt/Er<1>.Qcnt/LTimer<2>.CE10.000
cnt/Er<1>.Qcnt/LTimer<3>.CE10.000
cnt/Er<1>.Qcnt/LTimer<4>.CE10.000
cnt/Er<1>.Qcnt/LTimer<5>.CE10.000
cnt/Er<1>.Qcnt/LTimer<6>.CE10.000
cnt/Er<1>.Qcnt/LTimer<7>.CE10.000
cnt/Er<1>.Qcnt/LTimer<8>.CE10.000
cnt/Er<1>.Qcnt/LTimer<9>.CE10.000
cnt/Er<1>.Qcnt/LTimerTC.CE10.000
cnt/Er<1>.Qcnt/Timer<0>.CE10.000
cnt/Er<1>.Qcnt/Timer<0>.D10.000
cnt/Er<1>.Qcnt/Timer<1>.CE10.000
cnt/Er<1>.Qcnt/Timer<1>.D10.000
cnt/Er<1>.Qcnt/Timer<2>.CE10.000
cnt/Er<1>.Qcnt/Timer<2>.D10.000
cnt/Er<1>.Qcnt/TimerTC.CE10.000
cnt/INITS_FSM_FFd1.Qcnt/INITS_FSM_FFd1.D10.000
cnt/INITS_FSM_FFd1.Qcnt/INITS_FSM_FFd2.D10.000
cnt/INITS_FSM_FFd1.QnAoutOE.D10.000
cnt/INITS_FSM_FFd1.QnBR_IOB.D10.000
cnt/INITS_FSM_FFd1.QnRESout.D10.000
cnt/INITS_FSM_FFd2.Qcnt/INITS_FSM_FFd1.D10.000
cnt/INITS_FSM_FFd2.Qcnt/INITS_FSM_FFd2.D10.000
cnt/INITS_FSM_FFd2.QnAoutOE.D10.000
cnt/INITS_FSM_FFd2.QnBR_IOB.D10.000
cnt/INITS_FSM_FFd2.QnRESout.D10.000
cnt/LTimer<0>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<1>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<0>.Qcnt/LTimerTC.D10.000
cnt/LTimer<10>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<10>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<10>.Qcnt/LTimerTC.D10.000
cnt/LTimer<11>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<11>.Qcnt/LTimerTC.D10.000
cnt/LTimer<12>.Qcnt/LTimerTC.D10.000
cnt/LTimer<1>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<1>.Qcnt/LTimerTC.D10.000
cnt/LTimer<2>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<2>.Qcnt/LTimerTC.D10.000
cnt/LTimer<3>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<3>.Qcnt/LTimerTC.D10.000
cnt/LTimer<4>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<4>.Qcnt/LTimerTC.D10.000
cnt/LTimer<5>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<5>.Qcnt/LTimerTC.D10.000
cnt/LTimer<6>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<6>.Qcnt/LTimerTC.D10.000
cnt/LTimer<7>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<7>.Qcnt/LTimerTC.D10.000
cnt/LTimer<8>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<8>.Qcnt/LTimerTC.D10.000
cnt/LTimer<9>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<9>.Qcnt/LTimerTC.D10.000
cnt/LTimerTC.Qcnt/INITS_FSM_FFd1.D10.000
cnt/LTimerTC.Qcnt/INITS_FSM_FFd2.D10.000
cnt/Timer<0>.QRefUrg.D10.000
cnt/Timer<0>.Qcnt/Timer<0>.D10.000
cnt/Timer<0>.Qcnt/Timer<1>.D10.000
cnt/Timer<0>.Qcnt/Timer<2>.D10.000
cnt/Timer<0>.Qcnt/TimerTC.D10.000
cnt/Timer<1>.QRefReq.D10.000
cnt/Timer<1>.QRefUrg.D10.000
cnt/Timer<1>.Qcnt/Timer<1>.D10.000
cnt/Timer<1>.Qcnt/Timer<2>.D10.000
cnt/Timer<1>.Qcnt/TimerTC.D10.000
cnt/Timer<2>.QRefReq.D10.000
cnt/Timer<2>.QRefUrg.D10.000
cnt/Timer<2>.Qcnt/Timer<2>.D10.000
cnt/Timer<2>.Qcnt/TimerTC.D10.000
cnt/TimerTC.QRefUrg.D10.000
cnt/TimerTC.Qcnt/INITS_FSM_FFd1.D10.000
cnt/TimerTC.Qcnt/INITS_FSM_FFd2.D10.000
cnt/TimerTC.Qcnt/LTimer<0>.CE10.000
cnt/TimerTC.Qcnt/LTimer<10>.CE10.000
cnt/TimerTC.Qcnt/LTimer<11>.CE10.000
cnt/TimerTC.Qcnt/LTimer<12>.CE10.000
cnt/TimerTC.Qcnt/LTimer<1>.CE10.000
cnt/TimerTC.Qcnt/LTimer<2>.CE10.000
cnt/TimerTC.Qcnt/LTimer<3>.CE10.000
cnt/TimerTC.Qcnt/LTimer<4>.CE10.000
cnt/TimerTC.Qcnt/LTimer<5>.CE10.000
cnt/TimerTC.Qcnt/LTimer<6>.CE10.000
cnt/TimerTC.Qcnt/LTimer<7>.CE10.000
cnt/TimerTC.Qcnt/LTimer<8>.CE10.000
cnt/TimerTC.Qcnt/LTimer<9>.CE10.000
cnt/TimerTC.Qcnt/LTimerTC.CE10.000
cnt/TimerTC.Qcnt/Timer<0>.D10.000
cnt/TimerTC.Qcnt/Timer<1>.D10.000
cnt/TimerTC.Qcnt/Timer<2>.D10.000
cnt/nIPL2r.Qcnt/INITS_FSM_FFd1.D10.000
cnt/nIPL2r.QnBR_IOB.D10.000
cs/nOverlay0.Q cs/nOverlay0.D
cs/nOverlay1.Qram/RS_FSM_FFd3.Dram/RAMEN.D10.000
cs/nOverlay1.Qram/RS_FSM_FFd2.D 10.000
cs/nOverlay1.CE 10.000
fsb/ASrf.Qfsb/Ready0r.D10.000
fsb/ASrf.Q fsb/Ready1r.D
fsb/ASrf.Qiobs/IOREQ.Diobs/IOReady.D 10.000
iobs/Load1.D 10.000
fsb/ASrf.Qiobs/Once.D10.000
fsb/ASrf.Qiobs/PS_FSM_FFd2.D10.000
fsb/ASrf.Q nBERR_FSB.D
fsb/ASrf.Qram/RAMReady.Dram/RS_FSM_FFd2.D 10.000
iobs/IOACTr.Qiobs/IOREQ.DIOREQ.D10.000
iobs/IOACTr.Qiobs/IOReady.D 10.000
iobs/IOL1.Qiobs/IOL0.DIOL0.D 10.000
iobs/IORW1.Qiobs/IORW0.Diobs/IORW1.D10.000
iobs/IOReady.Qiobs/IOReady.D 10.000
iobs/IOU1.Qiobs/IOU0.DIOU0.D 10.000
iobs/Once.Qiobs/IOREQ.Diobs/IORW1.D 10.000
iobs/Once.Qiobs/IORW1.Diobs/IOReady.D 10.000
iobs/Once.QnBERR_FSB.D10.000
iobs/PS_FSM_FFd1.QALE0S.D10.000
iobs/PS_FSM_FFd1.QIOL0.CE10.000
iobs/PS_FSM_FFd1.QIOU0.CE10.000
iobs/PS_FSM_FFd1.Qiobs/Clear1.D10.000
iobs/PS_FSM_FFd1.Qiobs/IORW1.D10.000
iobs/PS_FSM_FFd1.Qiobs/Load1.D10.000
iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D10.000
iobs/PS_FSM_FFd2.QALE0S.D10.000
iobs/PS_FSM_FFd2.QIOL0.CE10.000
iobs/PS_FSM_FFd2.QIOREQ.D10.000
iobs/PS_FSM_FFd2.QIOU0.CE10.000
iobs/PS_FSM_FFd2.Qiobs/Clear1.D10.000
iobs/PS_FSM_FFd2.Qiobs/IORW1.D10.000
iobs/PS_FSM_FFd2.Qiobs/IOReady.D10.000
iobs/PS_FSM_FFd2.Qiobs/Load1.D10.000
iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D10.000
iobs/PS_FSM_FFd2.QnBERR_FSB.D10.000
nADoutLE1.QIOL0.D10.000
nADoutLE1.QIOREQ.D10.000
nADoutLE1.QIOU0.D10.000
nADoutLE1.Qiobs/Clear1.D10.000
nADoutLE1.Qiobs/IORW1.D10.000
nADoutLE1.Qiobs/IOReady.D10.000
nADoutLE1.Qiobs/Load1.D10.000
nADoutLE1.Q iobs/PS_FSM_FFd2.D 10.000
iobs/Once.QnBERR_FSB.D10.000
iobs/PS_FSM_FFd1.Qiobs/ALE0.D10.000
iobs/PS_FSM_FFd1.Qiobs/Clear1.D10.000
iobs/PS_FSM_FFd1.Qiobs/IOL0.CE10.000
iobs/PS_FSM_FFd1.Qiobs/IOREQ.D10.000
iobs/PS_FSM_FFd1.Qiobs/IOU0.CE10.000
iobs/PS_FSM_FFd1.Qiobs/Load1.D10.000
iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D10.000
iobs/PS_FSM_FFd2.Qiobs/ALE0.D10.000
iobs/PS_FSM_FFd2.Qiobs/Clear1.D10.000
iobs/PS_FSM_FFd2.Qiobs/IOL0.CE10.000
iobs/PS_FSM_FFd2.Qiobs/IOU0.CE10.000
iobs/PS_FSM_FFd2.Qiobs/Load1.D10.000
iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D10.000
iobs/PS_FSM_FFd2.QnBERR_FSB.D10.000
nADoutLE1.Qiobs/Clear1.D10.000
nADoutLE1.Qiobs/IOL0.D10.000
nADoutLE1.Qiobs/IORW1.D10.000
nADoutLE1.Qiobs/IOU0.D10.000
nADoutLE1.Qiobs/Load1.D10.000
nADoutLE1.Q nADoutLE1.DnDTACK_FSB.D 10.000
nAoutOE.QnAoutOE.D10.000
nBERR_FSB.Q nBERR_FSB.D 10.000
ram/BACTr.Qram/RAMEN.DnBR_IOB.QnAoutOE.D 10.000
ram/BACTr.Qram/RS_FSM_FFd2.DnBR_IOB.QnBR_IOB.D 10.000
ram/RAMEN.Qram/RS_FSM_FFd3.Dram/RAMReady.D10.000
ram/RAMEN.Qram/RS_FSM_FFd2.D 10.000
nCAS.D 10.000
ram/RS_FSM_FFd1.Qram/RAMReady.D10.000
ram/RS_FSM_FFd1.Q ram/RASEL.D
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd2.Dram/RS_FSM_FFd1.D 10.000
ram/RefDone.D 10.000
ram/RS_FSM_FFd1.Qram/RefRAS.D10.000
ram/RS_FSM_FFd2.Qram/RAMEN.Dram/RS_FSM_FFd1.D 10.000
ram/RefDone.D 10.000
ram/RS_FSM_FFd2.Qram/RefRAS.D10.000
ram/RS_FSM_FFd3.Qram/RAMEN.D10.000
ram/RS_FSM_FFd3.Q ram/RS_FSM_FFd1.D 10.000
ram/RS_FSM_FFd3.Qram/RefDone.D10.000
ram/RefDone.Q ram/RefDone.D
ram/RefDone.Qram/RefUrgent.Dram/RefUrg.D 10.000
ram/RAMEN.D 10.000
ram/RefReq.Qram/RS_FSM_FFd2.D10.000
ram/RefReqSync.Q ram/RefDone.D10.000
ram/RefUrgent.Qram/RAMEN.D10.000
ram/RegUrgentSync.Qram/RefUrgent.D10.000

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + +
Clock to Setup for clock C8M
SourceDestinationDelay
cnt/IPL2r.Qcnt/PORS_FSM_FFd1.D10.000
cnt/IPL2r.QnBR_IOB.D10.000
cnt/LTimer<0>.Qcnt/LTimer<0>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<1>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<10>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<10>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<10>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<10>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<11>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<11>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<11>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<12>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<12>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<0>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<1>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<13>.Qcnt/PORS_FSM_FFd1.D10.000
cnt/LTimer<13>.Qcnt/PORS_FSM_FFd2.D10.000
cnt/LTimer<13>.Qcnt/nRESout.D10.000
cnt/LTimer<1>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<1>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<9>.D10.000
cnt/PORS_FSM_FFd1.Qcnt/PORS_FSM_FFd1.D10.000
cnt/PORS_FSM_FFd1.Qcnt/PORS_FSM_FFd2.D10.000
cnt/PORS_FSM_FFd1.Qcnt/nRESout.D10.000
cnt/PORS_FSM_FFd1.QnAoutOE.D10.000
cnt/PORS_FSM_FFd1.QnBR_IOB.D10.000
cnt/PORS_FSM_FFd2.Qcnt/PORS_FSM_FFd1.D10.000
cnt/PORS_FSM_FFd2.Qcnt/PORS_FSM_FFd2.D10.000
cnt/PORS_FSM_FFd2.Qcnt/nRESout.D10.000
cnt/PORS_FSM_FFd2.QnAoutOE.D10.000
cnt/PORS_FSM_FFd2.QnBR_IOB.D10.000
cnt/nRESout.Qcnt/nRESout.D10.000
nBR_IOB.QnAoutOE.D10.000
nBR_IOB.QnBR_IOB.Dram/RegUrgSync.Qram/RefUrg.D 10.000

@@ -15771,79 +2860,84 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { Destination Delay + + IOBERR.Q + IOBERR.D + 11.000 + iobm/BERRrf.Q - iobm/IOBERR.D + IOBERR.D 11.000 iobm/BERRrr.Q - iobm/IOBERR.D + IOBERR.D 11.000 iobm/DTACKrf.Q - iobm/IOBERR.D + IOBERR.D 11.000 iobm/DTACKrr.Q - iobm/IOBERR.D - 11.000 - - - iobm/IOBERR.Q - iobm/IOBERR.D + IOBERR.D 11.000 iobm/IOS_FSM_FFd1.Q - iobm/IOACT.D + IOACT.D 11.000 iobm/IOS_FSM_FFd1.Q - iobm/IOBERR.D + IOBERR.D 11.000 iobm/IOS_FSM_FFd2.Q - iobm/IOBERR.D + IOBERR.D 11.000 iobm/IOS_FSM_FFd3.Q - iobm/IOACT.D + IOACT.D 11.000 iobm/IOS_FSM_FFd3.Q - iobm/IOBERR.D + IOBERR.D 11.000 iobm/RESrf.Q - iobm/IOACT.D + IOACT.D 11.000 iobm/RESrf.Q - iobm/IOBERR.D + IOBERR.D 11.000 iobm/RESrr.Q - iobm/IOACT.D + IOACT.D 11.000 iobm/RESrr.Q - iobm/IOBERR.D + IOBERR.D 11.000 + + IOACT.Q + nVMA_IOB.D + 10.000 + iobm/BERRrf.Q - iobm/IOACT.D + IOACT.D 10.000 @@ -15853,7 +2947,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobm/BERRrr.Q - iobm/IOACT.D + IOACT.D 10.000 @@ -15861,14 +2955,9 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobm/IOS_FSM_FFd2.D 10.000 - - iobm/BG.Q - iobm/IOS_FSM_FFd3.D - 10.000 - iobm/DTACKrf.Q - iobm/IOACT.D + IOACT.D 10.000 @@ -15878,7 +2967,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobm/DTACKrr.Q - iobm/IOACT.D + IOACT.D 10.000 @@ -16043,12 +3132,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobm/ETACK.Q - iobm/IOACT.D + IOACT.D 10.000 iobm/ETACK.Q - iobm/IOBERR.D + IOBERR.D 10.000 @@ -16082,18 +3171,18 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { 10.000 - iobm/IOACT.Q - nVMA_IOB.D + iobm/IOREQr.Q + ALE0M.D 10.000 iobm/IOREQr.Q - iobm/ALE0.D + IOACT.D 10.000 iobm/IOREQr.Q - iobm/IOACT.D + iobm/DoutOE.D 10.000 @@ -16103,7 +3192,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobm/IOS_FSM_FFd1.Q - iobm/ALE0.D + ALE0M.D + 10.000 + + + iobm/IOS_FSM_FFd1.Q + iobm/DoutOE.D 10.000 @@ -16143,7 +3237,12 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobm/IOS_FSM_FFd2.Q - iobm/ALE0.D + ALE0M.D + 10.000 + + + iobm/IOS_FSM_FFd2.Q + IOACT.D 10.000 @@ -16151,11 +3250,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobm/DoutOE.D 10.000 - - iobm/IOS_FSM_FFd2.Q - iobm/IOACT.D - 10.000 - iobm/IOS_FSM_FFd2.Q iobm/IOS_FSM_FFd1.D @@ -16193,7 +3287,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { iobm/IOS_FSM_FFd3.Q - iobm/ALE0.D + ALE0M.D 10.000 @@ -16251,16 +3345,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nVMA_IOB.D 10.000 - - iobm/nASr.Q - iobm/BG.CE - 10.000 - - - nAS_IOB.Q - iobm/nASr.D - 10.000 - nVMA_IOB.Q iobm/ETACK.D @@ -16281,6 +3365,21 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { Destination Pad Delay + + A_FSB<11> + RA<1> + 11.000 + + + A_FSB<12> + RA<2> + 11.000 + + + A_FSB<14> + RA<4> + 11.000 + A_FSB<15> RA<5> @@ -16321,6 +3420,16 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nROMCS 11.000 + + A_FSB<2> + RA<1> + 11.000 + + + A_FSB<3> + RA<2> + 11.000 + A_FSB<5> RA<4> @@ -16331,56 +3440,21 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { RA<5> 11.000 - - A_FSB<7> - RA<6> - 11.000 - A_FSB<9> RA<8> 11.000 - - nAS_FSB - nRAMLWE - 11.000 - - - nLDS_FSB - nRAMLWE - 11.000 - - - nWE_FSB - nRAMLWE - 11.000 - A_FSB<10> RA<0> 10.000 - - A_FSB<11> - RA<1> - 10.000 - - - A_FSB<12> - RA<2> - 10.000 - A_FSB<13> RA<3> 10.000 - - A_FSB<14> - RA<4> - 10.000 - A_FSB<16> RA<6> @@ -16431,46 +3505,26 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nDinOE 10.000 - - A_FSB<21> - nRAS - 10.000 - A_FSB<22> nDinOE 10.000 - - A_FSB<22> - nRAS - 10.000 - A_FSB<23> nDinOE 10.000 - - A_FSB<23> - nRAS - 10.000 - - - A_FSB<2> - RA<1> - 10.000 - - - A_FSB<3> - RA<2> - 10.000 - A_FSB<4> RA<3> 10.000 + + A_FSB<7> + RA<6> + 10.000 + A_FSB<8> RA<7> @@ -16486,31 +3540,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nOE 10.000 - - nAS_FSB - nRAMUWE - 10.000 - - - nAS_FSB - nRAS - 10.000 - - - nAS_FSB - nROMWE - 10.000 - nAS_FSB nVPA_FSB 10.000 - - nUDS_FSB - nRAMUWE - 10.000 - nWE_FSB nDinOE @@ -16521,24 +3555,14 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { nOE 10.000 - - nWE_FSB - nRAMUWE - 10.000 - - - nWE_FSB - nROMWE - 10.000 -


Number of paths analyzed: -400 +758
Number of Timing errors: -0 -
Analysis Completed: Sat Mar 25 00:05:47 2023 +758 +
Analysis Completed: Sun Mar 26 03:18:38 2023
diff --git a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt index e48de28..dcb9547 100644 --- a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt +++ b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -67,33 +67,34 @@
- - + + - - - + + + - + - + - + +
- - + + - + - + - + - - + +
diff --git a/cpld/XC95144XL/WarpSE_pad.csv b/cpld/XC95144XL/WarpSE_pad.csv index d608956..81071d7 100644 --- a/cpld/XC95144XL/WarpSE_pad.csv +++ b/cpld/XC95144XL/WarpSE_pad.csv @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 3-25-2023 0:52AM + 3-26-2023 4:30AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The comma ',' diff --git a/cpld/XC95144XL/WarpSE_summary.html b/cpld/XC95144XL/WarpSE_summary.html index 61e962a..8b1927b 100644 --- a/cpld/XC95144XL/WarpSE_summary.html +++ b/cpld/XC95144XL/WarpSE_summary.html @@ -2,7 +2,7 @@
- + @@ -65,9 +65,9 @@ System Settings - - - + + +
WarpSE Project Status (03/25/2023 - 00:52:44)
WarpSE Project Status (03/26/2023 - 04:30:29)
Project File: WarpSE.xise
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentSat Mar 25 00:52:16 202305 Warnings (0 new)0
Translation ReportCurrentSat Mar 25 00:52:22 2023000
CPLD Fitter Report (Text)CurrentSat Mar 25 00:52:35 202305 Warnings (1 new)3 Infos (3 new)
Synthesis ReportCurrentSun Mar 26 04:30:02 202305 Warnings (0 new)0
Translation ReportCurrentSun Mar 26 04:30:07 2023000
CPLD Fitter Report (Text)CurrentSun Mar 26 04:30:20 202305 Warnings (1 new)3 Infos (3 new)
Power Report     
 
@@ -77,5 +77,5 @@ System Settings
-
Date Generated: 03/25/2023 - 00:52:44
+
Date Generated: 03/26/2023 - 04:30:29
\ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE_tim.html b/cpld/XC95144XL/WarpSE_tim.html deleted file mode 100644 index 660759c..0000000 --- a/cpld/XC95144XL/WarpSE_tim.html +++ /dev/null @@ -1 +0,0 @@ -CPLD Timing Report (Text)
CPLD Timing Report (Text)

Mon Mar 28 09:30:32 2022



                           Performance Summary Report
                           --------------------------

Design:     WarpSE
Device:     XC95144XL-10-TQ100
Speed File: Version 3.0
Program:    Timing Report Generator:  version P.20131013
Date:       Mon Mar 28 09:28:06 2022

Timing Constraint Summary:

TS_CLK_IOB=PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS                            N/A
TS_CLK_FSB=PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS                             Met
TS_CLK2X_IOB=PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS                         Met

Performance Summary:

Pad to Pad (tPD)                          :         11.0ns (1 macrocell levels)
Pad 'A_FSB<11>' to Pad 'RA<1>'                                    

Clock net 'CLK_IOB' path delays:

Setup to Clock at the Pad (tSU)           :          6.5ns (0 macrocell levels)
Data signal 'E_IOB' to DFF D input Pin at 'iobm/Er.D'
Clock pad 'CLK_IOB'                                                       (GCK)

                          Minimum Clock Period: 9.0ns
                     Maximum Internal Clock Speed: 111.1Mhz
                         (Limited by Clock Pulse Width)

Clock net 'CLK_FSB' path delays:

Clock Pad to Output Pad (tCO)             :         14.5ns (2 macrocell levels)
Clock Pad 'CLK_FSB' to Output Pad 'RA<1>'                                 (GCK)

Clock to Setup (tCYC)                     :         20.1ns (2 macrocell levels)
Clock to Q, net 'cs/nOverlay1.Q' to DFF Setup(D) at 'fsb/VPA.D'           (GCK)
Target FF drives output net 'fsb/VPA'

Setup to Clock at the Pad (tSU)           :         16.6ns (1 macrocell levels)
Data signal 'A_FSB<23>' to DFF D input Pin at 'fsb/VPA.D'
Clock pad 'CLK_FSB'                                                       (GCK)

                          Minimum Clock Period: 20.1ns
                     Maximum Internal Clock Speed: 49.7Mhz
                            (Limited by Cycle Time)

Clock net 'CLK2X_IOB' path delays:

Clock Pad to Output Pad (tCO)             :         14.5ns (2 macrocell levels)
Clock Pad 'CLK2X_IOB' to Output Pad 'nVMA_IOB'                            (GCK)

Clock to Setup (tCYC)                     :         11.0ns (1 macrocell levels)
Clock to Q, net 'iobm/IOS_FSM_FFd3.Q' to DFF Setup(D) at 'IOACT.D'        (GCK)
Target FF drives output net 'IOACT'

Setup to Clock at the Pad (tSU)           :          7.5ns (0 macrocell levels)
Data signal 'CLK_IOB' to DFF D input Pin at 'IOACT.D'
Clock pad 'CLK2X_IOB'                                                     (GCK)

                          Minimum Clock Period: 11.0ns
                     Maximum Internal Clock Speed: 90.9Mhz
                            (Limited by Cycle Time)

--------------------------------------------------------------------------------
                            Pad to Pad (tPD) (nsec)

\ From        A     A     A     A     A     A     A     A     A     A     A
 \            _     _     _     _     _     _     _     _     _     _     _
  \           F     F     F     F     F     F     F     F     F     F     F
   \          S     S     S     S     S     S     S     S     S     S     S
    \         B     B     B     B     B     B     B     B     B     B     B
     \        <     <     <     <     <     <     <     <     <     <     <
      \       1     1     1     1     1     1     1     1     1     1     1
       \      0     1     2     3     4     5     6     7     8     9     >
        \     >     >     >     >     >     >     >     >     >     >      
  To     \------------------------------------------------------------------

CLK20EN                                                                    
CLK25EN                                                                    
RA<0>      10.0                                                        10.0
RA<10>                                                                     
RA<11>                                                           10.0      
RA<1>            11.0                                                      
RA<2>                  11.0                                                
RA<3>                        10.0                                          
RA<4>                              11.0                                    
RA<5>                                    11.0                              
RA<6>                                          10.0                        
RA<7>                                                10.0                  
RA<8>                                                      10.0            
RA<9>                                                            10.0      
nBERR_FSB                                                                  
nDinOE                                                                     
nOE                                                                        
nRAMLWE                                                                    
nRAMUWE                                                                    
nRAS                                                                       
nROMCS                                                                     
nROMWE                                                                     
nVPA_FSB                                                                   

--------------------------------------------------------------------------------
                            Pad to Pad (tPD) (nsec)

\ From        A     A     A     A     A     A     A     A     A     A     A
 \            _     _     _     _     _     _     _     _     _     _     _
  \           F     F     F     F     F     F     F     F     F     F     F
   \          S     S     S     S     S     S     S     S     S     S     S
    \         B     B     B     B     B     B     B     B     B     B     B
     \        <     <     <     <     <     <     <     <     <     <     <
      \       2     2     2     2     2     3     4     5     6     7     8
       \      0     1     2     3     >     >     >     >     >     >     >
        \     >     >     >     >                                          
  To     \------------------------------------------------------------------

CLK20EN                                                                    
CLK25EN                                                                    
RA<0>                                                                      
RA<10>           10.0                                                      
RA<11>                                                                     
RA<1>                              11.0                                    
RA<2>                                    11.0                              
RA<3>                                          10.0                        
RA<4>                                                11.0                  
RA<5>                                                      11.0            
RA<6>                                                            10.0      
RA<7>                                                                  10.0
RA<8>            11.0  11.0  11.0                                          
RA<9>      10.0                                                            
nBERR_FSB  11.0  11.0  11.0  11.0                                          
nDinOE     10.0  10.0  10.0  10.0                                          
nOE                                                                        
nRAMLWE                                                                    
nRAMUWE                                                                    
nRAS             11.0  11.0  11.0                                          
nROMCS     11.0  11.0  11.0  11.0                                          
nROMWE                                                                     
nVPA_FSB                                                                   

--------------------------------------------------------------------------------
                            Pad to Pad (tPD) (nsec)

\ From        A     S     S     n     n     n     n
 \            _     W     W     A     L     U     W
  \           F     <     <     S     D     D     E
   \          S     0     1     _     S     S     _
    \         B     >     >     F     _     _     F
     \        <                 S     F     F     S
      \       9                 B     S     S     B
       \      >                       B     B      
        \                                          
  To     \------------------------------------------

CLK20EN          10.0                              
CLK25EN          10.0                              
RA<0>                                              
RA<10>                                             
RA<11>                                             
RA<1>                                              
RA<2>                                              
RA<3>                                              
RA<4>                                              
RA<5>                                              
RA<6>                                              
RA<7>                                              
RA<8>      11.0                                    
RA<9>                                              
nBERR_FSB                    10.0                  
nDinOE                 10.0  10.0              10.0
nOE                          10.0              10.0
nRAMLWE                      10.0  10.0        10.0
nRAMUWE                      11.0        11.0  11.0
nRAS                         11.0                  
nROMCS                 11.0                        
nROMWE                       10.0              10.0
nVPA_FSB                     10.0                  

--------------------------------------------------------------------------------
                      Clock Pad to Output Pad (tCO) (nsec)

\ From         C     C
 \             L     L
  \            K     K
   \           2     _
    \          X     F
     \         _     S
      \        I     B
       \       O      
        \      B      
         \            
  To      \------------

RA<0>             13.5
RA<1>             14.5
RA<2>             14.5
RA<3>             13.5
RA<4>             14.5
RA<5>             14.5
RA<6>             13.5
RA<7>             13.5
RA<8>             14.5
RA<9>             13.5
nADoutLE0   13.5  13.5
nADoutLE1          5.8
nAS_IOB     14.5      
nAoutOE      5.8      
nBERR_FSB         14.5
nBR_IOB            5.8
nCAS               5.8
nDTACK_FSB         5.8
nDinLE       5.8      
nDoutOE      5.8      
nLDS_IOB    14.5      
nRAMLWE           13.5
nRAMUWE           14.5
nRAS              14.5
nROMCS            14.5
nUDS_IOB    14.5      
nVMA_IOB    14.5      
nVPA_FSB          13.5

--------------------------------------------------------------------------------
                   Setup to Clock at Pad (tSU or tSUF) (nsec)

\ From         C     C     C
 \             L     L     L
  \            K     K     K
   \           2     _     _
    \          X     F     I
     \         _     S     O
      \        I     B     B
       \       O            
        \      B            
         \                  
  To      \------------------

A_FSB<10>          7.9      
A_FSB<11>          7.9      
A_FSB<12>          7.9      
A_FSB<13>          7.9      
A_FSB<14>          7.9      
A_FSB<15>          7.9      
A_FSB<16>          7.9      
A_FSB<17>          7.9      
A_FSB<18>          7.9      
A_FSB<19>          7.9      
A_FSB<20>         15.6      
A_FSB<21>         16.6      
A_FSB<22>         16.6      
A_FSB<23>         16.6      
A_FSB<8>           7.9      
A_FSB<9>           7.9      
CLK_IOB      7.5            
E_IOB                    6.5
SW<1>              7.9      
nAS_FSB           15.6      
nBERR_IOB    7.5            
nBG_IOB      6.5            
nDTACK_IOB   6.5            
nIPL2              6.5      
nLDS_FSB           6.5      
nRES         6.5   6.5      
nUDS_FSB           6.5      
nVPA_IOB     6.5            
nWE_FSB            7.9      

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                                (Clock: CLK_FSB)

\ From                 B     I     I     I     R     R     R     R     R     T
 \                     E     O     P     P     E     E     E     E     e     i
  \                    R     R     L     L     S     S     S     S     f     m
   \                   R     W     2     2     D     r     r     r     A     e
    \                  _     0     r     r     o     0     1     2     c     o
     \                 I     .     0     1     n     .     .     .     k     u
      \                O     Q     .     .     e     Q     Q     Q     .     t
       \               B           Q     Q     .                       Q     A
        \              S                       Q                             .
         \             .                                                     Q
          \            Q                                                      
           \                                                                  
            \                                                                 
             \                                                                
              \                                                               
               \                                                              
                \                                                             
                 \                                                            
  To              \------------------------------------------------------------

ALE0S.D                                                                       
BERR_IOBS.D         10.0                                                      
IOL0.CE                                                                       
IOL0.D                                                                        
IOREQ.D                                                                       
IORW0.D                   11.4                                                
IOU0.CE                                                                       
IOU0.D                                                                        
IPL2r1.D                        10.0                                          
RESDone.CE                                        10.0  10.0  10.0            
RESr1.D                                           10.0                        
RESr2.D                                                 10.0                  
RefAck.D                                                                      
TimeoutA.D                                                                10.0
TimeoutB.D                                                                    
cnt/RefCnt<1>.D                                                               
cnt/RefCnt<2>.D                                                               
cnt/RefCnt<3>.D                                                               
cnt/RefCnt<4>.D                                                               
cnt/RefCnt<5>.D                                                               
cnt/RefCnt<6>.D                                                               
cnt/RefCnt<7>.D                                                               
cnt/RefDone.D                                                       10.0      
cnt/TimeoutBPre.D                                                             
cs/nOverlay0.D                                                                
cs/nOverlay1.CE                                                               
cs/nOverlay1.D                                                                
fsb/BERR0r.D                                                                  
fsb/BERR1r.D        10.0                                                      
fsb/Ready0r.D                                                                 
fsb/Ready1r.D                                                                 
fsb/Ready2r.D                                                             11.0
fsb/VPA.D           11.4                                                  11.4
iobs/Clear1.D                                                                 
iobs/IOL1.CE                                                                  
iobs/IORW1.D                                                                  
iobs/IOReady.D                                                                
iobs/IOU1.CE                                                                  
iobs/Load1.D                                                                  
iobs/Once.D                                                                   
iobs/PS_FSM_FFd1.D                                                            
iobs/PS_FSM_FFd2.D                                                            
nADoutLE1.D                                                                   
nBR_IOB.CE                      10.0  10.0  10.0  10.0  10.0  10.0            
nCAS.D                                                                        
nDTACK_FSB.D        11.4                                                  11.4
ram/BACTr.D                                                                   
ram/Once.D                                                                    
ram/RAMDIS1.D                                                                 
ram/RAMDIS2.D                                                                 
ram/RAMReady.D                                                                
ram/RASEL.D                                                                   
ram/RS_FSM_FFd1.D                                                             
ram/RS_FSM_FFd2.D                                                             
ram/RS_FSM_FFd3.D                                                             

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                                (Clock: CLK_FSB)

\ From                 T     c     c     c     c     c     c     c     c     c
 \                     i     n     n     n     n     n     n     n     n     n
  \                    m     t     t     t     t     t     t     t     t     t
   \                   e     /     /     /     /     /     /     /     /     /
    \                  o     R     R     R     R     R     R     R     R     R
     \                 u     e     e     e     e     e     e     e     e     e
      \                t     f     f     f     f     f     f     f     f     f
       \               B     C     C     C     C     C     C     C     C     D
        \              .     n     n     n     n     n     n     n     n     o
         \             Q     t     t     t     t     t     t     t     t     n
          \                  <     <     <     <     <     <     <     <     e
           \                 0     1     2     3     4     5     6     7     .
            \                >     >     >     >     >     >     >     >     Q
             \               .     .     .     .     .     .     .     .      
              \              Q     Q     Q     Q     Q     Q     Q     Q      
               \                                                              
                \                                                             
                 \                                                            
  To              \------------------------------------------------------------

ALE0S.D                                                                       
BERR_IOBS.D                                                                   
IOL0.CE                                                                       
IOL0.D                                                                        
IOREQ.D                                                                       
IORW0.D                                                                       
IOU0.CE                                                                       
IOU0.D                                                                        
IPL2r1.D                                                                      
RESDone.CE                                                                    
RESr1.D                                                                       
RESr2.D                                                                       
RefAck.D                                                                      
TimeoutA.D                10.0  10.0  10.0  10.0  10.0  10.0  10.0            
TimeoutB.D          10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0      
cnt/RefCnt<1>.D           10.0                                                
cnt/RefCnt<2>.D           10.0  10.0                                          
cnt/RefCnt<3>.D           10.0  10.0  10.0                                    
cnt/RefCnt<4>.D           10.0  10.0  10.0  10.0                              
cnt/RefCnt<5>.D           10.0  10.0  10.0  10.0  10.0                        
cnt/RefCnt<6>.D           10.0  10.0  10.0  10.0  10.0  10.0                  
cnt/RefCnt<7>.D           10.0  10.0  10.0  10.0  10.0  10.0  10.0            
cnt/RefDone.D             10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0
cnt/TimeoutBPre.D         10.0  10.0  10.0  10.0  10.0  10.0  10.0  10.0      
cs/nOverlay0.D                                                                
cs/nOverlay1.CE                                                               
cs/nOverlay1.D                                                                
fsb/BERR0r.D        10.0                                                      
fsb/BERR1r.D                                                                  
fsb/Ready0r.D                                                                 
fsb/Ready1r.D                                                                 
fsb/Ready2r.D                                                                 
fsb/VPA.D           19.1                                                      
iobs/Clear1.D                                                                 
iobs/IOL1.CE                                                                  
iobs/IORW1.D                                                                  
iobs/IOReady.D                                                                
iobs/IOU1.CE                                                                  
iobs/Load1.D                                                                  
iobs/Once.D                                                                   
iobs/PS_FSM_FFd1.D                                                            
iobs/PS_FSM_FFd2.D                                                            
nADoutLE1.D                                                                   
nBR_IOB.CE                                                                    
nCAS.D                                                                        
nDTACK_FSB.D        19.1                                                      
ram/BACTr.D                                                                   
ram/Once.D                                                                    
ram/RAMDIS1.D                                           11.4  11.4  11.4  11.4
ram/RAMDIS2.D                                           11.0  11.0  11.0  11.0
ram/RAMReady.D                                          11.4  11.4  11.4  11.4
ram/RASEL.D                                             11.4  11.4  11.4  11.4
ram/RS_FSM_FFd1.D                                                             
ram/RS_FSM_FFd2.D                                       11.4  11.4  11.4  11.4
ram/RS_FSM_FFd3.D                                       11.0  11.0  11.0  11.0

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                                (Clock: CLK_FSB)

\ From                 c     c     c     f     f     f     f     f     f     f
 \                     n     s     s     s     s     s     s     s     s     s
  \                    t     /     /     b     b     b     b     b     b     b
   \                   /     n     n     /     /     /     /     /     /     /
    \                  T     O     O     A     B     B     R     R     R     V
     \                 i     v     v     S     E     E     e     e     e     P
      \                m     e     e     r     R     R     a     a     a     A
       \               e     r     r     f     R     R     d     d     d     .
        \              o     l     l     .     0     1     y     y     y     Q
         \             u     a     a     Q     r     r     0     1     2      
          \            t     y     y           .     .     r     r     r      
           \           B     0     1           Q     Q     .     .     .      
            \          P     .     .                       Q     Q     Q      
             \         r     Q     Q                                          
              \        e                                                      
               \       .                                                      
                \      Q                                                      
                 \                                                            
  To              \------------------------------------------------------------

ALE0S.D                                                                       
BERR_IOBS.D                           10.0                                    
IOL0.CE                                                                       
IOL0.D                                                                        
IOREQ.D                         11.0  10.0                                    
IORW0.D                         10.0  11.0                                    
IOU0.CE                                                                       
IOU0.D                                                                        
IPL2r1.D                                                                      
RESDone.CE                                                                    
RESr1.D                                                                       
RESr2.D                                                                       
RefAck.D                                                                      
TimeoutA.D                            10.0                                    
TimeoutB.D          10.0              10.0                                    
cnt/RefCnt<1>.D                                                               
cnt/RefCnt<2>.D                                                               
cnt/RefCnt<3>.D                                                               
cnt/RefCnt<4>.D                                                               
cnt/RefCnt<5>.D                                                               
cnt/RefCnt<6>.D                                                               
cnt/RefCnt<7>.D                                                               
cnt/RefDone.D                                                                 
cnt/TimeoutBPre.D   10.0              10.0                                    
cs/nOverlay0.D            10.0        10.0                                    
cs/nOverlay1.CE                       10.0                                    
cs/nOverlay1.D            10.0                                                
fsb/BERR0r.D                          10.0  10.0                              
fsb/BERR1r.D                          10.0        10.0                        
fsb/Ready0r.D                   10.0  10.0              10.0                  
fsb/Ready1r.D                   11.0  10.0                    11.0            
fsb/Ready2r.D                   11.0  11.0                          11.0      
fsb/VPA.D                       20.1  19.1  11.4  11.4  20.1  11.4  11.4  11.4
iobs/Clear1.D                                                                 
iobs/IOL1.CE                                                                  
iobs/IORW1.D                    11.4  11.0                                    
iobs/IOReady.D                        10.0                                    
iobs/IOU1.CE                                                                  
iobs/Load1.D                    11.0  10.0                                    
iobs/Once.D                     11.4  10.0                                    
iobs/PS_FSM_FFd1.D                                                            
iobs/PS_FSM_FFd2.D              11.0  10.0                                    
nADoutLE1.D                                                                   
nBR_IOB.CE                                                                    
nCAS.D                                                                        
nDTACK_FSB.D                    20.1  11.0  11.4  11.4  20.1  11.4  11.4      
ram/BACTr.D                           10.0                                    
ram/Once.D                      10.0  10.0                                    
ram/RAMDIS1.D                   11.4  11.0                                    
ram/RAMDIS2.D                   11.0  11.0                                    
ram/RAMReady.D                  11.0  11.0                                    
ram/RASEL.D                     11.4  11.4                                    
ram/RS_FSM_FFd1.D               10.0  10.0                                    
ram/RS_FSM_FFd2.D               11.4  11.4                                    
ram/RS_FSM_FFd3.D               11.0  11.0                                    

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                                (Clock: CLK_FSB)

\ From                 i     i     i     i     i     i     i     i     i     i
 \                     o     o     o     o     o     o     o     o     o     o
  \                    b     b     b     b     b     b     b     b     b     b
   \                   s     s     s     s     s     s     s     s     s     s
    \                  /     /     /     /     /     /     /     /     /     /
     \                 C     I     I     I     I     I     L     O     P     P
      \                l     O     O     O     O     O     o     n     S     S
       \               e     A     L     R     R     U     a     c     _     _
        \              a     C     1     W     e     1     d     e     F     F
         \             r     T     .     1     a     .     1     .     S     S
          \            1     r     Q     .     d     Q     .     Q     M     M
           \           .     .           Q     y           Q           _     _
            \          Q     Q                 .                       F     F
             \                                 Q                       F     F
              \                                                        d     d
               \                                                       1     2
                \                                                      .     .
                 \                                                     Q     Q
  To              \------------------------------------------------------------

ALE0S.D                                                             10.0  10.0
BERR_IOBS.D               10.0                                10.0        10.0
IOL0.CE                                                             10.0  10.0
IOL0.D                          10.0                                          
IOREQ.D                   10.0                                10.0  10.0  11.0
IORW0.D                               11.0                    11.4  11.4  11.4
IOU0.CE                                                             10.0  10.0
IOU0.D                                            10.0                        
IPL2r1.D                                                                      
RESDone.CE                                                                    
RESr1.D                                                                       
RESr2.D                                                                       
RefAck.D                                                                      
TimeoutA.D                                                                    
TimeoutB.D                                                                    
cnt/RefCnt<1>.D                                                               
cnt/RefCnt<2>.D                                                               
cnt/RefCnt<3>.D                                                               
cnt/RefCnt<4>.D                                                               
cnt/RefCnt<5>.D                                                               
cnt/RefCnt<6>.D                                                               
cnt/RefCnt<7>.D                                                               
cnt/RefDone.D                                                                 
cnt/TimeoutBPre.D                                                             
cs/nOverlay0.D                                                                
cs/nOverlay1.CE                                                               
cs/nOverlay1.D                                                                
fsb/BERR0r.D                                                                  
fsb/BERR1r.D                                                                  
fsb/Ready0r.D                                                                 
fsb/Ready1r.D                               11.0                              
fsb/Ready2r.D                                                                 
fsb/VPA.D                                   11.4                              
iobs/Clear1.D                                                       10.0  10.0
iobs/IOL1.CE                                            10.0                  
iobs/IORW1.D                          10.0                    10.0  11.0  11.0
iobs/IOReady.D            10.0              10.0              10.0        10.0
iobs/IOU1.CE                                            10.0                  
iobs/Load1.D                                                  10.0  10.0  10.0
iobs/Once.D                                                   11.4  11.0  10.0
iobs/PS_FSM_FFd1.D        10.0                                      10.0  10.0
iobs/PS_FSM_FFd2.D        10.0                                10.0  11.0  11.0
nADoutLE1.D         10.0                                10.0                  
nBR_IOB.CE                                                                    
nCAS.D                                                                        
nDTACK_FSB.D                                11.4                              
ram/BACTr.D                                                                   
ram/Once.D                                                                    
ram/RAMDIS1.D                                                                 
ram/RAMDIS2.D                                                                 
ram/RAMReady.D                                                                
ram/RASEL.D                                                                   
ram/RS_FSM_FFd1.D                                                             
ram/RS_FSM_FFd2.D                                                             
ram/RS_FSM_FFd3.D                                                             

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                                (Clock: CLK_FSB)

\ From                 n     n     n     r     r     r     r     r     r     r
 \                     A     B     D     a     a     a     a     a     a     a
  \                    D     R     T     m     m     m     m     m     m     m
   \                   o     _     A     /     /     /     /     /     /     /
    \                  u     I     C     B     O     R     R     R     R     R
     \                 t     O     K     A     n     A     A     A     S     S
      \                L     B     _     C     c     M     M     S     _     _
       \               E     .     F     T     e     D     R     E     F     F
        \              1     Q     S     r     .     I     e     L     S     S
         \             .           B     .     Q     S     a     .     M     M
          \            Q           .     Q           2     d     Q     _     _
           \                       Q                 .     y           F     F
            \                                        Q     .           F     F
             \                                             Q           d     d
              \                                                        1     2
               \                                                       .     .
                \                                                      Q     Q
                 \                                                            
  To              \------------------------------------------------------------

ALE0S.D                                                                       
BERR_IOBS.D         10.0                                                      
IOL0.CE                                                                       
IOL0.D              10.0                                                      
IOREQ.D             11.0                                                      
IORW0.D             11.4                                                      
IOU0.CE                                                                       
IOU0.D              10.0                                                      
IPL2r1.D                                                                      
RESDone.CE                                                                    
RESr1.D                                                                       
RESr2.D                                                                       
RefAck.D                                                            10.0  10.0
TimeoutA.D                                                                    
TimeoutB.D                                                                    
cnt/RefCnt<1>.D                                                               
cnt/RefCnt<2>.D                                                               
cnt/RefCnt<3>.D                                                               
cnt/RefCnt<4>.D                                                               
cnt/RefCnt<5>.D                                                               
cnt/RefCnt<6>.D                                                               
cnt/RefCnt<7>.D                                                               
cnt/RefDone.D                                                                 
cnt/TimeoutBPre.D                                                             
cs/nOverlay0.D                                                                
cs/nOverlay1.CE                                                               
cs/nOverlay1.D                                                                
fsb/BERR0r.D                                                                  
fsb/BERR1r.D                                                                  
fsb/Ready0r.D                                           10.0                  
fsb/Ready1r.D       11.0                                                      
fsb/Ready2r.D                                                                 
fsb/VPA.D           11.0  11.4                          20.1                  
iobs/Clear1.D       10.0                                                      
iobs/IOL1.CE                                                                  
iobs/IORW1.D        10.0                                                      
iobs/IOReady.D      10.0                                                      
iobs/IOU1.CE                                                                  
iobs/Load1.D        10.0                                                      
iobs/Once.D         11.0                                                      
iobs/PS_FSM_FFd1.D                                                            
iobs/PS_FSM_FFd2.D  11.0                                                      
nADoutLE1.D         10.0                                                      
nBR_IOB.CE                                                                    
nCAS.D                                                        10.0            
nDTACK_FSB.D        11.0  11.4  11.4                    20.1                  
ram/BACTr.D                                                                   
ram/Once.D                                  10.0                    10.0  10.0
ram/RAMDIS1.D                         11.0  11.0                    11.4  11.0
ram/RAMDIS2.D                               11.0  11.0              11.0  11.0
ram/RAMReady.D                        11.0  11.0                    11.0  11.0
ram/RASEL.D                           11.0  11.4                    11.4  11.4
ram/RS_FSM_FFd1.D                           10.0                    10.0  10.0
ram/RS_FSM_FFd2.D                     11.0                          11.4  11.4
ram/RS_FSM_FFd3.D                           10.0                    11.0  11.0

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                                (Clock: CLK_FSB)

\ From                 r
 \                     a
  \                    m
   \                   /
    \                  R
     \                 S
      \                _
       \               F
        \              S
         \             M
          \            _
           \           F
            \          F
             \         d
              \        3
               \       .
                \      Q
                 \      
  To              \------

ALE0S.D                 
BERR_IOBS.D             
IOL0.CE                 
IOL0.D                  
IOREQ.D                 
IORW0.D                 
IOU0.CE                 
IOU0.D                  
IPL2r1.D                
RESDone.CE              
RESr1.D                 
RESr2.D                 
RefAck.D                
TimeoutA.D              
TimeoutB.D              
cnt/RefCnt<1>.D         
cnt/RefCnt<2>.D         
cnt/RefCnt<3>.D         
cnt/RefCnt<4>.D         
cnt/RefCnt<5>.D         
cnt/RefCnt<6>.D         
cnt/RefCnt<7>.D         
cnt/RefDone.D           
cnt/TimeoutBPre.D       
cs/nOverlay0.D          
cs/nOverlay1.CE         
cs/nOverlay1.D          
fsb/BERR0r.D            
fsb/BERR1r.D            
fsb/Ready0r.D           
fsb/Ready1r.D           
fsb/Ready2r.D           
fsb/VPA.D               
iobs/Clear1.D           
iobs/IOL1.CE            
iobs/IORW1.D            
iobs/IOReady.D          
iobs/IOU1.CE            
iobs/Load1.D            
iobs/Once.D             
iobs/PS_FSM_FFd1.D      
iobs/PS_FSM_FFd2.D      
nADoutLE1.D             
nBR_IOB.CE              
nCAS.D                  
nDTACK_FSB.D            
ram/BACTr.D             
ram/Once.D          10.0
ram/RAMDIS1.D       11.0
ram/RAMDIS2.D       11.0
ram/RAMReady.D      11.0
ram/RASEL.D         11.0
ram/RS_FSM_FFd1.D   10.0
ram/RS_FSM_FFd2.D   11.4
ram/RS_FSM_FFd3.D   11.0

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                               (Clock: CLK2X_IOB)

\ From                  I     I     i     i     i     i     i     i     i     i
 \                      O     O     o     o     o     o     o     o     o     o
  \                     A     B     b     b     b     b     b     b     b     b
   \                    C     E     m     m     m     m     m     m     m     m
    \                   T     R     /     /     /     /     /     /     /     /
     \                  .     R     B     B     B     B     D     D     E     E
      \                 Q     .     E     E     G     G     T     T     S     S
       \                      Q     R     R     r     r     A     A     <     <
        \                           R     R     0     1     C     C     0     1
         \                          r     r     .     .     K     K     >     >
          \                         f     r     Q     Q     r     r     .     .
           \                        .     .                 f     r     Q     Q
            \                       Q     Q                 .     .            
             \                                              Q     Q            
              \                                                                
               \                                                               
                \                                                              
                 \                                                             
                  \                                                            
  To               \------------------------------------------------------------

ALE0M.D                                                                        
IOACT.D                          10.0  10.0              11.0  11.0            
IOBERR.D                   11.0  11.0  11.0              11.0  11.0            
iobm/BGr1.D                                  10.0                              
iobm/ES<0>.D                                                         10.0  10.0
iobm/ES<1>.D                                                         10.0  10.0
iobm/ES<2>.D                                                         10.0  10.0
iobm/ES<3>.D                                                         10.0  10.0
iobm/ES<4>.D                                                         10.0  10.0
iobm/ETACK.D                                                         10.0  10.0
iobm/IOS_FSM_FFd1.D                                                            
iobm/IOS_FSM_FFd2.D              10.0  10.0              10.0  10.0            
iobm/IOS_FSM_FFd3.D                                                            
nAS_IOB.D                                                                      
nAoutOE.D                                    10.0  10.0                        
nDinLE.D                                                                       
nDoutOE.D                                                                      
nLDS_IOB.D                                                                     
nUDS_IOB.D                                                                     
nVMA_IOB.D           10.0                                            10.0  10.0

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                               (Clock: CLK2X_IOB)

\ From                  i     i     i     i     i     i     i     i     i     i
 \                      o     o     o     o     o     o     o     o     o     o
  \                     b     b     b     b     b     b     b     b     b     b
   \                    m     m     m     m     m     m     m     m     m     m
    \                   /     /     /     /     /     /     /     /     /     /
     \                  E     E     E     E     E     I     I     I     I     R
      \                 S     S     S     T     r     O     O     O     O     E
       \                <     <     <     A     2     R     S     S     S     S
        \               2     3     4     C     .     E     _     _     _     r
         \              >     >     >     K     Q     Q     F     F     F     f
          \             .     .     .     .           r     S     S     S     .
           \            Q     Q     Q     Q           .     M     M     M     Q
            \                                         Q     _     _     _      
             \                                              F     F     F      
              \                                             F     F     F      
               \                                            d     d     d      
                \                                           1     2     3      
                 \                                          .     .     .      
                  \                                         Q     Q     Q      
  To               \------------------------------------------------------------

ALE0M.D                                            10.0  10.0  10.0  10.0      
IOACT.D                                10.0        10.0  11.0  10.0  11.0  11.0
IOBERR.D                               10.0              11.0  11.0  11.0  11.0
iobm/BGr1.D                                                                    
iobm/ES<0>.D         10.0  10.0  10.0        10.0                              
iobm/ES<1>.D                                 10.0                              
iobm/ES<2>.D         10.0  10.0  10.0        10.0                              
iobm/ES<3>.D         10.0  10.0              10.0                              
iobm/ES<4>.D         10.0  10.0  10.0        10.0                              
iobm/ETACK.D         10.0  10.0  10.0                                          
iobm/IOS_FSM_FFd1.D                                      10.0  10.0  10.0      
iobm/IOS_FSM_FFd2.D                    10.0              10.0  10.0  10.0  10.0
iobm/IOS_FSM_FFd3.D                                10.0  10.0  10.0  10.0      
nAS_IOB.D                                                10.0  10.0  10.0      
nAoutOE.D                                                                      
nDinLE.D                                                 10.0  10.0            
nDoutOE.D                                                      10.0  10.0      
nLDS_IOB.D                                               10.0  10.0  10.0      
nUDS_IOB.D                                               10.0  10.0  10.0      
nVMA_IOB.D           10.0  10.0  10.0                                          

--------------------------------------------------------------------------------
                          Clock to Setup (tCYC) (nsec)
                               (Clock: CLK2X_IOB)

\ From                  i     i     i     n     n     n
 \                      o     o     o     A     A     V
  \                     b     b     b     S     o     M
   \                    m     m     m     _     u     A
    \                   /     /     /     I     t     _
     \                  R     V     V     O     O     I
      \                 E     P     P     B     E     O
       \                S     A     A     .     .     B
        \               r     r     r     Q     Q     .
         \              r     f     r                 Q
          \             .     .     .                  
           \            Q     Q     Q                  
            \                                          
             \                                         
              \                                        
               \                                       
                \                                      
                 \                                     
                  \                                    
  To               \------------------------------------

ALE0M.D                                      10.0      
IOACT.D              11.0                    10.0      
IOBERR.D             11.0                              
iobm/BGr1.D                                            
iobm/ES<0>.D                                           
iobm/ES<1>.D                                           
iobm/ES<2>.D                                           
iobm/ES<3>.D                                           
iobm/ES<4>.D                                           
iobm/ETACK.D                                       10.0
iobm/IOS_FSM_FFd1.D                                    
iobm/IOS_FSM_FFd2.D  10.0                              
iobm/IOS_FSM_FFd3.D                          10.0      
nAS_IOB.D                                              
nAoutOE.D                              10.0  10.0      
nDinLE.D                                               
nDoutOE.D                                              
nLDS_IOB.D                                             
nUDS_IOB.D                                             
nVMA_IOB.D                 10.0  10.0              10.0

Path Type Definition: 

Pad to Pad (tPD) -                        Reports pad to pad paths that start 
                                          at input pads and end at output pads. 
                                          Paths are not traced through 
                                          registers. 

Clock Pad to Output Pad (tCO) -           Reports paths that start at input 
                                          pads trace through clock inputs of 
                                          registers and end at output pads. 
                                          Paths are not traced through PRE/CLR 
                                          inputs of registers. 

Setup to Clock at Pad (tSU or tSUF) -     Reports external setup time of data 
                                          to clock at pad. Data path starts at 
                                          an input pad and ends at register 
                                          (Fast Input Register for tSUF) D/T 
                                          input. Clock path starts at input pad 
                                          and ends at the register clock input. 
                                          Paths are not traced through 
                                          registers. Pin-to-pin setup 
                                          requirement is not reported or 
                                          guaranteed for product-term clocks 
                                          derived from macrocell feedback 
                                          signals. 

Clock to Setup (tCYC) -                   Register to register cycle time. 
                                          Include source register tCO and 
                                          destination register tSU. Note that 
                                          when the computed Maximum Clock Speed 
                                          is limited by tCYC it is computed 
                                          assuming that all registers are 
                                          rising-edge sensitive. 

\ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE_xst.xrpt b/cpld/XC95144XL/WarpSE_xst.xrpt index 16abc98..1f9d614 100644 --- a/cpld/XC95144XL/WarpSE_xst.xrpt +++ b/cpld/XC95144XL/WarpSE_xst.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -57,9 +57,9 @@ - + - + @@ -78,8 +78,9 @@ - - + + + @@ -90,8 +91,8 @@ - - + +
@@ -105,25 +106,25 @@ - +
- + - - - - - - + + + + + + - - - + + + diff --git a/cpld/XC95144XL/_impact.cmd b/cpld/XC95144XL/_impact.cmd deleted file mode 100644 index e69de29..0000000 diff --git a/cpld/XC95144XL/_impact.log b/cpld/XC95144XL/_impact.log deleted file mode 100644 index 6dfc460..0000000 --- a/cpld/XC95144XL/_impact.log +++ /dev/null @@ -1,121 +0,0 @@ -iMPACT Version: 14.7 - -iMPACT log file Started on Sat Mar 25 00:06:03 2023 - -Welcome to iMPACT -iMPACT Version: 14.7 -// *** BATCH CMD : setMode -bs -// *** BATCH CMD : addDevice -p 1 -file"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed" -'1': Loading file'C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed' ... -done. -INFO:iMPACT:1777 - - Reading C:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.bsd... -INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. ----------------------------------------------------------------------- -GUI --- Auto connect to cable... -// *** BATCH CMD : setCable -port auto -INFO:iMPACT - Digilent Plugin: Plugin Version: 2.4.4 -INFO:iMPACT - Digilent Plugin: found 1 device(s). -INFO:iMPACT - Digilent Plugin: opening device: "JtagSmt2", SN:210251A08870 -INFO:iMPACT - Digilent Plugin: User Name: JtagSmt2 -INFO:iMPACT - Digilent Plugin: Product Name: Digilent JTAG-SMT2 -INFO:iMPACT - Digilent Plugin: Serial Number: 210251A08870 -INFO:iMPACT - Digilent Plugin: Product ID: 31000154 -INFO:iMPACT - Digilent Plugin: Firmware Version: 0108 -INFO:iMPACT - Digilent Plugin: JTAG Port Number: 0 -INFO:iMPACT - Digilent Plugin: JTAG Clock Frequency: 10000000 Hz -INFO:iMPACT - Current time: 3/25/2023 12:06:33 AM -// *** BATCH CMD : Program -p 1 -e -Maximum TCK operating frequency for this device chain: 10000000. -Validating chain... -Boundary-scan chain validated successfully. -'1': Erasing device... -PROGRESS_START - Starting Operation. -'1': Erasure completed successfully. -'1': Programming device... -done. -'1': Putting device in ISP mode...done. -'1': Putting device in ISP mode...done. -'1': Programming completed successfully. -PROGRESS_END - End Operation. -Elapsed time = 4 sec. -INFO:iMPACT - Current time: 3/25/2023 12:15:44 AM -Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed... -// *** BATCH CMD : Program -p 1 -e -Maximum TCK operating frequency for this device chain: 10000000. -Validating chain... -Boundary-scan chain validated successfully. -'1': Erasing device... -PROGRESS_START - Starting Operation. -'1': Erasure completed successfully. -'1': Programming device... -done. -'1': Putting device in ISP mode...done. -'1': Putting device in ISP mode...done. -'1': Programming completed successfully. -PROGRESS_END - End Operation. -Elapsed time = 2 sec. -INFO:iMPACT - Current time: 3/25/2023 12:25:37 AM -Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed... -// *** BATCH CMD : Program -p 1 -e -Maximum TCK operating frequency for this device chain: 10000000. -Validating chain... -Boundary-scan chain validated successfully. -'1': Erasing device... -PROGRESS_START - Starting Operation. -'1': Erasure completed successfully. -'1': Programming device... -done. -'1': Putting device in ISP mode...done. -'1': Putting device in ISP mode...done. -'1': Programming completed successfully. -PROGRESS_END - End Operation. -Elapsed time = 5 sec. -INFO:iMPACT - Current time: 3/25/2023 12:28:53 AM -Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed... -// *** BATCH CMD : Program -p 1 -e -Maximum TCK operating frequency for this device chain: 10000000. -Validating chain... -Boundary-scan chain validated successfully. -'1': Erasing device... -PROGRESS_START - Starting Operation. -'1': Erasure completed successfully. -'1': Programming device... -done. -'1': Putting device in ISP mode...done. -'1': Putting device in ISP mode...done. -'1': Programming completed successfully. -PROGRESS_END - End Operation. -Elapsed time = 5 sec. -INFO:iMPACT - Current time: 3/25/2023 12:45:23 AM -Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed... -// *** BATCH CMD : Program -p 1 -e -Maximum TCK operating frequency for this device chain: 10000000. -Validating chain... -Boundary-scan chain validated successfully. -'1': Erasing device... -PROGRESS_START - Starting Operation. -'1': Erasure completed successfully. -'1': Programming device... -done. -'1': Putting device in ISP mode...done. -'1': Putting device in ISP mode...done. -'1': Programming completed successfully. -PROGRESS_END - End Operation. -Elapsed time = 4 sec. -INFO:iMPACT - Current time: 3/25/2023 12:54:24 AM -Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed... -// *** BATCH CMD : Program -p 1 -e -Maximum TCK operating frequency for this device chain: 10000000. -Validating chain... -Boundary-scan chain validated successfully. -'1': Erasing device... -PROGRESS_START - Starting Operation. -'1': Erasure completed successfully. -'1': Programming device... -done. -'1': Putting device in ISP mode...done. -'1': Putting device in ISP mode...done. -'1': Programming completed successfully. -PROGRESS_END - End Operation. -Elapsed time = 5 sec. diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst index 4b32a75..d20c1c2 100644 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -1,2 +1,2 @@ -C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1679719936 +C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1679819402 OK diff --git a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs index f90a5c8..989b3dc 100644 --- a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs +++ b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs @@ -8,7 +8,7 @@ -Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/FSB.v" into library work +Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CS.v" into library work diff --git a/cpld/XC95144XL/_xmsgs/xst.xmsgs b/cpld/XC95144XL/_xmsgs/xst.xmsgs index e9ee543..92b937a 100644 --- a/cpld/XC95144XL/_xmsgs/xst.xmsgs +++ b/cpld/XC95144XL/_xmsgs/xst.xmsgs @@ -5,7 +5,10 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -"../WarpSE.v" line 141 Connection to input port 'Ready2' does not match port size +"../WarpSE.v" line 139 Connection to input port 'Ready2' does not match port size + + +Signal <RefRAS> is assigned but never used. This unconnected signal will be trimmed during the optimization process. Input <SW> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. @@ -17,8 +20,5 @@ Signal <SndRAMCSWR> is assigned but never used. This unconnected signal will be trimmed during the optimization process. -Signal <SCSICS> is assigned but never used. This unconnected signal will be trimmed during the optimization process. - - diff --git a/cpld/XC95144XL/iseconfig/MXSE.xreport b/cpld/XC95144XL/iseconfig/MXSE.xreport deleted file mode 100644 index 5de4388..0000000 --- a/cpld/XC95144XL/iseconfig/MXSE.xreport +++ /dev/null @@ -1,215 +0,0 @@ - - -
- 2022-03-28T09:27:54 - MXSE - 2022-03-28T09:27:01 - Z:/Warp-SE/cpld/XC95144XL/iseconfig/MXSE.xreport - Z:/Warp-SE/cpld/XC95144XL\ - 2022-03-28T09:18:55 - false -
- - - - - - - - - - - - - - - - - - - - - - - -
diff --git a/cpld/XC95144XL/iseconfig/WarpSE.projectmgr b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr index cc2d495..7f1d9f6 100644 --- a/cpld/XC95144XL/iseconfig/WarpSE.projectmgr +++ b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr @@ -7,7 +7,6 @@ 2 - /MXSE Z:|Warp-SE|cpld|WarpSE.v WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v) @@ -18,13 +17,10 @@ true WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v) - + 1 Design Utilities - Implement Design/Optional Implementation Tools - Implement Design/Synthesize - XST - User Constraints @@ -42,7 +38,7 @@ 0 0 - 000000ff000000000000000100000000000000000100000000000000000000000000000000000003a3000000040101000100000000000000000000000064ffffffff0000008100000000000000040000004900000001000000000000009d0000000100000000000000660000000100000000000002570000000100000000 + 000000ff000000000000000100000000000000000100000000000000000000000000000000000003a3000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000002d70000000100000000 false CNT.v @@ -58,32 +54,37 @@ false work + + + 1 + Design Utilities + Implement Design/Configure Target Device + Implement Design/Optional Implementation Tools + Implement Design/Synthesize - XST + User Constraints + + + Implement Design + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 + false + Implement Design + 1 User Constraints - + User Constraints 0 0 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 false - - - - - 1 - - - Design Utilities - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - Design Utilities + User Constraints 000000ff00000000000000020000011b0000011b01000000050100000002 Implementation diff --git a/cpld/XC95144XL/iseconfig/WarpSE.xreport b/cpld/XC95144XL/iseconfig/WarpSE.xreport index 2c16786..ee42510 100644 --- a/cpld/XC95144XL/iseconfig/WarpSE.xreport +++ b/cpld/XC95144XL/iseconfig/WarpSE.xreport @@ -1,12 +1,12 @@
- 2023-03-25T00:05:10 + 2023-03-26T03:40:09 WarpSE Unknown C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\ - 2022-03-28T09:29:43 + 2023-03-26T03:17:53 false
diff --git a/cpld/XC95144XL/webtalk_pn.xml b/cpld/XC95144XL/webtalk_pn.xml index 79fb39d..0364f26 100644 --- a/cpld/XC95144XL/webtalk_pn.xml +++ b/cpld/XC95144XL/webtalk_pn.xml @@ -3,12 +3,12 @@ - +
- + - +
@@ -18,19 +18,14 @@ This means code written to parse this file will need to be revisited each subseq - - - - + + - - - diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd index 1c3bef3..8d92657 100644 Binary files a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd and b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd differ diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref index 321ecd1..3a84236 100644 --- a/cpld/XC95144XL/xst/work/hdllib.ref +++ b/cpld/XC95144XL/xst/work/hdllib.ref @@ -1,8 +1,7 @@ -MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1679719921 -MO CS NULL ../CS.v vlg22/_c_s.bin 1679719921 -MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1679719921 -MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1679719921 -MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1679719921 -MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1679719921 -MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1679719921 -MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1648473402 +MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1679819397 +MO CS NULL ../CS.v vlg22/_c_s.bin 1679819397 +MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1679819397 +MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1679819397 +MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1679819397 +MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1679819397 +MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1679819397 diff --git a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin index 609d4f1..8c42c74 100644 Binary files a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin and b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin b/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin deleted file mode 100644 index dd007cf..0000000 Binary files a/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin and /dev/null differ diff --git a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin index 4ff801d..e99dd0a 100644 Binary files a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin and b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin index 527a31f..f80befd 100644 Binary files a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin and b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin b/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin index fc01353..347aaf2 100644 Binary files a/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin and b/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin index 32a5204..7c1d68b 100644 Binary files a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin and b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin index 02fc395..2c020b2 100644 Binary files a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin and b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin index d8df2ff..99defda 100644 Binary files a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin and b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin differ